From 61d6b6f0584639acf71422a4729a418edcb133dd Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sun, 8 Nov 2020 12:43:40 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.anno.json | 43 +- el2_lsu_bus_buffer.fir | 9858 +++++++++-------- el2_lsu_bus_buffer.v | 4324 ++++---- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 36 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 509375 -> 553064 bytes 7 files changed, 7163 insertions(+), 7098 deletions(-) diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 2f19056b..4c428889 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -11,8 +11,8 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_load", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_no_word_merge_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_store_data_r", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_wb_coalescing_disable", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_store_data_r", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_word", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_by", "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_half" @@ -142,38 +142,6 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_test", - "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_store_data_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rdata", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_wb_coalescing_disable", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_is_sideeffects_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_bus_clk_en", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_force_halt", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_commit_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_m_load", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bresp", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_store", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_word", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rvalid", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rready", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_load", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_no_word_merge_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_m", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_by", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_half", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bid", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rid", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bvalid", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bready" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pmu_bus_busy", @@ -204,6 +172,15 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_test", + "sources":[ + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_word", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_by", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_half" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_data_en", diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index ed462bc7..ceb37107 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -1237,51 +1237,133 @@ circuit el2_lsu_bus_buffer : node _T_746 = or(_T_745, _T_744) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_746 @[Mux.scala 27:72] - node _T_747 = cat(UInt<4>("h00"), ldst_byteen_r) @[Cat.scala 29:58] - node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 236:61] - node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 236:45] - node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 237:32] - node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 238:32] - node _T_749 = cat(UInt<32>("h00"), io.store_data_r) @[Cat.scala 29:58] - node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:73] - node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 239:58] - node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 239:52] - node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 240:35] - node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 241:35] - node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 242:36] - node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 242:57] - node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 242:40] - node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:67] - node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 243:74] - node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 244:40] - node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:26] - node _T_758 = mux(io.lsu_pkt_r.word, _T_755, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_759 = mux(io.lsu_pkt_r.half, _T_757, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_760 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_761 = or(_T_758, _T_759) @[Mux.scala 27:72] - node _T_762 = or(_T_761, _T_760) @[Mux.scala 27:72] + node _T_747 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:50] + node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 237:55] + node _T_749 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:50] + node _T_750 = eq(_T_749, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 238:55] + node _T_751 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 238:91] + node _T_752 = cat(UInt<3>("h00"), _T_751) @[Cat.scala 29:58] + node _T_753 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:50] + node _T_754 = eq(_T_753, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 239:55] + node _T_755 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 239:91] + node _T_756 = cat(UInt<2>("h00"), _T_755) @[Cat.scala 29:58] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] + node _T_758 = eq(_T_757, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 240:55] + node _T_759 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 240:91] + node _T_760 = cat(UInt<1>("h00"), _T_759) @[Cat.scala 29:58] + node _T_761 = mux(_T_748, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_762 = mux(_T_750, _T_752, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_763 = mux(_T_754, _T_756, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_764 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_765 = or(_T_761, _T_762) @[Mux.scala 27:72] + node _T_766 = or(_T_765, _T_763) @[Mux.scala 27:72] + node _T_767 = or(_T_766, _T_764) @[Mux.scala 27:72] + wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_767 @[Mux.scala 27:72] + node _T_768 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] + node _T_769 = eq(_T_768, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:55] + node _T_770 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] + node _T_771 = eq(_T_770, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 242:55] + node _T_772 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 242:81] + node _T_773 = cat(_T_772, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_774 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] + node _T_775 = eq(_T_774, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 243:55] + node _T_776 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:81] + node _T_777 = cat(_T_776, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] + node _T_779 = eq(_T_778, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 244:55] + node _T_780 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 244:81] + node _T_781 = cat(_T_780, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_782 = mux(_T_769, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_783 = mux(_T_771, _T_773, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_784 = mux(_T_775, _T_777, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_785 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_786 = or(_T_782, _T_783) @[Mux.scala 27:72] + node _T_787 = or(_T_786, _T_784) @[Mux.scala 27:72] + node _T_788 = or(_T_787, _T_785) @[Mux.scala 27:72] + wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_788 @[Mux.scala 27:72] + node _T_789 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:49] + node _T_790 = eq(_T_789, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 246:54] + node _T_791 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:49] + node _T_792 = eq(_T_791, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 247:54] + node _T_793 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 247:93] + node _T_794 = cat(UInt<8>("h00"), _T_793) @[Cat.scala 29:58] + node _T_795 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:49] + node _T_796 = eq(_T_795, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 248:54] + node _T_797 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 248:93] + node _T_798 = cat(UInt<16>("h00"), _T_797) @[Cat.scala 29:58] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] + node _T_800 = eq(_T_799, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 249:54] + node _T_801 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 249:93] + node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] + node _T_803 = mux(_T_790, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_804 = mux(_T_792, _T_794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_805 = mux(_T_796, _T_798, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_806 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_807 = or(_T_803, _T_804) @[Mux.scala 27:72] + node _T_808 = or(_T_807, _T_805) @[Mux.scala 27:72] + node _T_809 = or(_T_808, _T_806) @[Mux.scala 27:72] + wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] + store_data_hi_r <= _T_809 @[Mux.scala 27:72] + node _T_810 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 251:49] + node _T_811 = eq(_T_810, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 251:54] + node _T_812 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:49] + node _T_813 = eq(_T_812, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 252:54] + node _T_814 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 252:82] + node _T_815 = cat(_T_814, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_816 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 253:49] + node _T_817 = eq(_T_816, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 253:54] + node _T_818 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 253:82] + node _T_819 = cat(_T_818, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:49] + node _T_821 = eq(_T_820, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 254:54] + node _T_822 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 254:82] + node _T_823 = cat(_T_822, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_824 = mux(_T_811, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_825 = mux(_T_813, _T_815, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_826 = mux(_T_817, _T_819, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_827 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_828 = or(_T_824, _T_825) @[Mux.scala 27:72] + node _T_829 = or(_T_828, _T_826) @[Mux.scala 27:72] + node _T_830 = or(_T_829, _T_827) @[Mux.scala 27:72] + wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] + store_data_lo_r <= _T_830 @[Mux.scala 27:72] + io.test <= ldst_byteen_r @[el2_lsu_bus_buffer.scala 256:11] + node _T_831 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 258:36] + node _T_832 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 258:57] + node ldst_samedw_r = eq(_T_831, _T_832) @[el2_lsu_bus_buffer.scala 258:40] + node _T_833 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 259:67] + node _T_834 = eq(_T_833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 259:74] + node _T_835 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 260:40] + node _T_836 = eq(_T_835, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 260:26] + node _T_837 = mux(io.lsu_pkt_r.word, _T_834, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_838 = mux(io.lsu_pkt_r.half, _T_836, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_839 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_840 = or(_T_837, _T_838) @[Mux.scala 27:72] + node _T_841 = or(_T_840, _T_839) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] - is_aligned_r <= _T_762 @[Mux.scala 27:72] - node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 246:55] - node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 246:34] - node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 246:79] - node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 246:77] - node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 247:36] - node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 247:56] - node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 247:54] + is_aligned_r <= _T_841 @[Mux.scala 27:72] + node _T_842 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 262:55] + node _T_843 = and(io.lsu_busreq_r, _T_842) @[el2_lsu_bus_buffer.scala 262:34] + node _T_844 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 262:79] + node ibuf_byp = and(_T_843, _T_844) @[el2_lsu_bus_buffer.scala 262:77] + node _T_845 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 263:36] + node _T_846 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 263:56] + node ibuf_wr_en = and(_T_845, _T_846) @[el2_lsu_bus_buffer.scala 263:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 249:36] - node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 249:34] - node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 249:49] - node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 250:44] - node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 250:42] - node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 250:61] - node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 250:107] - node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 250:132] - node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 250:115] - node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 250:95] - node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 250:74] + node _T_847 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:36] + node _T_848 = and(ibuf_drain_vld, _T_847) @[el2_lsu_bus_buffer.scala 265:34] + node ibuf_rst = or(_T_848, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 265:49] + node _T_849 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:44] + node _T_850 = and(io.lsu_busreq_m, _T_849) @[el2_lsu_bus_buffer.scala 266:42] + node _T_851 = and(_T_850, ibuf_valid) @[el2_lsu_bus_buffer.scala 266:61] + node _T_852 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 266:107] + node _T_853 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 266:132] + node _T_854 = neq(_T_852, _T_853) @[el2_lsu_bus_buffer.scala 266:115] + node _T_855 = or(io.lsu_pkt_m.load, _T_854) @[el2_lsu_bus_buffer.scala 266:95] + node ibuf_force_drain = and(_T_851, _T_855) @[el2_lsu_bus_buffer.scala 266:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1290,221 +1372,221 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 255:62] - node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 255:48] - node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 255:98] - node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 255:82] - node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 255:80] - node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 256:5] - node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 256:16] - node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 256:35] - node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 256:55] - node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 256:53] - node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 256:67] - node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 255:32] - ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 255:18] + node _T_856 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 271:62] + node _T_857 = or(ibuf_wr_en, _T_856) @[el2_lsu_bus_buffer.scala 271:48] + node _T_858 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 271:98] + node _T_859 = eq(_T_858, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:82] + node _T_860 = and(_T_857, _T_859) @[el2_lsu_bus_buffer.scala 271:80] + node _T_861 = or(_T_860, ibuf_byp) @[el2_lsu_bus_buffer.scala 272:5] + node _T_862 = or(_T_861, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 272:16] + node _T_863 = or(_T_862, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 272:35] + node _T_864 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 272:55] + node _T_865 = or(_T_863, _T_864) @[el2_lsu_bus_buffer.scala 272:53] + node _T_866 = or(_T_865, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 272:67] + node _T_867 = and(ibuf_valid, _T_866) @[el2_lsu_bus_buffer.scala 271:32] + ibuf_drain_vld <= _T_867 @[el2_lsu_bus_buffer.scala 271:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 260:15] - node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 261:39] - node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 261:69] - node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 261:24] + io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 276:15] + node _T_868 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 277:39] + node _T_869 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 277:69] + node ibuf_tag_in = mux(_T_868, ibuf_tag, _T_869) @[el2_lsu_bus_buffer.scala 277:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 264:25] - node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 265:42] - node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 265:70] - node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 265:95] - node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 265:77] - node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 266:41] - node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 266:65] - node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 266:8] - node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 265:27] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 280:25] + node _T_870 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 281:42] + node _T_871 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 281:70] + node _T_872 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 281:95] + node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 281:77] + node _T_874 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 282:41] + node _T_875 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 282:65] + node _T_876 = mux(io.ldst_dual_r, _T_874, _T_875) @[el2_lsu_bus_buffer.scala 282:8] + node ibuf_byteen_in = mux(_T_870, _T_873, _T_876) @[el2_lsu_bus_buffer.scala 281:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 269:61] - node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 270:25] - node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 270:45] - node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 270:76] - node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 270:8] - node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 270:108] - node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 269:46] - node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 269:61] - node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 270:25] - node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 270:45] - node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 270:76] - node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 270:8] - node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 270:108] - node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 269:46] - node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 269:61] - node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 270:25] - node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 270:45] - node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 270:76] - node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 270:8] - node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 270:108] - node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 269:46] - node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 269:61] - node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 270:25] - node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 270:45] - node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 270:76] - node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 270:8] - node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 270:108] - node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 269:46] - node _T_826 = cat(_T_825, _T_818) @[Cat.scala 29:58] - node _T_827 = cat(_T_826, _T_811) @[Cat.scala 29:58] - node ibuf_data_in = cat(_T_827, _T_804) @[Cat.scala 29:58] - node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 271:59] - node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 271:79] - node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 271:93] - node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 271:93] - node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 271:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 271:26] - node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 273:36] - node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 273:54] - node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 273:75] - node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 273:88] - node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 273:117] - node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 273:137] - node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 273:124] - node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 273:101] - node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:147] - node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 273:145] - node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:170] - node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 273:168] - ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 273:17] - node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:20] - ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 274:17] - node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:65] - node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 275:63] - node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 275:92] - node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 275:114] - node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 275:96] - node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 275:130] - node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 275:48] - node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:65] - node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 275:63] - node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 275:92] - node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 275:114] - node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 275:96] - node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 275:130] - node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 275:48] - node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:65] - node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 275:63] - node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 275:92] - node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 275:114] - node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 275:96] - node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 275:130] - node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 275:48] - node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:65] - node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 275:63] - node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 275:92] - node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 275:114] - node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 275:96] - node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 275:130] - node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 275:48] - node _T_874 = cat(_T_873, _T_866) @[Cat.scala 29:58] - node _T_875 = cat(_T_874, _T_859) @[Cat.scala 29:58] - node ibuf_byteen_out = cat(_T_875, _T_852) @[Cat.scala 29:58] - node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:62] - node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 276:60] - node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 276:98] - node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 276:118] - node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 276:143] - node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 276:81] - node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 276:169] - node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 276:45] - node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:62] - node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 276:60] - node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 276:98] - node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 276:118] - node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 276:143] - node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 276:81] - node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 276:169] - node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 276:45] - node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:62] - node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 276:60] - node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 276:98] - node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 276:118] - node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 276:143] - node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 276:81] - node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 276:169] - node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 276:45] - node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:62] - node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 276:60] - node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 276:98] - node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 276:118] - node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 276:143] - node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 276:81] - node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 276:169] - node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 276:45] - node _T_908 = cat(_T_907, _T_899) @[Cat.scala 29:58] - node _T_909 = cat(_T_908, _T_891) @[Cat.scala 29:58] - node ibuf_data_out = cat(_T_909, _T_883) @[Cat.scala 29:58] - node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 278:28] - node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 278:63] - node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 278:61] - reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 278:24] - _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 278:24] - ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 278:14] - node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:120] - node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 279:120] - node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 279:89] - reg _T_917 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_916 : @[Reg.scala 28:19] - _T_917 <= ibuf_tag_in @[Reg.scala 28:23] + node _T_877 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 286:25] + node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 286:45] + node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:76] + node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 286:8] + node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:108] + node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 285:46] + node _T_884 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_885 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 286:25] + node _T_886 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 286:45] + node _T_887 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:76] + node _T_888 = mux(_T_885, _T_886, _T_887) @[el2_lsu_bus_buffer.scala 286:8] + node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:108] + node _T_890 = mux(_T_884, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 285:46] + node _T_891 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_892 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 286:25] + node _T_893 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 286:45] + node _T_894 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:76] + node _T_895 = mux(_T_892, _T_893, _T_894) @[el2_lsu_bus_buffer.scala 286:8] + node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:108] + node _T_897 = mux(_T_891, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 285:46] + node _T_898 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_899 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 286:25] + node _T_900 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 286:45] + node _T_901 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:76] + node _T_902 = mux(_T_899, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 286:8] + node _T_903 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:108] + node _T_904 = mux(_T_898, _T_902, _T_903) @[el2_lsu_bus_buffer.scala 285:46] + node _T_905 = cat(_T_904, _T_897) @[Cat.scala 29:58] + node _T_906 = cat(_T_905, _T_890) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_906, _T_883) @[Cat.scala 29:58] + node _T_907 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 287:59] + node _T_908 = bits(_T_907, 0, 0) @[el2_lsu_bus_buffer.scala 287:79] + node _T_909 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 287:93] + node _T_910 = tail(_T_909, 1) @[el2_lsu_bus_buffer.scala 287:93] + node _T_911 = mux(_T_908, _T_910, ibuf_timer) @[el2_lsu_bus_buffer.scala 287:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_911) @[el2_lsu_bus_buffer.scala 287:26] + node _T_912 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 289:36] + node _T_913 = and(_T_912, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 289:54] + node _T_914 = and(_T_913, ibuf_valid) @[el2_lsu_bus_buffer.scala 289:75] + node _T_915 = and(_T_914, ibuf_write) @[el2_lsu_bus_buffer.scala 289:88] + node _T_916 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 289:117] + node _T_917 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 289:137] + node _T_918 = eq(_T_916, _T_917) @[el2_lsu_bus_buffer.scala 289:124] + node _T_919 = and(_T_915, _T_918) @[el2_lsu_bus_buffer.scala 289:101] + node _T_920 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 289:147] + node _T_921 = and(_T_919, _T_920) @[el2_lsu_bus_buffer.scala 289:145] + node _T_922 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 289:170] + node _T_923 = and(_T_921, _T_922) @[el2_lsu_bus_buffer.scala 289:168] + ibuf_merge_en <= _T_923 @[el2_lsu_bus_buffer.scala 289:17] + node _T_924 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:20] + ibuf_merge_in <= _T_924 @[el2_lsu_bus_buffer.scala 290:17] + node _T_925 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] + node _T_926 = and(ibuf_merge_en, _T_925) @[el2_lsu_bus_buffer.scala 291:63] + node _T_927 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 291:92] + node _T_928 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 291:114] + node _T_929 = or(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 291:96] + node _T_930 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 291:130] + node _T_931 = mux(_T_926, _T_929, _T_930) @[el2_lsu_bus_buffer.scala 291:48] + node _T_932 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] + node _T_933 = and(ibuf_merge_en, _T_932) @[el2_lsu_bus_buffer.scala 291:63] + node _T_934 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 291:92] + node _T_935 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 291:114] + node _T_936 = or(_T_934, _T_935) @[el2_lsu_bus_buffer.scala 291:96] + node _T_937 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 291:130] + node _T_938 = mux(_T_933, _T_936, _T_937) @[el2_lsu_bus_buffer.scala 291:48] + node _T_939 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] + node _T_940 = and(ibuf_merge_en, _T_939) @[el2_lsu_bus_buffer.scala 291:63] + node _T_941 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 291:92] + node _T_942 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 291:114] + node _T_943 = or(_T_941, _T_942) @[el2_lsu_bus_buffer.scala 291:96] + node _T_944 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 291:130] + node _T_945 = mux(_T_940, _T_943, _T_944) @[el2_lsu_bus_buffer.scala 291:48] + node _T_946 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] + node _T_947 = and(ibuf_merge_en, _T_946) @[el2_lsu_bus_buffer.scala 291:63] + node _T_948 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 291:92] + node _T_949 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 291:114] + node _T_950 = or(_T_948, _T_949) @[el2_lsu_bus_buffer.scala 291:96] + node _T_951 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 291:130] + node _T_952 = mux(_T_947, _T_950, _T_951) @[el2_lsu_bus_buffer.scala 291:48] + node _T_953 = cat(_T_952, _T_945) @[Cat.scala 29:58] + node _T_954 = cat(_T_953, _T_938) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_954, _T_931) @[Cat.scala 29:58] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] + node _T_956 = and(ibuf_merge_en, _T_955) @[el2_lsu_bus_buffer.scala 292:60] + node _T_957 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 292:98] + node _T_958 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 292:118] + node _T_959 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 292:143] + node _T_960 = mux(_T_957, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 292:81] + node _T_961 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 292:169] + node _T_962 = mux(_T_956, _T_960, _T_961) @[el2_lsu_bus_buffer.scala 292:45] + node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] + node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 292:60] + node _T_965 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 292:98] + node _T_966 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 292:118] + node _T_967 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 292:143] + node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 292:81] + node _T_969 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 292:169] + node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 292:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 292:60] + node _T_973 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 292:98] + node _T_974 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 292:118] + node _T_975 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 292:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 292:81] + node _T_977 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 292:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 292:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 292:60] + node _T_981 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 292:98] + node _T_982 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 292:118] + node _T_983 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 292:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 292:81] + node _T_985 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 292:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 292:45] + node _T_987 = cat(_T_986, _T_978) @[Cat.scala 29:58] + node _T_988 = cat(_T_987, _T_970) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_988, _T_962) @[Cat.scala 29:58] + node _T_989 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 294:28] + node _T_990 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:63] + node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 294:61] + reg _T_992 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 294:24] + _T_992 <= _T_991 @[el2_lsu_bus_buffer.scala 294:24] + ibuf_valid <= _T_992 @[el2_lsu_bus_buffer.scala 294:14] + node _T_993 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 295:120] + node _T_994 = bits(_T_993, 0, 0) @[el2_lsu_bus_buffer.scala 295:120] + node _T_995 = and(ibuf_wr_en, _T_994) @[el2_lsu_bus_buffer.scala 295:89] + reg _T_996 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_995 : @[Reg.scala 28:19] + _T_996 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 279:12] - node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 280:131] - node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 280:131] - node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 280:100] + ibuf_tag <= _T_996 @[el2_lsu_bus_buffer.scala 295:12] + node _T_997 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 296:131] + node _T_998 = bits(_T_997, 0, 0) @[el2_lsu_bus_buffer.scala 296:131] + node _T_999 = and(ibuf_wr_en, _T_998) @[el2_lsu_bus_buffer.scala 296:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_920 : @[Reg.scala 28:19] + when _T_999 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 281:127] - node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 281:127] - node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 281:96] + node _T_1000 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 297:127] + node _T_1001 = bits(_T_1000, 0, 0) @[el2_lsu_bus_buffer.scala 297:127] + node _T_1002 = and(ibuf_wr_en, _T_1001) @[el2_lsu_bus_buffer.scala 297:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_923 : @[Reg.scala 28:19] + when _T_1002 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 282:128] - node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 282:128] - node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 282:97] + node _T_1003 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:128] + node _T_1004 = bits(_T_1003, 0, 0) @[el2_lsu_bus_buffer.scala 298:128] + node _T_1005 = and(ibuf_wr_en, _T_1004) @[el2_lsu_bus_buffer.scala 298:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_926 : @[Reg.scala 28:19] + when _T_1005 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 283:135] - node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 283:135] - node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 283:104] + node _T_1006 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:135] + node _T_1007 = bits(_T_1006, 0, 0) @[el2_lsu_bus_buffer.scala 299:135] + node _T_1008 = and(ibuf_wr_en, _T_1007) @[el2_lsu_bus_buffer.scala 299:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_929 : @[Reg.scala 28:19] + when _T_1008 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 284:135] - node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 284:135] - node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 284:104] - reg _T_933 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_932 : @[Reg.scala 28:19] - _T_933 <= io.is_sideeffects_r @[Reg.scala 28:23] + node _T_1009 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:135] + node _T_1010 = bits(_T_1009, 0, 0) @[el2_lsu_bus_buffer.scala 300:135] + node _T_1011 = and(ibuf_wr_en, _T_1010) @[el2_lsu_bus_buffer.scala 300:104] + reg _T_1012 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1011 : @[Reg.scala 28:19] + _T_1012 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 284:19] - node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 285:134] - node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 285:134] - node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 285:103] + ibuf_sideeffect <= _T_1012 @[el2_lsu_bus_buffer.scala 300:19] + node _T_1013 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:134] + node _T_1014 = bits(_T_1013, 0, 0) @[el2_lsu_bus_buffer.scala 301:134] + node _T_1015 = and(ibuf_wr_en, _T_1014) @[el2_lsu_bus_buffer.scala 301:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_936 : @[Reg.scala 28:19] + when _T_1015 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_937 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1016 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_937 <= io.lsu_pkt_r.store @[Reg.scala 28:23] + _T_1016 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 286:14] + ibuf_write <= _T_1016 @[el2_lsu_bus_buffer.scala 302:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1515,149 +1597,149 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_938 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_938 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 288:13] - reg _T_939 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1017 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1017 <= ibuf_addr_in @[el2_lib.scala 491:16] + ibuf_addr <= _T_1017 @[el2_lsu_bus_buffer.scala 304:13] + reg _T_1018 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_939 <= ibuf_byteen_in @[Reg.scala 28:23] + _T_1018 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 289:15] + ibuf_byteen <= _T_1018 @[el2_lsu_bus_buffer.scala 305:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_1.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_940 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_940 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 290:13] - reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 291:59] - _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 291:59] - ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 291:14] + reg _T_1019 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1019 <= ibuf_data_in @[el2_lib.scala 491:16] + ibuf_data <= _T_1019 @[el2_lsu_bus_buffer.scala 306:13] + reg _T_1020 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 307:59] + _T_1020 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 307:59] + ibuf_timer <= _T_1020 @[el2_lsu_bus_buffer.scala 307:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 295:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 296:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 296:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 296:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 296:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 311:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] wire Cmdptr0 : UInt<2> Cmdptr0 <= UInt<1>("h00") - io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 298:14] + io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 314:14] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 302:43] - node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 302:72] - node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 302:51] - node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 302:97] - node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 302:80] - node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 303:5] - node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 302:114] - node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 303:114] - node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 303:114] - node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 303:114] - node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 303:114] - node _T_953 = mux(_T_949, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_954 = mux(_T_950, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_955 = mux(_T_951, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_956 = mux(_T_952, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_957 = or(_T_953, _T_954) @[Mux.scala 27:72] - node _T_958 = or(_T_957, _T_955) @[Mux.scala 27:72] - node _T_959 = or(_T_958, _T_956) @[Mux.scala 27:72] - wire _T_960 : UInt<1> @[Mux.scala 27:72] - _T_960 <= _T_959 @[Mux.scala 27:72] - node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 303:31] - node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 303:29] - node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:88] - node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 304:111] - node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 304:88] - node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 304:111] - node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 304:88] - node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 304:111] - node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 304:88] - node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 304:111] - node _T_971 = mux(_T_963, _T_964, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_972 = mux(_T_965, _T_966, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_973 = mux(_T_967, _T_968, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_974 = mux(_T_969, _T_970, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_975 = or(_T_971, _T_972) @[Mux.scala 27:72] - node _T_976 = or(_T_975, _T_973) @[Mux.scala 27:72] - node _T_977 = or(_T_976, _T_974) @[Mux.scala 27:72] - wire _T_978 : UInt<1> @[Mux.scala 27:72] - _T_978 <= _T_977 @[Mux.scala 27:72] - node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:5] - node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 303:140] - node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:119] - node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 304:117] - node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 305:75] - node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 305:95] - node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 305:79] - node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 305:121] - node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 305:121] - node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 305:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 305:29] - node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:41] - node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 306:39] - node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:60] - node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 306:58] - node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 306:93] - node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 306:72] - node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 306:117] - node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:208] - node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 306:228] - node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 306:208] - node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 306:228] - node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 306:208] - node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 306:228] - node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 306:208] - node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 306:228] - node _T_1003 = mux(_T_995, _T_996, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1004 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1005 = mux(_T_999, _T_1000, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1006 = mux(_T_1001, _T_1002, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1007 = or(_T_1003, _T_1004) @[Mux.scala 27:72] - node _T_1008 = or(_T_1007, _T_1005) @[Mux.scala 27:72] - node _T_1009 = or(_T_1008, _T_1006) @[Mux.scala 27:72] - wire _T_1010 : UInt<30> @[Mux.scala 27:72] - _T_1010 <= _T_1009 @[Mux.scala 27:72] - node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 306:123] - node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 306:101] - obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 306:20] + node _T_1021 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 318:43] + node _T_1022 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 318:72] + node _T_1023 = and(_T_1021, _T_1022) @[el2_lsu_bus_buffer.scala 318:51] + node _T_1024 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 318:97] + node _T_1025 = and(_T_1023, _T_1024) @[el2_lsu_bus_buffer.scala 318:80] + node _T_1026 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:5] + node _T_1027 = and(_T_1025, _T_1026) @[el2_lsu_bus_buffer.scala 318:114] + node _T_1028 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:114] + node _T_1029 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 319:114] + node _T_1030 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 319:114] + node _T_1031 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 319:114] + node _T_1032 = mux(_T_1028, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1033 = mux(_T_1029, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1034 = mux(_T_1030, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1035 = mux(_T_1031, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1036 = or(_T_1032, _T_1033) @[Mux.scala 27:72] + node _T_1037 = or(_T_1036, _T_1034) @[Mux.scala 27:72] + node _T_1038 = or(_T_1037, _T_1035) @[Mux.scala 27:72] + wire _T_1039 : UInt<1> @[Mux.scala 27:72] + _T_1039 <= _T_1038 @[Mux.scala 27:72] + node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:31] + node _T_1041 = and(_T_1027, _T_1040) @[el2_lsu_bus_buffer.scala 319:29] + node _T_1042 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:88] + node _T_1043 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 320:111] + node _T_1044 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:88] + node _T_1045 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 320:111] + node _T_1046 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 320:88] + node _T_1047 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 320:111] + node _T_1048 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 320:88] + node _T_1049 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 320:111] + node _T_1050 = mux(_T_1042, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1051 = mux(_T_1044, _T_1045, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1052 = mux(_T_1046, _T_1047, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1053 = mux(_T_1048, _T_1049, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1054 = or(_T_1050, _T_1051) @[Mux.scala 27:72] + node _T_1055 = or(_T_1054, _T_1052) @[Mux.scala 27:72] + node _T_1056 = or(_T_1055, _T_1053) @[Mux.scala 27:72] + wire _T_1057 : UInt<1> @[Mux.scala 27:72] + _T_1057 <= _T_1056 @[Mux.scala 27:72] + node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:5] + node _T_1059 = and(_T_1041, _T_1058) @[el2_lsu_bus_buffer.scala 319:140] + node _T_1060 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:119] + node obuf_wr_wait = and(_T_1059, _T_1060) @[el2_lsu_bus_buffer.scala 320:117] + node _T_1061 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 321:75] + node _T_1062 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 321:95] + node _T_1063 = and(_T_1061, _T_1062) @[el2_lsu_bus_buffer.scala 321:79] + node _T_1064 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:121] + node _T_1065 = tail(_T_1064, 1) @[el2_lsu_bus_buffer.scala 321:121] + node _T_1066 = mux(_T_1063, _T_1065, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 321:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1066) @[el2_lsu_bus_buffer.scala 321:29] + node _T_1067 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:41] + node _T_1068 = and(io.lsu_busreq_m, _T_1067) @[el2_lsu_bus_buffer.scala 322:39] + node _T_1069 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:60] + node _T_1070 = and(_T_1068, _T_1069) @[el2_lsu_bus_buffer.scala 322:58] + node _T_1071 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:93] + node _T_1072 = and(_T_1070, _T_1071) @[el2_lsu_bus_buffer.scala 322:72] + node _T_1073 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 322:117] + node _T_1074 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:208] + node _T_1075 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] + node _T_1076 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:208] + node _T_1077 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] + node _T_1078 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 322:208] + node _T_1079 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] + node _T_1080 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 322:208] + node _T_1081 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] + node _T_1082 = mux(_T_1074, _T_1075, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1083 = mux(_T_1076, _T_1077, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1084 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1085 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1086 = or(_T_1082, _T_1083) @[Mux.scala 27:72] + node _T_1087 = or(_T_1086, _T_1084) @[Mux.scala 27:72] + node _T_1088 = or(_T_1087, _T_1085) @[Mux.scala 27:72] + wire _T_1089 : UInt<30> @[Mux.scala 27:72] + _T_1089 <= _T_1088 @[Mux.scala 27:72] + node _T_1090 = neq(_T_1073, _T_1089) @[el2_lsu_bus_buffer.scala 322:123] + node _T_1091 = and(_T_1072, _T_1090) @[el2_lsu_bus_buffer.scala 322:101] + obuf_force_wr_en <= _T_1091 @[el2_lsu_bus_buffer.scala 322:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 308:53] - node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 308:31] - node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 308:64] - node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 308:84] - node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 308:61] + node _T_1092 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:53] + node _T_1093 = and(ibuf_byp, _T_1092) @[el2_lsu_bus_buffer.scala 324:31] + node _T_1094 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:64] + node _T_1095 = or(_T_1094, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 324:84] + node ibuf_buf_byp = and(_T_1093, _T_1095) @[el2_lsu_bus_buffer.scala 324:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 311:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 313:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 315:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 327:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 329:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 331:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1670,280 +1752,280 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 323:32] - node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 323:74] - node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:52] - node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 323:50] - node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1025 = mux(_T_1021, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1026 = mux(_T_1022, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1027 = mux(_T_1023, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1028 = mux(_T_1024, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1029 = or(_T_1025, _T_1026) @[Mux.scala 27:72] - node _T_1030 = or(_T_1029, _T_1027) @[Mux.scala 27:72] - node _T_1031 = or(_T_1030, _T_1028) @[Mux.scala 27:72] - wire _T_1032 : UInt<3> @[Mux.scala 27:72] - _T_1032 <= _T_1031 @[Mux.scala 27:72] - node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 324:36] - node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 324:47] - node _T_1035 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1036 = cat(_T_1035, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1037 = cat(_T_1036, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1046 = mux(_T_1038, _T_1039, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1047 = mux(_T_1040, _T_1041, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1048 = mux(_T_1042, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1049 = mux(_T_1044, _T_1045, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1050 = or(_T_1046, _T_1047) @[Mux.scala 27:72] - node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] - node _T_1052 = or(_T_1051, _T_1049) @[Mux.scala 27:72] - wire _T_1053 : UInt<1> @[Mux.scala 27:72] - _T_1053 <= _T_1052 @[Mux.scala 27:72] - node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:23] - node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 325:21] - node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1064 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1065 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1066 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1067 = mux(_T_1062, _T_1063, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1068 = or(_T_1064, _T_1065) @[Mux.scala 27:72] - node _T_1069 = or(_T_1068, _T_1066) @[Mux.scala 27:72] - node _T_1070 = or(_T_1069, _T_1067) @[Mux.scala 27:72] - wire _T_1071 : UInt<1> @[Mux.scala 27:72] - _T_1071 <= _T_1070 @[Mux.scala 27:72] - node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 325:141] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:105] - node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 325:103] - node _T_1075 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1076 = cat(_T_1075, buf_dual[1]) @[Cat.scala 29:58] - node _T_1077 = cat(_T_1076, buf_dual[0]) @[Cat.scala 29:58] - node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1086 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1087 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1088 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1089 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1090 = or(_T_1086, _T_1087) @[Mux.scala 27:72] - node _T_1091 = or(_T_1090, _T_1088) @[Mux.scala 27:72] - node _T_1092 = or(_T_1091, _T_1089) @[Mux.scala 27:72] - wire _T_1093 : UInt<1> @[Mux.scala 27:72] - _T_1093 <= _T_1092 @[Mux.scala 27:72] - node _T_1094 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1095 = cat(_T_1094, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1096 = cat(_T_1095, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1105 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1106 = mux(_T_1099, _T_1100, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1107 = mux(_T_1101, _T_1102, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1108 = mux(_T_1103, _T_1104, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1109 = or(_T_1105, _T_1106) @[Mux.scala 27:72] + node _T_1096 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 339:32] + node _T_1097 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 339:74] + node _T_1098 = eq(_T_1097, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 339:52] + node _T_1099 = and(_T_1096, _T_1098) @[el2_lsu_bus_buffer.scala 339:50] + node _T_1100 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1101 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1102 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1104 = mux(_T_1100, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1105 = mux(_T_1101, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1106 = mux(_T_1102, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1107 = mux(_T_1103, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1108 = or(_T_1104, _T_1105) @[Mux.scala 27:72] + node _T_1109 = or(_T_1108, _T_1106) @[Mux.scala 27:72] node _T_1110 = or(_T_1109, _T_1107) @[Mux.scala 27:72] - node _T_1111 = or(_T_1110, _T_1108) @[Mux.scala 27:72] - wire _T_1112 : UInt<1> @[Mux.scala 27:72] - _T_1112 <= _T_1111 @[Mux.scala 27:72] - node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 326:77] - node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1122 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1123 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1124 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1125 = mux(_T_1120, _T_1121, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1126 = or(_T_1122, _T_1123) @[Mux.scala 27:72] - node _T_1127 = or(_T_1126, _T_1124) @[Mux.scala 27:72] - node _T_1128 = or(_T_1127, _T_1125) @[Mux.scala 27:72] - wire _T_1129 : UInt<1> @[Mux.scala 27:72] - _T_1129 <= _T_1128 @[Mux.scala 27:72] - node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:150] - node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 326:148] - node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:8] - node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 326:181] - node _T_1134 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] - node _T_1135 = cat(_T_1134, buf_nomerge[1]) @[Cat.scala 29:58] - node _T_1136 = cat(_T_1135, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1145 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1146 = mux(_T_1139, _T_1140, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1147 = mux(_T_1141, _T_1142, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1148 = mux(_T_1143, _T_1144, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1149 = or(_T_1145, _T_1146) @[Mux.scala 27:72] - node _T_1150 = or(_T_1149, _T_1147) @[Mux.scala 27:72] - node _T_1151 = or(_T_1150, _T_1148) @[Mux.scala 27:72] - wire _T_1152 : UInt<1> @[Mux.scala 27:72] - _T_1152 <= _T_1151 @[Mux.scala 27:72] - node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 326:197] - node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 326:269] - node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 325:164] - node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 323:98] - node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:48] - node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 327:46] - node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 327:60] - node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 327:29] - node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:77] - node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 327:75] - node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:93] - node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 327:91] - node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:118] - node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 327:116] - node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 327:142] - obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 323:14] + wire _T_1111 : UInt<3> @[Mux.scala 27:72] + _T_1111 <= _T_1110 @[Mux.scala 27:72] + node _T_1112 = eq(_T_1111, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 340:36] + node _T_1113 = and(_T_1112, found_cmdptr0) @[el2_lsu_bus_buffer.scala 340:47] + node _T_1114 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1115 = cat(_T_1114, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1116 = cat(_T_1115, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1117 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1118 = bits(_T_1116, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1119 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1120 = bits(_T_1116, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1121 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1122 = bits(_T_1116, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1123 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1124 = bits(_T_1116, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1125 = mux(_T_1117, _T_1118, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1126 = mux(_T_1119, _T_1120, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1127 = mux(_T_1121, _T_1122, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1128 = mux(_T_1123, _T_1124, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1129 = or(_T_1125, _T_1126) @[Mux.scala 27:72] + node _T_1130 = or(_T_1129, _T_1127) @[Mux.scala 27:72] + node _T_1131 = or(_T_1130, _T_1128) @[Mux.scala 27:72] + wire _T_1132 : UInt<1> @[Mux.scala 27:72] + _T_1132 <= _T_1131 @[Mux.scala 27:72] + node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:23] + node _T_1134 = and(_T_1113, _T_1133) @[el2_lsu_bus_buffer.scala 341:21] + node _T_1135 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1136 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1137 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1138 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1139 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1140 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1141 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1142 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1143 = mux(_T_1135, _T_1136, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1144 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1145 = mux(_T_1139, _T_1140, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1146 = mux(_T_1141, _T_1142, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1147 = or(_T_1143, _T_1144) @[Mux.scala 27:72] + node _T_1148 = or(_T_1147, _T_1145) @[Mux.scala 27:72] + node _T_1149 = or(_T_1148, _T_1146) @[Mux.scala 27:72] + wire _T_1150 : UInt<1> @[Mux.scala 27:72] + _T_1150 <= _T_1149 @[Mux.scala 27:72] + node _T_1151 = and(_T_1150, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 341:141] + node _T_1152 = eq(_T_1151, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:105] + node _T_1153 = and(_T_1134, _T_1152) @[el2_lsu_bus_buffer.scala 341:103] + node _T_1154 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1155 = cat(_T_1154, buf_dual[1]) @[Cat.scala 29:58] + node _T_1156 = cat(_T_1155, buf_dual[0]) @[Cat.scala 29:58] + node _T_1157 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1158 = bits(_T_1156, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1159 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1160 = bits(_T_1156, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1161 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1162 = bits(_T_1156, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1163 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1164 = bits(_T_1156, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1165 = mux(_T_1157, _T_1158, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1166 = mux(_T_1159, _T_1160, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1167 = mux(_T_1161, _T_1162, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1168 = mux(_T_1163, _T_1164, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1169 = or(_T_1165, _T_1166) @[Mux.scala 27:72] + node _T_1170 = or(_T_1169, _T_1167) @[Mux.scala 27:72] + node _T_1171 = or(_T_1170, _T_1168) @[Mux.scala 27:72] + wire _T_1172 : UInt<1> @[Mux.scala 27:72] + _T_1172 <= _T_1171 @[Mux.scala 27:72] + node _T_1173 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1174 = cat(_T_1173, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1175 = cat(_T_1174, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1176 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1177 = bits(_T_1175, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1178 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1179 = bits(_T_1175, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1180 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1181 = bits(_T_1175, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1182 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1183 = bits(_T_1175, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1184 = mux(_T_1176, _T_1177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1185 = mux(_T_1178, _T_1179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1186 = mux(_T_1180, _T_1181, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1187 = mux(_T_1182, _T_1183, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1188 = or(_T_1184, _T_1185) @[Mux.scala 27:72] + node _T_1189 = or(_T_1188, _T_1186) @[Mux.scala 27:72] + node _T_1190 = or(_T_1189, _T_1187) @[Mux.scala 27:72] + wire _T_1191 : UInt<1> @[Mux.scala 27:72] + _T_1191 <= _T_1190 @[Mux.scala 27:72] + node _T_1192 = and(_T_1172, _T_1191) @[el2_lsu_bus_buffer.scala 342:77] + node _T_1193 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1194 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1195 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1196 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1197 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1198 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1199 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1200 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1201 = mux(_T_1193, _T_1194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1202 = mux(_T_1195, _T_1196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1203 = mux(_T_1197, _T_1198, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1204 = mux(_T_1199, _T_1200, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1205 = or(_T_1201, _T_1202) @[Mux.scala 27:72] + node _T_1206 = or(_T_1205, _T_1203) @[Mux.scala 27:72] + node _T_1207 = or(_T_1206, _T_1204) @[Mux.scala 27:72] + wire _T_1208 : UInt<1> @[Mux.scala 27:72] + _T_1208 <= _T_1207 @[Mux.scala 27:72] + node _T_1209 = eq(_T_1208, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:150] + node _T_1210 = and(_T_1192, _T_1209) @[el2_lsu_bus_buffer.scala 342:148] + node _T_1211 = eq(_T_1210, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:8] + node _T_1212 = or(_T_1211, found_cmdptr1) @[el2_lsu_bus_buffer.scala 342:181] + node _T_1213 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1214 = cat(_T_1213, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1215 = cat(_T_1214, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1216 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1217 = bits(_T_1215, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1218 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1219 = bits(_T_1215, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1221 = bits(_T_1215, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1222 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1223 = bits(_T_1215, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1224 = mux(_T_1216, _T_1217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1225 = mux(_T_1218, _T_1219, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1226 = mux(_T_1220, _T_1221, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1227 = mux(_T_1222, _T_1223, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1228 = or(_T_1224, _T_1225) @[Mux.scala 27:72] + node _T_1229 = or(_T_1228, _T_1226) @[Mux.scala 27:72] + node _T_1230 = or(_T_1229, _T_1227) @[Mux.scala 27:72] + wire _T_1231 : UInt<1> @[Mux.scala 27:72] + _T_1231 <= _T_1230 @[Mux.scala 27:72] + node _T_1232 = or(_T_1212, _T_1231) @[el2_lsu_bus_buffer.scala 342:197] + node _T_1233 = or(_T_1232, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 342:269] + node _T_1234 = and(_T_1153, _T_1233) @[el2_lsu_bus_buffer.scala 341:164] + node _T_1235 = or(_T_1099, _T_1234) @[el2_lsu_bus_buffer.scala 339:98] + node _T_1236 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:48] + node _T_1237 = or(bus_cmd_ready, _T_1236) @[el2_lsu_bus_buffer.scala 343:46] + node _T_1238 = or(_T_1237, obuf_nosend) @[el2_lsu_bus_buffer.scala 343:60] + node _T_1239 = and(_T_1235, _T_1238) @[el2_lsu_bus_buffer.scala 343:29] + node _T_1240 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:77] + node _T_1241 = and(_T_1239, _T_1240) @[el2_lsu_bus_buffer.scala 343:75] + node _T_1242 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:93] + node _T_1243 = and(_T_1241, _T_1242) @[el2_lsu_bus_buffer.scala 343:91] + node _T_1244 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:118] + node _T_1245 = and(_T_1243, _T_1244) @[el2_lsu_bus_buffer.scala 343:116] + node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 343:142] + obuf_wr_en <= _T_1246 @[el2_lsu_bus_buffer.scala 339:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 329:47] - node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 329:33] - node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 329:65] - node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 329:63] - node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 329:77] - node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 329:98] - node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1181 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1182 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1183 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1184 = mux(_T_1179, _T_1180, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1185 = or(_T_1181, _T_1182) @[Mux.scala 27:72] - node _T_1186 = or(_T_1185, _T_1183) @[Mux.scala 27:72] - node _T_1187 = or(_T_1186, _T_1184) @[Mux.scala 27:72] - wire _T_1188 : UInt<1> @[Mux.scala 27:72] - _T_1188 <= _T_1187 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 330:26] - node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1197 = mux(_T_1189, _T_1190, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1198 = mux(_T_1191, _T_1192, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1199 = mux(_T_1193, _T_1194, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1200 = mux(_T_1195, _T_1196, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1201 = or(_T_1197, _T_1198) @[Mux.scala 27:72] - node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] - node _T_1203 = or(_T_1202, _T_1200) @[Mux.scala 27:72] - wire _T_1204 : UInt<1> @[Mux.scala 27:72] - _T_1204 <= _T_1203 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 331:31] - node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1209 = mux(_T_1205, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1210 = mux(_T_1206, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1211 = mux(_T_1207, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1212 = mux(_T_1208, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1213 = or(_T_1209, _T_1210) @[Mux.scala 27:72] - node _T_1214 = or(_T_1213, _T_1211) @[Mux.scala 27:72] - node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] - wire _T_1216 : UInt<32> @[Mux.scala 27:72] - _T_1216 <= _T_1215 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 332:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 333:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:10] - node _T_1217 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1222 = mux(_T_1218, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1223 = mux(_T_1219, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1224 = mux(_T_1220, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1225 = mux(_T_1221, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1226 = or(_T_1222, _T_1223) @[Mux.scala 27:72] - node _T_1227 = or(_T_1226, _T_1224) @[Mux.scala 27:72] - node _T_1228 = or(_T_1227, _T_1225) @[Mux.scala 27:72] - wire _T_1229 : UInt<2> @[Mux.scala 27:72] - _T_1229 <= _T_1228 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 335:23] + node _T_1247 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 345:47] + node _T_1248 = or(bus_cmd_sent, _T_1247) @[el2_lsu_bus_buffer.scala 345:33] + node _T_1249 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:65] + node _T_1250 = and(_T_1248, _T_1249) @[el2_lsu_bus_buffer.scala 345:63] + node _T_1251 = and(_T_1250, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 345:77] + node obuf_rst = or(_T_1251, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 345:98] + node _T_1252 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1253 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1254 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1255 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1256 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1257 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1258 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1259 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1260 = mux(_T_1252, _T_1253, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1261 = mux(_T_1254, _T_1255, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1262 = mux(_T_1256, _T_1257, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1263 = mux(_T_1258, _T_1259, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1264 = or(_T_1260, _T_1261) @[Mux.scala 27:72] + node _T_1265 = or(_T_1264, _T_1262) @[Mux.scala 27:72] + node _T_1266 = or(_T_1265, _T_1263) @[Mux.scala 27:72] + wire _T_1267 : UInt<1> @[Mux.scala 27:72] + _T_1267 <= _T_1266 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1267) @[el2_lsu_bus_buffer.scala 346:26] + node _T_1268 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1269 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1270 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1271 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1272 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1273 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1274 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1275 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1276 = mux(_T_1268, _T_1269, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1277 = mux(_T_1270, _T_1271, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1278 = mux(_T_1272, _T_1273, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1279 = mux(_T_1274, _T_1275, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1280 = or(_T_1276, _T_1277) @[Mux.scala 27:72] + node _T_1281 = or(_T_1280, _T_1278) @[Mux.scala 27:72] + node _T_1282 = or(_T_1281, _T_1279) @[Mux.scala 27:72] + wire _T_1283 : UInt<1> @[Mux.scala 27:72] + _T_1283 <= _T_1282 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1283) @[el2_lsu_bus_buffer.scala 347:31] + node _T_1284 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1285 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1286 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1287 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1288 = mux(_T_1284, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1289 = mux(_T_1285, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1290 = mux(_T_1286, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1291 = mux(_T_1287, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1292 = or(_T_1288, _T_1289) @[Mux.scala 27:72] + node _T_1293 = or(_T_1292, _T_1290) @[Mux.scala 27:72] + node _T_1294 = or(_T_1293, _T_1291) @[Mux.scala 27:72] + wire _T_1295 : UInt<32> @[Mux.scala 27:72] + _T_1295 <= _T_1294 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1295) @[el2_lsu_bus_buffer.scala 348:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 349:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] + node _T_1296 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_1297 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1298 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1299 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1300 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1301 = mux(_T_1297, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1302 = mux(_T_1298, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1303 = mux(_T_1299, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1304 = mux(_T_1300, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1305 = or(_T_1301, _T_1302) @[Mux.scala 27:72] + node _T_1306 = or(_T_1305, _T_1303) @[Mux.scala 27:72] + node _T_1307 = or(_T_1306, _T_1304) @[Mux.scala 27:72] + wire _T_1308 : UInt<2> @[Mux.scala 27:72] + _T_1308 <= _T_1307 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1296, _T_1308) @[el2_lsu_bus_buffer.scala 351:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 338:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 354:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 340:14] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 341:25] + io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 356:14] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 357:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 344:39] - node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:26] - node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 344:68] - node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 344:51] + node _T_1309 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 360:39] + node _T_1310 = eq(_T_1309, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:26] + node _T_1311 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 360:68] + node obuf_cmd_done_in = and(_T_1310, _T_1311) @[el2_lsu_bus_buffer.scala 360:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 347:40] - node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:27] - node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 347:70] - node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 347:52] - node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 348:67] - node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:72] - node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 348:92] - node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 348:111] - node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:98] - node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 348:96] - node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 348:79] - node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 348:129] - node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 348:147] - node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 348:153] - node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:134] - node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 348:132] - node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 348:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 348:28] + node _T_1312 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 363:40] + node _T_1313 = eq(_T_1312, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:27] + node _T_1314 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 363:70] + node obuf_data_done_in = and(_T_1313, _T_1314) @[el2_lsu_bus_buffer.scala 363:52] + node _T_1315 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 364:67] + node _T_1316 = eq(_T_1315, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:72] + node _T_1317 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 364:92] + node _T_1318 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 364:111] + node _T_1319 = eq(_T_1318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:98] + node _T_1320 = and(_T_1317, _T_1319) @[el2_lsu_bus_buffer.scala 364:96] + node _T_1321 = or(_T_1316, _T_1320) @[el2_lsu_bus_buffer.scala 364:79] + node _T_1322 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 364:129] + node _T_1323 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 364:147] + node _T_1324 = orr(_T_1323) @[el2_lsu_bus_buffer.scala 364:153] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:134] + node _T_1326 = and(_T_1322, _T_1325) @[el2_lsu_bus_buffer.scala 364:132] + node _T_1327 = or(_T_1321, _T_1326) @[el2_lsu_bus_buffer.scala 364:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1327) @[el2_lsu_bus_buffer.scala 364:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -1956,598 +2038,598 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:44] - node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 356:42] - node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:29] - node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 356:61] - node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 356:116] - node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 356:96] - node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:81] - node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 356:79] - node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:22] - node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 357:20] - node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:37] - node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 357:35] - node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 356:138] + node _T_1328 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1329 = and(obuf_wr_en, _T_1328) @[el2_lsu_bus_buffer.scala 372:42] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:29] + node _T_1331 = and(_T_1330, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 372:61] + node _T_1332 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 372:116] + node _T_1333 = and(bus_rsp_read, _T_1332) @[el2_lsu_bus_buffer.scala 372:96] + node _T_1334 = eq(_T_1333, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:81] + node _T_1335 = and(_T_1331, _T_1334) @[el2_lsu_bus_buffer.scala 372:79] + node _T_1336 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:22] + node _T_1337 = and(bus_cmd_sent, _T_1336) @[el2_lsu_bus_buffer.scala 373:20] + node _T_1338 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:37] + node _T_1339 = and(_T_1337, _T_1338) @[el2_lsu_bus_buffer.scala 373:35] + node obuf_rdrsp_pend_in = or(_T_1335, _T_1339) @[el2_lsu_bus_buffer.scala 372:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 359:46] - node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 359:44] - node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 359:30] + node _T_1340 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:46] + node _T_1341 = or(bus_cmd_sent, _T_1340) @[el2_lsu_bus_buffer.scala 375:44] + node obuf_rdrsp_tag_in = mux(_T_1341, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 375:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 362:34] - node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 362:52] - node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 362:40] - node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 362:60] - node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:80] - node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 362:78] - node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:99] - node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 362:97] - node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:113] - node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 362:111] - node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:130] - node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 362:128] - node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:20] - node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 363:18] - node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 363:90] - node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 363:70] - node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:55] - node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 363:53] - node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 363:34] - node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 362:165] - obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 362:18] - node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 364:60] - node _T_1284 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1285 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 364:46] - node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1291 = mux(_T_1287, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1292 = mux(_T_1288, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1293 = mux(_T_1289, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1294 = mux(_T_1290, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1295 = or(_T_1291, _T_1292) @[Mux.scala 27:72] - node _T_1296 = or(_T_1295, _T_1293) @[Mux.scala 27:72] - node _T_1297 = or(_T_1296, _T_1294) @[Mux.scala 27:72] - wire _T_1298 : UInt<32> @[Mux.scala 27:72] - _T_1298 <= _T_1297 @[Mux.scala 27:72] - node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 365:36] - node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 365:46] - node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1305 = mux(_T_1301, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1306 = mux(_T_1302, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1307 = mux(_T_1303, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1308 = mux(_T_1304, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1309 = or(_T_1305, _T_1306) @[Mux.scala 27:72] - node _T_1310 = or(_T_1309, _T_1307) @[Mux.scala 27:72] - node _T_1311 = or(_T_1310, _T_1308) @[Mux.scala 27:72] - wire _T_1312 : UInt<4> @[Mux.scala 27:72] - _T_1312 <= _T_1311 @[Mux.scala 27:72] - node _T_1313 = cat(_T_1312, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1318 = mux(_T_1314, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1319 = mux(_T_1315, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1320 = mux(_T_1316, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1321 = mux(_T_1317, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1322 = or(_T_1318, _T_1319) @[Mux.scala 27:72] - node _T_1323 = or(_T_1322, _T_1320) @[Mux.scala 27:72] - node _T_1324 = or(_T_1323, _T_1321) @[Mux.scala 27:72] - wire _T_1325 : UInt<4> @[Mux.scala 27:72] - _T_1325 <= _T_1324 @[Mux.scala 27:72] - node _T_1326 = cat(UInt<4>("h00"), _T_1325) @[Cat.scala 29:58] - node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 365:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 364:28] - node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 366:60] - node _T_1329 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1330 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 366:46] - node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1336 = mux(_T_1332, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1337 = mux(_T_1333, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1338 = mux(_T_1334, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1339 = mux(_T_1335, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1340 = or(_T_1336, _T_1337) @[Mux.scala 27:72] - node _T_1341 = or(_T_1340, _T_1338) @[Mux.scala 27:72] - node _T_1342 = or(_T_1341, _T_1339) @[Mux.scala 27:72] - wire _T_1343 : UInt<32> @[Mux.scala 27:72] - _T_1343 <= _T_1342 @[Mux.scala 27:72] - node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 367:36] - node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 367:46] - node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1350 = mux(_T_1346, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1351 = mux(_T_1347, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1352 = mux(_T_1348, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1353 = mux(_T_1349, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1354 = or(_T_1350, _T_1351) @[Mux.scala 27:72] - node _T_1355 = or(_T_1354, _T_1352) @[Mux.scala 27:72] - node _T_1356 = or(_T_1355, _T_1353) @[Mux.scala 27:72] - wire _T_1357 : UInt<4> @[Mux.scala 27:72] - _T_1357 <= _T_1356 @[Mux.scala 27:72] - node _T_1358 = cat(_T_1357, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1363 = mux(_T_1359, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1364 = mux(_T_1360, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1365 = mux(_T_1361, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1366 = mux(_T_1362, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1367 = or(_T_1363, _T_1364) @[Mux.scala 27:72] - node _T_1368 = or(_T_1367, _T_1365) @[Mux.scala 27:72] - node _T_1369 = or(_T_1368, _T_1366) @[Mux.scala 27:72] - wire _T_1370 : UInt<4> @[Mux.scala 27:72] - _T_1370 <= _T_1369 @[Mux.scala 27:72] - node _T_1371 = cat(UInt<4>("h00"), _T_1370) @[Cat.scala 29:58] - node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 367:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 366:28] - node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 369:58] - node _T_1374 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1375 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 369:44] - node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1381 = mux(_T_1377, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1382 = mux(_T_1378, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1383 = mux(_T_1379, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1384 = mux(_T_1380, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1385 = or(_T_1381, _T_1382) @[Mux.scala 27:72] - node _T_1386 = or(_T_1385, _T_1383) @[Mux.scala 27:72] - node _T_1387 = or(_T_1386, _T_1384) @[Mux.scala 27:72] - wire _T_1388 : UInt<32> @[Mux.scala 27:72] - _T_1388 <= _T_1387 @[Mux.scala 27:72] - node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 370:36] - node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 370:46] - node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1395 = mux(_T_1391, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1396 = mux(_T_1392, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1397 = mux(_T_1393, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1398 = mux(_T_1394, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1399 = or(_T_1395, _T_1396) @[Mux.scala 27:72] - node _T_1400 = or(_T_1399, _T_1397) @[Mux.scala 27:72] - node _T_1401 = or(_T_1400, _T_1398) @[Mux.scala 27:72] - wire _T_1402 : UInt<32> @[Mux.scala 27:72] - _T_1402 <= _T_1401 @[Mux.scala 27:72] - node _T_1403 = cat(_T_1402, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1408 = mux(_T_1404, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1409 = mux(_T_1405, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1410 = mux(_T_1406, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1411 = mux(_T_1407, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1412 = or(_T_1408, _T_1409) @[Mux.scala 27:72] - node _T_1413 = or(_T_1412, _T_1410) @[Mux.scala 27:72] - node _T_1414 = or(_T_1413, _T_1411) @[Mux.scala 27:72] - wire _T_1415 : UInt<32> @[Mux.scala 27:72] - _T_1415 <= _T_1414 @[Mux.scala 27:72] - node _T_1416 = cat(UInt<32>("h00"), _T_1415) @[Cat.scala 29:58] - node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 370:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 369:26] - node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 371:58] - node _T_1419 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1420 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 371:44] - node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1426 = mux(_T_1422, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1427 = mux(_T_1423, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1428 = mux(_T_1424, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1429 = mux(_T_1425, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1430 = or(_T_1426, _T_1427) @[Mux.scala 27:72] - node _T_1431 = or(_T_1430, _T_1428) @[Mux.scala 27:72] - node _T_1432 = or(_T_1431, _T_1429) @[Mux.scala 27:72] - wire _T_1433 : UInt<32> @[Mux.scala 27:72] - _T_1433 <= _T_1432 @[Mux.scala 27:72] - node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 372:36] - node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 372:46] - node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1440 = mux(_T_1436, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1441 = mux(_T_1437, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1442 = mux(_T_1438, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1443 = mux(_T_1439, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1444 = or(_T_1440, _T_1441) @[Mux.scala 27:72] - node _T_1445 = or(_T_1444, _T_1442) @[Mux.scala 27:72] - node _T_1446 = or(_T_1445, _T_1443) @[Mux.scala 27:72] - wire _T_1447 : UInt<32> @[Mux.scala 27:72] - _T_1447 <= _T_1446 @[Mux.scala 27:72] - node _T_1448 = cat(_T_1447, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1453 = mux(_T_1449, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1454 = mux(_T_1450, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1455 = mux(_T_1451, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1456 = mux(_T_1452, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1457 = or(_T_1453, _T_1454) @[Mux.scala 27:72] - node _T_1458 = or(_T_1457, _T_1455) @[Mux.scala 27:72] - node _T_1459 = or(_T_1458, _T_1456) @[Mux.scala 27:72] - wire _T_1460 : UInt<32> @[Mux.scala 27:72] - _T_1460 <= _T_1459 @[Mux.scala 27:72] - node _T_1461 = cat(UInt<32>("h00"), _T_1460) @[Cat.scala 29:58] - node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 372:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 371:26] - node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 373:59] - node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 373:97] - node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 373:80] - node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 373:63] - node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 373:59] - node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 373:97] - node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 373:80] - node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 373:63] - node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 373:59] - node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 373:97] - node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 373:80] - node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 373:63] - node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 373:59] - node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 373:97] - node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 373:80] - node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 373:63] - node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 373:59] - node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 373:97] - node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 373:80] - node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 373:63] - node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 373:59] - node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 373:97] - node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 373:80] - node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 373:63] - node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 373:59] - node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 373:97] - node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 373:80] - node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 373:63] - node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 373:59] - node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 373:97] - node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 373:80] - node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 373:63] - node _T_1495 = cat(_T_1494, _T_1490) @[Cat.scala 29:58] - node _T_1496 = cat(_T_1495, _T_1486) @[Cat.scala 29:58] - node _T_1497 = cat(_T_1496, _T_1482) @[Cat.scala 29:58] - node _T_1498 = cat(_T_1497, _T_1478) @[Cat.scala 29:58] - node _T_1499 = cat(_T_1498, _T_1474) @[Cat.scala 29:58] - node _T_1500 = cat(_T_1499, _T_1470) @[Cat.scala 29:58] - node obuf_byteen_in = cat(_T_1500, _T_1466) @[Cat.scala 29:58] - node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 374:76] - node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 374:59] - node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 374:94] - node _T_1504 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 374:123] - node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 374:44] - node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 374:76] - node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 374:59] - node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 374:94] - node _T_1509 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 374:123] - node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 374:44] - node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 374:76] - node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 374:59] - node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 374:94] - node _T_1514 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 374:123] - node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 374:44] - node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 374:76] - node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 374:59] - node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 374:94] - node _T_1519 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 374:123] - node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 374:44] - node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 374:76] - node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 374:59] - node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 374:94] - node _T_1524 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 374:123] - node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 374:44] - node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 374:76] - node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 374:59] - node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 374:94] - node _T_1529 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 374:123] - node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 374:44] - node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 374:76] - node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 374:59] - node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 374:94] - node _T_1534 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 374:123] - node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 374:44] - node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 374:76] - node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 374:59] - node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 374:94] - node _T_1539 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 374:123] - node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 374:44] - node _T_1541 = cat(_T_1540, _T_1535) @[Cat.scala 29:58] - node _T_1542 = cat(_T_1541, _T_1530) @[Cat.scala 29:58] - node _T_1543 = cat(_T_1542, _T_1525) @[Cat.scala 29:58] - node _T_1544 = cat(_T_1543, _T_1520) @[Cat.scala 29:58] - node _T_1545 = cat(_T_1544, _T_1515) @[Cat.scala 29:58] - node _T_1546 = cat(_T_1545, _T_1510) @[Cat.scala 29:58] - node obuf_data_in = cat(_T_1546, _T_1505) @[Cat.scala 29:58] - io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 375:15] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 376:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 377:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 377:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 377:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 377:14] - node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 378:30] - node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 378:43] - node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 378:59] - node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1554 = mux(_T_1550, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1555 = mux(_T_1551, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1556 = mux(_T_1552, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1557 = mux(_T_1553, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1558 = or(_T_1554, _T_1555) @[Mux.scala 27:72] - node _T_1559 = or(_T_1558, _T_1556) @[Mux.scala 27:72] - node _T_1560 = or(_T_1559, _T_1557) @[Mux.scala 27:72] - wire _T_1561 : UInt<3> @[Mux.scala 27:72] - _T_1561 <= _T_1560 @[Mux.scala 27:72] - node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 378:107] - node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 378:75] - node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1568 = mux(_T_1564, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1569 = mux(_T_1565, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1570 = mux(_T_1566, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1571 = mux(_T_1567, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1572 = or(_T_1568, _T_1569) @[Mux.scala 27:72] - node _T_1573 = or(_T_1572, _T_1570) @[Mux.scala 27:72] - node _T_1574 = or(_T_1573, _T_1571) @[Mux.scala 27:72] - wire _T_1575 : UInt<3> @[Mux.scala 27:72] - _T_1575 <= _T_1574 @[Mux.scala 27:72] - node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 378:150] - node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 378:118] - node _T_1578 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1579 = cat(_T_1578, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1580 = cat(_T_1579, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1589 = mux(_T_1581, _T_1582, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1590 = mux(_T_1583, _T_1584, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1591 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1592 = mux(_T_1587, _T_1588, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1593 = or(_T_1589, _T_1590) @[Mux.scala 27:72] - node _T_1594 = or(_T_1593, _T_1591) @[Mux.scala 27:72] - node _T_1595 = or(_T_1594, _T_1592) @[Mux.scala 27:72] - wire _T_1596 : UInt<1> @[Mux.scala 27:72] - _T_1596 <= _T_1595 @[Mux.scala 27:72] - node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:3] - node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 378:161] - node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1607 = mux(_T_1599, _T_1600, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1608 = mux(_T_1601, _T_1602, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1609 = mux(_T_1603, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1610 = mux(_T_1605, _T_1606, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1611 = or(_T_1607, _T_1608) @[Mux.scala 27:72] - node _T_1612 = or(_T_1611, _T_1609) @[Mux.scala 27:72] - node _T_1613 = or(_T_1612, _T_1610) @[Mux.scala 27:72] - wire _T_1614 : UInt<1> @[Mux.scala 27:72] - _T_1614 <= _T_1613 @[Mux.scala 27:72] - node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:85] - node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 379:83] - node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1625 = mux(_T_1617, _T_1618, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1626 = mux(_T_1619, _T_1620, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1627 = mux(_T_1621, _T_1622, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1628 = mux(_T_1623, _T_1624, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1629 = or(_T_1625, _T_1626) @[Mux.scala 27:72] - node _T_1630 = or(_T_1629, _T_1627) @[Mux.scala 27:72] - node _T_1631 = or(_T_1630, _T_1628) @[Mux.scala 27:72] - wire _T_1632 : UInt<1> @[Mux.scala 27:72] - _T_1632 <= _T_1631 @[Mux.scala 27:72] - node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1641 = mux(_T_1633, _T_1634, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1642 = mux(_T_1635, _T_1636, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1643 = mux(_T_1637, _T_1638, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1644 = mux(_T_1639, _T_1640, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1645 = or(_T_1641, _T_1642) @[Mux.scala 27:72] - node _T_1646 = or(_T_1645, _T_1643) @[Mux.scala 27:72] - node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] - wire _T_1648 : UInt<1> @[Mux.scala 27:72] - _T_1648 <= _T_1647 @[Mux.scala 27:72] - node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 380:36] - node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1654 = mux(_T_1650, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1655 = mux(_T_1651, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1656 = mux(_T_1652, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1657 = mux(_T_1653, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1658 = or(_T_1654, _T_1655) @[Mux.scala 27:72] - node _T_1659 = or(_T_1658, _T_1656) @[Mux.scala 27:72] - node _T_1660 = or(_T_1659, _T_1657) @[Mux.scala 27:72] - wire _T_1661 : UInt<32> @[Mux.scala 27:72] - _T_1661 <= _T_1660 @[Mux.scala 27:72] - node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 381:33] - node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1667 = mux(_T_1663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1668 = mux(_T_1664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1669 = mux(_T_1665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1670 = mux(_T_1666, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1671 = or(_T_1667, _T_1668) @[Mux.scala 27:72] - node _T_1672 = or(_T_1671, _T_1669) @[Mux.scala 27:72] + node _T_1342 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 378:34] + node _T_1343 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 378:52] + node _T_1344 = eq(_T_1342, _T_1343) @[el2_lsu_bus_buffer.scala 378:40] + node _T_1345 = and(_T_1344, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 378:60] + node _T_1346 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:80] + node _T_1347 = and(_T_1345, _T_1346) @[el2_lsu_bus_buffer.scala 378:78] + node _T_1348 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:99] + node _T_1349 = and(_T_1347, _T_1348) @[el2_lsu_bus_buffer.scala 378:97] + node _T_1350 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:113] + node _T_1351 = and(_T_1349, _T_1350) @[el2_lsu_bus_buffer.scala 378:111] + node _T_1352 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:130] + node _T_1353 = and(_T_1351, _T_1352) @[el2_lsu_bus_buffer.scala 378:128] + node _T_1354 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:20] + node _T_1355 = and(obuf_valid, _T_1354) @[el2_lsu_bus_buffer.scala 379:18] + node _T_1356 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 379:90] + node _T_1357 = and(bus_rsp_read, _T_1356) @[el2_lsu_bus_buffer.scala 379:70] + node _T_1358 = eq(_T_1357, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:55] + node _T_1359 = and(obuf_rdrsp_pend, _T_1358) @[el2_lsu_bus_buffer.scala 379:53] + node _T_1360 = or(_T_1355, _T_1359) @[el2_lsu_bus_buffer.scala 379:34] + node _T_1361 = and(_T_1353, _T_1360) @[el2_lsu_bus_buffer.scala 378:165] + obuf_nosend_in <= _T_1361 @[el2_lsu_bus_buffer.scala 378:18] + node _T_1362 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 380:60] + node _T_1363 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1364 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1365 = mux(_T_1362, _T_1363, _T_1364) @[el2_lsu_bus_buffer.scala 380:46] + node _T_1366 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1367 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1368 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1369 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1370 = mux(_T_1366, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1371 = mux(_T_1367, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1372 = mux(_T_1368, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1373 = mux(_T_1369, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1374 = or(_T_1370, _T_1371) @[Mux.scala 27:72] + node _T_1375 = or(_T_1374, _T_1372) @[Mux.scala 27:72] + node _T_1376 = or(_T_1375, _T_1373) @[Mux.scala 27:72] + wire _T_1377 : UInt<32> @[Mux.scala 27:72] + _T_1377 <= _T_1376 @[Mux.scala 27:72] + node _T_1378 = bits(_T_1377, 2, 2) @[el2_lsu_bus_buffer.scala 381:36] + node _T_1379 = bits(_T_1378, 0, 0) @[el2_lsu_bus_buffer.scala 381:46] + node _T_1380 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1381 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1382 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1383 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1384 = mux(_T_1380, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1385 = mux(_T_1381, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1386 = mux(_T_1382, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1387 = mux(_T_1383, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1388 = or(_T_1384, _T_1385) @[Mux.scala 27:72] + node _T_1389 = or(_T_1388, _T_1386) @[Mux.scala 27:72] + node _T_1390 = or(_T_1389, _T_1387) @[Mux.scala 27:72] + wire _T_1391 : UInt<4> @[Mux.scala 27:72] + _T_1391 <= _T_1390 @[Mux.scala 27:72] + node _T_1392 = cat(_T_1391, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1393 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1394 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1395 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1396 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1397 = mux(_T_1393, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1398 = mux(_T_1394, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1399 = mux(_T_1395, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1400 = mux(_T_1396, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1401 = or(_T_1397, _T_1398) @[Mux.scala 27:72] + node _T_1402 = or(_T_1401, _T_1399) @[Mux.scala 27:72] + node _T_1403 = or(_T_1402, _T_1400) @[Mux.scala 27:72] + wire _T_1404 : UInt<4> @[Mux.scala 27:72] + _T_1404 <= _T_1403 @[Mux.scala 27:72] + node _T_1405 = cat(UInt<4>("h00"), _T_1404) @[Cat.scala 29:58] + node _T_1406 = mux(_T_1379, _T_1392, _T_1405) @[el2_lsu_bus_buffer.scala 381:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1365, _T_1406) @[el2_lsu_bus_buffer.scala 380:28] + node _T_1407 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 382:60] + node _T_1408 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1409 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1410 = mux(_T_1407, _T_1408, _T_1409) @[el2_lsu_bus_buffer.scala 382:46] + node _T_1411 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1412 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1413 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1414 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1415 = mux(_T_1411, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1416 = mux(_T_1412, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1417 = mux(_T_1413, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1418 = mux(_T_1414, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1419 = or(_T_1415, _T_1416) @[Mux.scala 27:72] + node _T_1420 = or(_T_1419, _T_1417) @[Mux.scala 27:72] + node _T_1421 = or(_T_1420, _T_1418) @[Mux.scala 27:72] + wire _T_1422 : UInt<32> @[Mux.scala 27:72] + _T_1422 <= _T_1421 @[Mux.scala 27:72] + node _T_1423 = bits(_T_1422, 2, 2) @[el2_lsu_bus_buffer.scala 383:36] + node _T_1424 = bits(_T_1423, 0, 0) @[el2_lsu_bus_buffer.scala 383:46] + node _T_1425 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1426 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1427 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1428 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1429 = mux(_T_1425, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1430 = mux(_T_1426, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1431 = mux(_T_1427, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1432 = mux(_T_1428, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1433 = or(_T_1429, _T_1430) @[Mux.scala 27:72] + node _T_1434 = or(_T_1433, _T_1431) @[Mux.scala 27:72] + node _T_1435 = or(_T_1434, _T_1432) @[Mux.scala 27:72] + wire _T_1436 : UInt<4> @[Mux.scala 27:72] + _T_1436 <= _T_1435 @[Mux.scala 27:72] + node _T_1437 = cat(_T_1436, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1438 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1439 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1440 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1441 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1442 = mux(_T_1438, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1443 = mux(_T_1439, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1444 = mux(_T_1440, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1445 = mux(_T_1441, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1446 = or(_T_1442, _T_1443) @[Mux.scala 27:72] + node _T_1447 = or(_T_1446, _T_1444) @[Mux.scala 27:72] + node _T_1448 = or(_T_1447, _T_1445) @[Mux.scala 27:72] + wire _T_1449 : UInt<4> @[Mux.scala 27:72] + _T_1449 <= _T_1448 @[Mux.scala 27:72] + node _T_1450 = cat(UInt<4>("h00"), _T_1449) @[Cat.scala 29:58] + node _T_1451 = mux(_T_1424, _T_1437, _T_1450) @[el2_lsu_bus_buffer.scala 383:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1410, _T_1451) @[el2_lsu_bus_buffer.scala 382:28] + node _T_1452 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 385:58] + node _T_1453 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1454 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1455 = mux(_T_1452, _T_1453, _T_1454) @[el2_lsu_bus_buffer.scala 385:44] + node _T_1456 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1457 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1458 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1459 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1460 = mux(_T_1456, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1461 = mux(_T_1457, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1462 = mux(_T_1458, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1463 = mux(_T_1459, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1464 = or(_T_1460, _T_1461) @[Mux.scala 27:72] + node _T_1465 = or(_T_1464, _T_1462) @[Mux.scala 27:72] + node _T_1466 = or(_T_1465, _T_1463) @[Mux.scala 27:72] + wire _T_1467 : UInt<32> @[Mux.scala 27:72] + _T_1467 <= _T_1466 @[Mux.scala 27:72] + node _T_1468 = bits(_T_1467, 2, 2) @[el2_lsu_bus_buffer.scala 386:36] + node _T_1469 = bits(_T_1468, 0, 0) @[el2_lsu_bus_buffer.scala 386:46] + node _T_1470 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1471 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1472 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1473 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1474 = mux(_T_1470, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1475 = mux(_T_1471, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1476 = mux(_T_1472, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1477 = mux(_T_1473, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1478 = or(_T_1474, _T_1475) @[Mux.scala 27:72] + node _T_1479 = or(_T_1478, _T_1476) @[Mux.scala 27:72] + node _T_1480 = or(_T_1479, _T_1477) @[Mux.scala 27:72] + wire _T_1481 : UInt<32> @[Mux.scala 27:72] + _T_1481 <= _T_1480 @[Mux.scala 27:72] + node _T_1482 = cat(_T_1481, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1483 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1484 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1485 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1486 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1487 = mux(_T_1483, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1488 = mux(_T_1484, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1489 = mux(_T_1485, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1490 = mux(_T_1486, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1491 = or(_T_1487, _T_1488) @[Mux.scala 27:72] + node _T_1492 = or(_T_1491, _T_1489) @[Mux.scala 27:72] + node _T_1493 = or(_T_1492, _T_1490) @[Mux.scala 27:72] + wire _T_1494 : UInt<32> @[Mux.scala 27:72] + _T_1494 <= _T_1493 @[Mux.scala 27:72] + node _T_1495 = cat(UInt<32>("h00"), _T_1494) @[Cat.scala 29:58] + node _T_1496 = mux(_T_1469, _T_1482, _T_1495) @[el2_lsu_bus_buffer.scala 386:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1455, _T_1496) @[el2_lsu_bus_buffer.scala 385:26] + node _T_1497 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 387:58] + node _T_1498 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1499 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1500 = mux(_T_1497, _T_1498, _T_1499) @[el2_lsu_bus_buffer.scala 387:44] + node _T_1501 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1502 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1503 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1504 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1505 = mux(_T_1501, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1506 = mux(_T_1502, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1507 = mux(_T_1503, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1508 = mux(_T_1504, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1509 = or(_T_1505, _T_1506) @[Mux.scala 27:72] + node _T_1510 = or(_T_1509, _T_1507) @[Mux.scala 27:72] + node _T_1511 = or(_T_1510, _T_1508) @[Mux.scala 27:72] + wire _T_1512 : UInt<32> @[Mux.scala 27:72] + _T_1512 <= _T_1511 @[Mux.scala 27:72] + node _T_1513 = bits(_T_1512, 2, 2) @[el2_lsu_bus_buffer.scala 388:36] + node _T_1514 = bits(_T_1513, 0, 0) @[el2_lsu_bus_buffer.scala 388:46] + node _T_1515 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1516 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1517 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1518 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1519 = mux(_T_1515, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1520 = mux(_T_1516, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1521 = mux(_T_1517, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1522 = mux(_T_1518, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1523 = or(_T_1519, _T_1520) @[Mux.scala 27:72] + node _T_1524 = or(_T_1523, _T_1521) @[Mux.scala 27:72] + node _T_1525 = or(_T_1524, _T_1522) @[Mux.scala 27:72] + wire _T_1526 : UInt<32> @[Mux.scala 27:72] + _T_1526 <= _T_1525 @[Mux.scala 27:72] + node _T_1527 = cat(_T_1526, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1528 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1529 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1530 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1531 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1532 = mux(_T_1528, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1533 = mux(_T_1529, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1534 = mux(_T_1530, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1535 = mux(_T_1531, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1536 = or(_T_1532, _T_1533) @[Mux.scala 27:72] + node _T_1537 = or(_T_1536, _T_1534) @[Mux.scala 27:72] + node _T_1538 = or(_T_1537, _T_1535) @[Mux.scala 27:72] + wire _T_1539 : UInt<32> @[Mux.scala 27:72] + _T_1539 <= _T_1538 @[Mux.scala 27:72] + node _T_1540 = cat(UInt<32>("h00"), _T_1539) @[Cat.scala 29:58] + node _T_1541 = mux(_T_1514, _T_1527, _T_1540) @[el2_lsu_bus_buffer.scala 388:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1500, _T_1541) @[el2_lsu_bus_buffer.scala 387:26] + node _T_1542 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 389:59] + node _T_1543 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 389:97] + node _T_1544 = and(obuf_merge_en, _T_1543) @[el2_lsu_bus_buffer.scala 389:80] + node _T_1545 = or(_T_1542, _T_1544) @[el2_lsu_bus_buffer.scala 389:63] + node _T_1546 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 389:59] + node _T_1547 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 389:97] + node _T_1548 = and(obuf_merge_en, _T_1547) @[el2_lsu_bus_buffer.scala 389:80] + node _T_1549 = or(_T_1546, _T_1548) @[el2_lsu_bus_buffer.scala 389:63] + node _T_1550 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 389:59] + node _T_1551 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 389:97] + node _T_1552 = and(obuf_merge_en, _T_1551) @[el2_lsu_bus_buffer.scala 389:80] + node _T_1553 = or(_T_1550, _T_1552) @[el2_lsu_bus_buffer.scala 389:63] + node _T_1554 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 389:59] + node _T_1555 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 389:97] + node _T_1556 = and(obuf_merge_en, _T_1555) @[el2_lsu_bus_buffer.scala 389:80] + node _T_1557 = or(_T_1554, _T_1556) @[el2_lsu_bus_buffer.scala 389:63] + node _T_1558 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 389:59] + node _T_1559 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 389:97] + node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 389:80] + node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 389:63] + node _T_1562 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 389:59] + node _T_1563 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 389:97] + node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 389:80] + node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 389:63] + node _T_1566 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 389:59] + node _T_1567 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 389:97] + node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 389:80] + node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 389:63] + node _T_1570 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 389:59] + node _T_1571 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 389:97] + node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 389:80] + node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 389:63] + node _T_1574 = cat(_T_1573, _T_1569) @[Cat.scala 29:58] + node _T_1575 = cat(_T_1574, _T_1565) @[Cat.scala 29:58] + node _T_1576 = cat(_T_1575, _T_1561) @[Cat.scala 29:58] + node _T_1577 = cat(_T_1576, _T_1557) @[Cat.scala 29:58] + node _T_1578 = cat(_T_1577, _T_1553) @[Cat.scala 29:58] + node _T_1579 = cat(_T_1578, _T_1549) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1579, _T_1545) @[Cat.scala 29:58] + node _T_1580 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 390:76] + node _T_1581 = and(obuf_merge_en, _T_1580) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1582 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 390:94] + node _T_1583 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 390:123] + node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1585 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 390:76] + node _T_1586 = and(obuf_merge_en, _T_1585) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1587 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 390:94] + node _T_1588 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 390:123] + node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1590 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 390:76] + node _T_1591 = and(obuf_merge_en, _T_1590) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1592 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 390:94] + node _T_1593 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 390:123] + node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1595 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 390:76] + node _T_1596 = and(obuf_merge_en, _T_1595) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1597 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 390:94] + node _T_1598 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 390:123] + node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1600 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 390:76] + node _T_1601 = and(obuf_merge_en, _T_1600) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1602 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 390:94] + node _T_1603 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 390:123] + node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1605 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 390:76] + node _T_1606 = and(obuf_merge_en, _T_1605) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1607 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 390:94] + node _T_1608 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 390:123] + node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1610 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 390:76] + node _T_1611 = and(obuf_merge_en, _T_1610) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1612 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 390:94] + node _T_1613 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 390:123] + node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1615 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 390:76] + node _T_1616 = and(obuf_merge_en, _T_1615) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1617 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 390:94] + node _T_1618 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 390:123] + node _T_1619 = mux(_T_1616, _T_1617, _T_1618) @[el2_lsu_bus_buffer.scala 390:44] + node _T_1620 = cat(_T_1619, _T_1614) @[Cat.scala 29:58] + node _T_1621 = cat(_T_1620, _T_1609) @[Cat.scala 29:58] + node _T_1622 = cat(_T_1621, _T_1604) @[Cat.scala 29:58] + node _T_1623 = cat(_T_1622, _T_1599) @[Cat.scala 29:58] + node _T_1624 = cat(_T_1623, _T_1594) @[Cat.scala 29:58] + node _T_1625 = cat(_T_1624, _T_1589) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1625, _T_1584) @[Cat.scala 29:58] + io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 391:15] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 392:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] + node _T_1626 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 394:30] + node _T_1627 = and(_T_1626, found_cmdptr0) @[el2_lsu_bus_buffer.scala 394:43] + node _T_1628 = and(_T_1627, found_cmdptr1) @[el2_lsu_bus_buffer.scala 394:59] + node _T_1629 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1630 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1631 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1632 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1633 = mux(_T_1629, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1634 = mux(_T_1630, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1635 = mux(_T_1631, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1636 = mux(_T_1632, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1637 = or(_T_1633, _T_1634) @[Mux.scala 27:72] + node _T_1638 = or(_T_1637, _T_1635) @[Mux.scala 27:72] + node _T_1639 = or(_T_1638, _T_1636) @[Mux.scala 27:72] + wire _T_1640 : UInt<3> @[Mux.scala 27:72] + _T_1640 <= _T_1639 @[Mux.scala 27:72] + node _T_1641 = eq(_T_1640, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 394:107] + node _T_1642 = and(_T_1628, _T_1641) @[el2_lsu_bus_buffer.scala 394:75] + node _T_1643 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1644 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1645 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1646 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1647 = mux(_T_1643, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1648 = mux(_T_1644, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1649 = mux(_T_1645, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1650 = mux(_T_1646, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1651 = or(_T_1647, _T_1648) @[Mux.scala 27:72] + node _T_1652 = or(_T_1651, _T_1649) @[Mux.scala 27:72] + node _T_1653 = or(_T_1652, _T_1650) @[Mux.scala 27:72] + wire _T_1654 : UInt<3> @[Mux.scala 27:72] + _T_1654 <= _T_1653 @[Mux.scala 27:72] + node _T_1655 = eq(_T_1654, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 394:150] + node _T_1656 = and(_T_1642, _T_1655) @[el2_lsu_bus_buffer.scala 394:118] + node _T_1657 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1658 = cat(_T_1657, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1659 = cat(_T_1658, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1660 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1661 = bits(_T_1659, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1662 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1663 = bits(_T_1659, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1664 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1665 = bits(_T_1659, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1666 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1667 = bits(_T_1659, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1668 = mux(_T_1660, _T_1661, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1669 = mux(_T_1662, _T_1663, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1670 = mux(_T_1664, _T_1665, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1671 = mux(_T_1666, _T_1667, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1672 = or(_T_1668, _T_1669) @[Mux.scala 27:72] node _T_1673 = or(_T_1672, _T_1670) @[Mux.scala 27:72] - wire _T_1674 : UInt<32> @[Mux.scala 27:72] - _T_1674 <= _T_1673 @[Mux.scala 27:72] - node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 381:69] - node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 381:39] - node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 380:67] - node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:79] - node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 381:77] - node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:105] - node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 381:103] - node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1690 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1691 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1692 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1693 = mux(_T_1688, _T_1689, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1694 = or(_T_1690, _T_1691) @[Mux.scala 27:72] - node _T_1695 = or(_T_1694, _T_1692) @[Mux.scala 27:72] - node _T_1696 = or(_T_1695, _T_1693) @[Mux.scala 27:72] - wire _T_1697 : UInt<1> @[Mux.scala 27:72] - _T_1697 <= _T_1696 @[Mux.scala 27:72] - node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:6] - node _T_1699 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1700 = cat(_T_1699, buf_dual[1]) @[Cat.scala 29:58] - node _T_1701 = cat(_T_1700, buf_dual[0]) @[Cat.scala 29:58] - node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1710 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1711 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1712 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1713 = mux(_T_1708, _T_1709, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1714 = or(_T_1710, _T_1711) @[Mux.scala 27:72] - node _T_1715 = or(_T_1714, _T_1712) @[Mux.scala 27:72] - node _T_1716 = or(_T_1715, _T_1713) @[Mux.scala 27:72] - wire _T_1717 : UInt<1> @[Mux.scala 27:72] - _T_1717 <= _T_1716 @[Mux.scala 27:72] - node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 382:36] - node _T_1719 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] - node _T_1720 = cat(_T_1719, buf_dualhi[1]) @[Cat.scala 29:58] - node _T_1721 = cat(_T_1720, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1730 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1731 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1732 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1733 = mux(_T_1728, _T_1729, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1734 = or(_T_1730, _T_1731) @[Mux.scala 27:72] - node _T_1735 = or(_T_1734, _T_1732) @[Mux.scala 27:72] - node _T_1736 = or(_T_1735, _T_1733) @[Mux.scala 27:72] - wire _T_1737 : UInt<1> @[Mux.scala 27:72] - _T_1737 <= _T_1736 @[Mux.scala 27:72] - node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:107] - node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 382:105] - node _T_1740 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1741 = cat(_T_1740, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1742 = cat(_T_1741, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1751 = mux(_T_1743, _T_1744, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1752 = mux(_T_1745, _T_1746, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1753 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1754 = mux(_T_1749, _T_1750, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1755 = or(_T_1751, _T_1752) @[Mux.scala 27:72] - node _T_1756 = or(_T_1755, _T_1753) @[Mux.scala 27:72] - node _T_1757 = or(_T_1756, _T_1754) @[Mux.scala 27:72] - wire _T_1758 : UInt<1> @[Mux.scala 27:72] - _T_1758 <= _T_1757 @[Mux.scala 27:72] - node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 382:177] - node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 381:126] - node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 379:120] - node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 383:19] - node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 383:35] - node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 382:251] - obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 378:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 385:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 385:55] - node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 386:58] - node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 386:93] - node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 386:91] - reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 386:54] - _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 386:54] - obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 386:14] - reg _T_1769 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_1674 = or(_T_1673, _T_1671) @[Mux.scala 27:72] + wire _T_1675 : UInt<1> @[Mux.scala 27:72] + _T_1675 <= _T_1674 @[Mux.scala 27:72] + node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:3] + node _T_1677 = and(_T_1656, _T_1676) @[el2_lsu_bus_buffer.scala 394:161] + node _T_1678 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1679 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1680 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1681 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1682 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1683 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1684 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1685 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1686 = mux(_T_1678, _T_1679, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1687 = mux(_T_1680, _T_1681, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1688 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1689 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1690 = or(_T_1686, _T_1687) @[Mux.scala 27:72] + node _T_1691 = or(_T_1690, _T_1688) @[Mux.scala 27:72] + node _T_1692 = or(_T_1691, _T_1689) @[Mux.scala 27:72] + wire _T_1693 : UInt<1> @[Mux.scala 27:72] + _T_1693 <= _T_1692 @[Mux.scala 27:72] + node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:85] + node _T_1695 = and(_T_1677, _T_1694) @[el2_lsu_bus_buffer.scala 395:83] + node _T_1696 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1697 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1698 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1699 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1700 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1701 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1702 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1703 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1704 = mux(_T_1696, _T_1697, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1705 = mux(_T_1698, _T_1699, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1706 = mux(_T_1700, _T_1701, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1707 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1708 = or(_T_1704, _T_1705) @[Mux.scala 27:72] + node _T_1709 = or(_T_1708, _T_1706) @[Mux.scala 27:72] + node _T_1710 = or(_T_1709, _T_1707) @[Mux.scala 27:72] + wire _T_1711 : UInt<1> @[Mux.scala 27:72] + _T_1711 <= _T_1710 @[Mux.scala 27:72] + node _T_1712 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1713 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1714 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1715 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1716 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1717 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1718 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1719 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1720 = mux(_T_1712, _T_1713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1721 = mux(_T_1714, _T_1715, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1722 = mux(_T_1716, _T_1717, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1723 = mux(_T_1718, _T_1719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1724 = or(_T_1720, _T_1721) @[Mux.scala 27:72] + node _T_1725 = or(_T_1724, _T_1722) @[Mux.scala 27:72] + node _T_1726 = or(_T_1725, _T_1723) @[Mux.scala 27:72] + wire _T_1727 : UInt<1> @[Mux.scala 27:72] + _T_1727 <= _T_1726 @[Mux.scala 27:72] + node _T_1728 = and(_T_1711, _T_1727) @[el2_lsu_bus_buffer.scala 396:36] + node _T_1729 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1730 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1731 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1732 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1733 = mux(_T_1729, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1734 = mux(_T_1730, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1735 = mux(_T_1731, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1736 = mux(_T_1732, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1737 = or(_T_1733, _T_1734) @[Mux.scala 27:72] + node _T_1738 = or(_T_1737, _T_1735) @[Mux.scala 27:72] + node _T_1739 = or(_T_1738, _T_1736) @[Mux.scala 27:72] + wire _T_1740 : UInt<32> @[Mux.scala 27:72] + _T_1740 <= _T_1739 @[Mux.scala 27:72] + node _T_1741 = bits(_T_1740, 31, 3) @[el2_lsu_bus_buffer.scala 397:33] + node _T_1742 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1743 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1744 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1745 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1746 = mux(_T_1742, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1747 = mux(_T_1743, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1748 = mux(_T_1744, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1749 = mux(_T_1745, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1750 = or(_T_1746, _T_1747) @[Mux.scala 27:72] + node _T_1751 = or(_T_1750, _T_1748) @[Mux.scala 27:72] + node _T_1752 = or(_T_1751, _T_1749) @[Mux.scala 27:72] + wire _T_1753 : UInt<32> @[Mux.scala 27:72] + _T_1753 <= _T_1752 @[Mux.scala 27:72] + node _T_1754 = bits(_T_1753, 31, 3) @[el2_lsu_bus_buffer.scala 397:69] + node _T_1755 = eq(_T_1741, _T_1754) @[el2_lsu_bus_buffer.scala 397:39] + node _T_1756 = and(_T_1728, _T_1755) @[el2_lsu_bus_buffer.scala 396:67] + node _T_1757 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:79] + node _T_1758 = and(_T_1756, _T_1757) @[el2_lsu_bus_buffer.scala 397:77] + node _T_1759 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:105] + node _T_1760 = and(_T_1758, _T_1759) @[el2_lsu_bus_buffer.scala 397:103] + node _T_1761 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1762 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1763 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1764 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1765 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1766 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1767 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1768 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1769 = mux(_T_1761, _T_1762, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1770 = mux(_T_1763, _T_1764, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1771 = mux(_T_1765, _T_1766, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1772 = mux(_T_1767, _T_1768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1773 = or(_T_1769, _T_1770) @[Mux.scala 27:72] + node _T_1774 = or(_T_1773, _T_1771) @[Mux.scala 27:72] + node _T_1775 = or(_T_1774, _T_1772) @[Mux.scala 27:72] + wire _T_1776 : UInt<1> @[Mux.scala 27:72] + _T_1776 <= _T_1775 @[Mux.scala 27:72] + node _T_1777 = eq(_T_1776, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:6] + node _T_1778 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1779 = cat(_T_1778, buf_dual[1]) @[Cat.scala 29:58] + node _T_1780 = cat(_T_1779, buf_dual[0]) @[Cat.scala 29:58] + node _T_1781 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1782 = bits(_T_1780, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1783 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1784 = bits(_T_1780, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1785 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1786 = bits(_T_1780, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1787 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1788 = bits(_T_1780, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1789 = mux(_T_1781, _T_1782, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1790 = mux(_T_1783, _T_1784, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1791 = mux(_T_1785, _T_1786, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1792 = mux(_T_1787, _T_1788, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1793 = or(_T_1789, _T_1790) @[Mux.scala 27:72] + node _T_1794 = or(_T_1793, _T_1791) @[Mux.scala 27:72] + node _T_1795 = or(_T_1794, _T_1792) @[Mux.scala 27:72] + wire _T_1796 : UInt<1> @[Mux.scala 27:72] + _T_1796 <= _T_1795 @[Mux.scala 27:72] + node _T_1797 = and(_T_1777, _T_1796) @[el2_lsu_bus_buffer.scala 398:36] + node _T_1798 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1799 = cat(_T_1798, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1800 = cat(_T_1799, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1801 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1802 = bits(_T_1800, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1803 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1804 = bits(_T_1800, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1805 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1806 = bits(_T_1800, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1807 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1808 = bits(_T_1800, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1809 = mux(_T_1801, _T_1802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1810 = mux(_T_1803, _T_1804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1811 = mux(_T_1805, _T_1806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1812 = mux(_T_1807, _T_1808, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1813 = or(_T_1809, _T_1810) @[Mux.scala 27:72] + node _T_1814 = or(_T_1813, _T_1811) @[Mux.scala 27:72] + node _T_1815 = or(_T_1814, _T_1812) @[Mux.scala 27:72] + wire _T_1816 : UInt<1> @[Mux.scala 27:72] + _T_1816 <= _T_1815 @[Mux.scala 27:72] + node _T_1817 = eq(_T_1816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:107] + node _T_1818 = and(_T_1797, _T_1817) @[el2_lsu_bus_buffer.scala 398:105] + node _T_1819 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1820 = cat(_T_1819, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1821 = cat(_T_1820, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1822 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1823 = bits(_T_1821, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1824 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1825 = bits(_T_1821, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1826 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1827 = bits(_T_1821, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1828 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1829 = bits(_T_1821, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1830 = mux(_T_1822, _T_1823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1831 = mux(_T_1824, _T_1825, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1832 = mux(_T_1826, _T_1827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1833 = mux(_T_1828, _T_1829, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1834 = or(_T_1830, _T_1831) @[Mux.scala 27:72] + node _T_1835 = or(_T_1834, _T_1832) @[Mux.scala 27:72] + node _T_1836 = or(_T_1835, _T_1833) @[Mux.scala 27:72] + wire _T_1837 : UInt<1> @[Mux.scala 27:72] + _T_1837 <= _T_1836 @[Mux.scala 27:72] + node _T_1838 = and(_T_1818, _T_1837) @[el2_lsu_bus_buffer.scala 398:177] + node _T_1839 = or(_T_1760, _T_1838) @[el2_lsu_bus_buffer.scala 397:126] + node _T_1840 = and(_T_1695, _T_1839) @[el2_lsu_bus_buffer.scala 395:120] + node _T_1841 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 399:19] + node _T_1842 = and(_T_1841, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 399:35] + node _T_1843 = or(_T_1840, _T_1842) @[el2_lsu_bus_buffer.scala 398:251] + obuf_merge_en <= _T_1843 @[el2_lsu_bus_buffer.scala 394:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 401:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 401:55] + node _T_1844 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 402:58] + node _T_1845 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:93] + node _T_1846 = and(_T_1844, _T_1845) @[el2_lsu_bus_buffer.scala 402:91] + reg _T_1847 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 402:54] + _T_1847 <= _T_1846 @[el2_lsu_bus_buffer.scala 402:54] + obuf_valid <= _T_1847 @[el2_lsu_bus_buffer.scala 402:14] + reg _T_1848 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1769 <= obuf_nosend_in @[Reg.scala 28:23] + _T_1848 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 387:15] - reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 388:54] - _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 388:54] - obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 388:17] - reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 389:55] - _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 389:55] - obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 389:18] - reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 390:56] - _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 390:56] - obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 390:19] - reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 391:55] - _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 391:55] - obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 391:18] - reg _T_1774 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_nosend <= _T_1848 @[el2_lsu_bus_buffer.scala 403:15] + reg _T_1849 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 404:54] + _T_1849 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 404:54] + obuf_cmd_done <= _T_1849 @[el2_lsu_bus_buffer.scala 404:17] + reg _T_1850 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:55] + _T_1850 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 405:55] + obuf_data_done <= _T_1850 @[el2_lsu_bus_buffer.scala 405:18] + reg _T_1851 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 406:56] + _T_1851 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 406:56] + obuf_rdrsp_pend <= _T_1851 @[el2_lsu_bus_buffer.scala 406:19] + reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:55] + _T_1852 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 407:55] + obuf_rdrsp_tag <= _T_1852 @[el2_lsu_bus_buffer.scala 407:18] + reg _T_1853 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1774 <= obuf_tag0_in @[Reg.scala 28:23] + _T_1853 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 392:13] + obuf_tag0 <= _T_1853 @[el2_lsu_bus_buffer.scala 408:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2556,16 +2638,16 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] obuf_merge <= obuf_merge_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1775 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1854 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1775 <= obuf_write_in @[Reg.scala 28:23] + _T_1854 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 395:14] - reg _T_1776 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_write <= _T_1854 @[el2_lsu_bus_buffer.scala 411:14] + reg _T_1855 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1776 <= obuf_sideeffect_in @[Reg.scala 28:23] + _T_1855 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 396:19] + obuf_sideeffect <= _T_1855 @[el2_lsu_bus_buffer.scala 412:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2576,9 +2658,9 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.clk <= io.lsu_busm_clk @[el2_lib.scala 487:18] rvclkhdr_2.io.en <= obuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1777 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1777 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 398:13] + reg _T_1856 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1856 <= obuf_addr_in @[el2_lib.scala 491:16] + obuf_addr <= _T_1856 @[el2_lsu_bus_buffer.scala 414:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2591,3841 +2673,3837 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 401:54] - _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 401:54] - obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 401:17] + reg _T_1857 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 417:54] + _T_1857 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 417:54] + obuf_wr_timer <= _T_1857 @[el2_lsu_bus_buffer.scala 417:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:97] - node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 403:86] - node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:33] - node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 404:22] - node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 403:106] - node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:72] - node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 404:60] - node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 404:42] - node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] - node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 403:70] - node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 403:97] - node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 403:86] - node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:33] - node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 404:22] - node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 403:106] - node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:72] - node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 404:60] - node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 404:42] - node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] - node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 403:70] - node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 403:97] - node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 403:86] - node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:33] - node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 404:22] - node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 403:106] - node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:72] - node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 404:60] - node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 404:42] - node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] - node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 403:70] - node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 403:97] - node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 403:86] - node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:33] - node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 404:22] - node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 403:106] - node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:72] - node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 404:60] - node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 404:42] - node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] - node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 403:70] - node _T_1823 = mux(_T_1822, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1824 = mux(_T_1811, UInt<2>("h02"), _T_1823) @[Mux.scala 98:16] - node _T_1825 = mux(_T_1800, UInt<1>("h01"), _T_1824) @[Mux.scala 98:16] - node _T_1826 = mux(_T_1789, UInt<1>("h00"), _T_1825) @[Mux.scala 98:16] - WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 405:12] - node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:97] - node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 406:86] - node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 407:33] - node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 407:22] - node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 406:106] - node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 407:73] - node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 407:61] - node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 407:42] - node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 407:112] - node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 407:101] - node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 407:83] - node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:72] - node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 406:70] - node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 406:97] - node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 406:86] - node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 407:33] - node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 407:22] - node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 406:106] - node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 407:73] - node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 407:61] - node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 407:42] - node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 407:112] - node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 407:101] - node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 407:83] - node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:72] - node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 406:70] - node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 406:97] - node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 406:86] - node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 407:33] - node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 407:22] - node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 406:106] - node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 407:73] - node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 407:61] - node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 407:42] - node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 407:112] - node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 407:101] - node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 407:83] - node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:72] - node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 406:70] - node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 406:97] - node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 406:86] - node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 407:33] - node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 407:22] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 406:106] - node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 407:73] - node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 407:61] - node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 407:42] - node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 407:112] - node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 407:101] - node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 407:83] - node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:72] - node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 406:70] - node _T_1883 = mux(_T_1882, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1884 = mux(_T_1868, UInt<2>("h02"), _T_1883) @[Mux.scala 98:16] - node _T_1885 = mux(_T_1854, UInt<1>("h01"), _T_1884) @[Mux.scala 98:16] - node WrPtr1_m = mux(_T_1840, UInt<1>("h00"), _T_1885) @[Mux.scala 98:16] - io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 409:15] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 410:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 411:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 411:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 411:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 411:11] - node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 413:58] - node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:45] - node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 413:78] - node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 413:63] - node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:90] - node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 413:88] - node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 413:58] - node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:45] - node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 413:78] - node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 413:63] - node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:90] - node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 413:88] - node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 413:58] - node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:45] - node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 413:78] - node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 413:63] - node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:90] - node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 413:88] - node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 413:58] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:45] - node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 413:78] - node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 413:63] - node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:90] - node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 413:88] - node _T_1910 = cat(_T_1909, _T_1903) @[Cat.scala 29:58] - node _T_1911 = cat(_T_1910, _T_1897) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_1911, _T_1891) @[Cat.scala 29:58] - node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 414:62] - node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 414:59] - node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 414:76] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:45] - node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 414:94] - node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:83] - node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 414:81] - node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 414:113] - node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 414:98] - node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:125] - node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 414:123] - node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 414:62] - node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 414:59] - node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 414:76] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:45] - node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 414:94] - node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:83] - node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 414:81] - node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 414:113] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 414:98] - node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:125] - node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 414:123] - node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 414:62] - node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 414:59] - node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 414:76] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:45] - node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 414:94] - node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:83] - node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 414:81] - node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 414:113] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 414:98] - node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:125] - node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 414:123] - node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 414:62] - node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 414:59] - node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 414:76] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:45] - node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 414:94] - node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:83] - node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 414:81] - node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 414:113] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 414:98] - node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:125] - node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 414:123] - node _T_1956 = cat(_T_1955, _T_1944) @[Cat.scala 29:58] - node _T_1957 = cat(_T_1956, _T_1933) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_1957, _T_1922) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 415:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:19] - node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 417:65] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:44] - node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 417:85] - node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 417:70] - node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 417:65] - node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:44] - node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 417:85] - node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 417:70] - node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 417:65] - node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:44] - node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 417:85] - node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 417:70] - node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 417:65] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:44] - node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 417:85] - node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 417:70] - node _T_1974 = cat(_T_1973, _T_1969) @[Cat.scala 29:58] - node _T_1975 = cat(_T_1974, _T_1965) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_1975, _T_1961) @[Cat.scala 29:58] - node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 418:31] - found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 418:17] - node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 419:31] - found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 419:17] + node _T_1858 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] + node _T_1859 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:97] + node _T_1860 = and(ibuf_valid, _T_1859) @[el2_lsu_bus_buffer.scala 419:86] + node _T_1861 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:33] + node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 420:22] + node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 419:106] + node _T_1864 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 420:60] + node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 420:42] + node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] + node _T_1868 = and(_T_1858, _T_1867) @[el2_lsu_bus_buffer.scala 419:70] + node _T_1869 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] + node _T_1870 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 419:97] + node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 419:86] + node _T_1872 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:33] + node _T_1873 = and(io.lsu_busreq_r, _T_1872) @[el2_lsu_bus_buffer.scala 420:22] + node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 419:106] + node _T_1875 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1876 = and(io.ldst_dual_r, _T_1875) @[el2_lsu_bus_buffer.scala 420:60] + node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 420:42] + node _T_1878 = eq(_T_1877, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] + node _T_1879 = and(_T_1869, _T_1878) @[el2_lsu_bus_buffer.scala 419:70] + node _T_1880 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] + node _T_1881 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 419:97] + node _T_1882 = and(ibuf_valid, _T_1881) @[el2_lsu_bus_buffer.scala 419:86] + node _T_1883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:33] + node _T_1884 = and(io.lsu_busreq_r, _T_1883) @[el2_lsu_bus_buffer.scala 420:22] + node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 419:106] + node _T_1886 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1887 = and(io.ldst_dual_r, _T_1886) @[el2_lsu_bus_buffer.scala 420:60] + node _T_1888 = or(_T_1885, _T_1887) @[el2_lsu_bus_buffer.scala 420:42] + node _T_1889 = eq(_T_1888, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] + node _T_1890 = and(_T_1880, _T_1889) @[el2_lsu_bus_buffer.scala 419:70] + node _T_1891 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] + node _T_1892 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 419:97] + node _T_1893 = and(ibuf_valid, _T_1892) @[el2_lsu_bus_buffer.scala 419:86] + node _T_1894 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:33] + node _T_1895 = and(io.lsu_busreq_r, _T_1894) @[el2_lsu_bus_buffer.scala 420:22] + node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 419:106] + node _T_1897 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1898 = and(io.ldst_dual_r, _T_1897) @[el2_lsu_bus_buffer.scala 420:60] + node _T_1899 = or(_T_1896, _T_1898) @[el2_lsu_bus_buffer.scala 420:42] + node _T_1900 = eq(_T_1899, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] + node _T_1901 = and(_T_1891, _T_1900) @[el2_lsu_bus_buffer.scala 419:70] + node _T_1902 = mux(_T_1901, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1903 = mux(_T_1890, UInt<2>("h02"), _T_1902) @[Mux.scala 98:16] + node _T_1904 = mux(_T_1879, UInt<1>("h01"), _T_1903) @[Mux.scala 98:16] + node _T_1905 = mux(_T_1868, UInt<1>("h00"), _T_1904) @[Mux.scala 98:16] + WrPtr0_m <= _T_1905 @[el2_lsu_bus_buffer.scala 421:12] + node _T_1906 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] + node _T_1907 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:97] + node _T_1908 = and(ibuf_valid, _T_1907) @[el2_lsu_bus_buffer.scala 422:86] + node _T_1909 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:33] + node _T_1910 = and(io.lsu_busreq_m, _T_1909) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1911 = or(_T_1908, _T_1910) @[el2_lsu_bus_buffer.scala 422:106] + node _T_1912 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:73] + node _T_1913 = and(io.lsu_busreq_r, _T_1912) @[el2_lsu_bus_buffer.scala 423:61] + node _T_1914 = or(_T_1911, _T_1913) @[el2_lsu_bus_buffer.scala 423:42] + node _T_1915 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1916 = and(io.ldst_dual_r, _T_1915) @[el2_lsu_bus_buffer.scala 423:101] + node _T_1917 = or(_T_1914, _T_1916) @[el2_lsu_bus_buffer.scala 423:83] + node _T_1918 = eq(_T_1917, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] + node _T_1919 = and(_T_1906, _T_1918) @[el2_lsu_bus_buffer.scala 422:70] + node _T_1920 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] + node _T_1921 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:97] + node _T_1922 = and(ibuf_valid, _T_1921) @[el2_lsu_bus_buffer.scala 422:86] + node _T_1923 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:33] + node _T_1924 = and(io.lsu_busreq_m, _T_1923) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1925 = or(_T_1922, _T_1924) @[el2_lsu_bus_buffer.scala 422:106] + node _T_1926 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:73] + node _T_1927 = and(io.lsu_busreq_r, _T_1926) @[el2_lsu_bus_buffer.scala 423:61] + node _T_1928 = or(_T_1925, _T_1927) @[el2_lsu_bus_buffer.scala 423:42] + node _T_1929 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1930 = and(io.ldst_dual_r, _T_1929) @[el2_lsu_bus_buffer.scala 423:101] + node _T_1931 = or(_T_1928, _T_1930) @[el2_lsu_bus_buffer.scala 423:83] + node _T_1932 = eq(_T_1931, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] + node _T_1933 = and(_T_1920, _T_1932) @[el2_lsu_bus_buffer.scala 422:70] + node _T_1934 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] + node _T_1935 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:97] + node _T_1936 = and(ibuf_valid, _T_1935) @[el2_lsu_bus_buffer.scala 422:86] + node _T_1937 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:33] + node _T_1938 = and(io.lsu_busreq_m, _T_1937) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1939 = or(_T_1936, _T_1938) @[el2_lsu_bus_buffer.scala 422:106] + node _T_1940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:73] + node _T_1941 = and(io.lsu_busreq_r, _T_1940) @[el2_lsu_bus_buffer.scala 423:61] + node _T_1942 = or(_T_1939, _T_1941) @[el2_lsu_bus_buffer.scala 423:42] + node _T_1943 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1944 = and(io.ldst_dual_r, _T_1943) @[el2_lsu_bus_buffer.scala 423:101] + node _T_1945 = or(_T_1942, _T_1944) @[el2_lsu_bus_buffer.scala 423:83] + node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] + node _T_1947 = and(_T_1934, _T_1946) @[el2_lsu_bus_buffer.scala 422:70] + node _T_1948 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] + node _T_1949 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:97] + node _T_1950 = and(ibuf_valid, _T_1949) @[el2_lsu_bus_buffer.scala 422:86] + node _T_1951 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:33] + node _T_1952 = and(io.lsu_busreq_m, _T_1951) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1953 = or(_T_1950, _T_1952) @[el2_lsu_bus_buffer.scala 422:106] + node _T_1954 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:73] + node _T_1955 = and(io.lsu_busreq_r, _T_1954) @[el2_lsu_bus_buffer.scala 423:61] + node _T_1956 = or(_T_1953, _T_1955) @[el2_lsu_bus_buffer.scala 423:42] + node _T_1957 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:112] + node _T_1958 = and(io.ldst_dual_r, _T_1957) @[el2_lsu_bus_buffer.scala 423:101] + node _T_1959 = or(_T_1956, _T_1958) @[el2_lsu_bus_buffer.scala 423:83] + node _T_1960 = eq(_T_1959, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] + node _T_1961 = and(_T_1948, _T_1960) @[el2_lsu_bus_buffer.scala 422:70] + node _T_1962 = mux(_T_1961, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1963 = mux(_T_1947, UInt<2>("h02"), _T_1962) @[Mux.scala 98:16] + node _T_1964 = mux(_T_1933, UInt<1>("h01"), _T_1963) @[Mux.scala 98:16] + node WrPtr1_m = mux(_T_1919, UInt<1>("h00"), _T_1964) @[Mux.scala 98:16] + io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 425:15] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] + node _T_1965 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 429:58] + node _T_1966 = eq(_T_1965, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] + node _T_1967 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] + node _T_1968 = and(_T_1966, _T_1967) @[el2_lsu_bus_buffer.scala 429:63] + node _T_1969 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] + node _T_1970 = and(_T_1968, _T_1969) @[el2_lsu_bus_buffer.scala 429:88] + node _T_1971 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 429:58] + node _T_1972 = eq(_T_1971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] + node _T_1973 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] + node _T_1974 = and(_T_1972, _T_1973) @[el2_lsu_bus_buffer.scala 429:63] + node _T_1975 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] + node _T_1976 = and(_T_1974, _T_1975) @[el2_lsu_bus_buffer.scala 429:88] + node _T_1977 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 429:58] + node _T_1978 = eq(_T_1977, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] + node _T_1979 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] + node _T_1980 = and(_T_1978, _T_1979) @[el2_lsu_bus_buffer.scala 429:63] + node _T_1981 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] + node _T_1982 = and(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 429:88] + node _T_1983 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 429:58] + node _T_1984 = eq(_T_1983, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] + node _T_1985 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] + node _T_1986 = and(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 429:63] + node _T_1987 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] + node _T_1988 = and(_T_1986, _T_1987) @[el2_lsu_bus_buffer.scala 429:88] + node _T_1989 = cat(_T_1988, _T_1982) @[Cat.scala 29:58] + node _T_1990 = cat(_T_1989, _T_1976) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1990, _T_1970) @[Cat.scala 29:58] + node _T_1991 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] + node _T_1992 = and(buf_age[0], _T_1991) @[el2_lsu_bus_buffer.scala 430:59] + node _T_1993 = orr(_T_1992) @[el2_lsu_bus_buffer.scala 430:76] + node _T_1994 = eq(_T_1993, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_1995 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 430:94] + node _T_1996 = eq(_T_1995, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_1997 = and(_T_1994, _T_1996) @[el2_lsu_bus_buffer.scala 430:81] + node _T_1998 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] + node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 430:98] + node _T_2000 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] + node _T_2001 = and(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 430:123] + node _T_2002 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] + node _T_2003 = and(buf_age[1], _T_2002) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2004 = orr(_T_2003) @[el2_lsu_bus_buffer.scala 430:76] + node _T_2005 = eq(_T_2004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_2006 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2008 = and(_T_2005, _T_2007) @[el2_lsu_bus_buffer.scala 430:81] + node _T_2009 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2010 = and(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 430:98] + node _T_2011 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] + node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 430:123] + node _T_2013 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] + node _T_2014 = and(buf_age[2], _T_2013) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2015 = orr(_T_2014) @[el2_lsu_bus_buffer.scala 430:76] + node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_2017 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2018 = eq(_T_2017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2019 = and(_T_2016, _T_2018) @[el2_lsu_bus_buffer.scala 430:81] + node _T_2020 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 430:98] + node _T_2022 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] + node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 430:123] + node _T_2024 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] + node _T_2025 = and(buf_age[3], _T_2024) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2026 = orr(_T_2025) @[el2_lsu_bus_buffer.scala 430:76] + node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_2028 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2029 = eq(_T_2028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2030 = and(_T_2027, _T_2029) @[el2_lsu_bus_buffer.scala 430:81] + node _T_2031 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 430:98] + node _T_2033 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] + node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 430:123] + node _T_2035 = cat(_T_2034, _T_2023) @[Cat.scala 29:58] + node _T_2036 = cat(_T_2035, _T_2012) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2036, _T_2001) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 431:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] + node _T_2037 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 433:65] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] + node _T_2039 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 433:70] + node _T_2041 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 433:65] + node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] + node _T_2043 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2044 = and(_T_2042, _T_2043) @[el2_lsu_bus_buffer.scala 433:70] + node _T_2045 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 433:65] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] + node _T_2047 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2048 = and(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 433:70] + node _T_2049 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 433:65] + node _T_2050 = eq(_T_2049, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] + node _T_2051 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 433:70] + node _T_2053 = cat(_T_2052, _T_2048) @[Cat.scala 29:58] + node _T_2054 = cat(_T_2053, _T_2044) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2054, _T_2040) @[Cat.scala 29:58] + node _T_2055 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 434:31] + found_cmdptr0 <= _T_2055 @[el2_lsu_bus_buffer.scala 434:17] + node _T_2056 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 435:31] + found_cmdptr1 <= _T_2056 @[el2_lsu_bus_buffer.scala 435:17] wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") - node _T_1978 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_1979 = cat(_T_1978, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 421:39] - node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 421:45] - node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 421:42] - node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 421:51] - node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 421:48] - node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 421:57] - node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 421:54] - node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 421:64] - node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 421:70] - node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 421:67] - node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 421:76] - node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 421:73] - node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 421:82] - node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 421:79] - node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 421:89] - node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 421:95] - node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 421:92] - node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 421:101] - node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 421:98] - node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 421:107] - node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 421:104] - node _T_2001 = cat(_T_1986, _T_1993) @[Cat.scala 29:58] - node _T_2002 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] - CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 426:11] - node _T_2003 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2004 = cat(_T_2003, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 421:39] - node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 421:45] - node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 421:42] - node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 421:51] - node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 421:48] - node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 421:57] - node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 421:54] - node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 421:64] - node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 421:70] - node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 421:67] - node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 421:76] - node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 421:73] - node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 421:82] - node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 421:79] - node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 421:89] - node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 421:95] - node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 421:92] - node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 421:101] - node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 421:98] - node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 421:107] - node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 421:104] - node _T_2026 = cat(_T_2011, _T_2018) @[Cat.scala 29:58] - node _T_2027 = cat(_T_2026, _T_2025) @[Cat.scala 29:58] - CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 428:11] - node _T_2028 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2029 = cat(_T_2028, RspPtrDec) @[Cat.scala 29:58] - node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 421:39] - node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 421:45] - node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 421:42] - node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 421:51] - node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 421:48] - node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 421:57] - node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 421:54] - node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 421:64] - node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 421:70] - node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 421:67] - node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 421:76] - node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 421:73] - node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 421:82] - node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 421:79] - node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 421:89] - node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 421:95] - node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 421:92] - node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 421:101] - node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 421:98] - node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 421:107] - node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 421:104] - node _T_2051 = cat(_T_2036, _T_2043) @[Cat.scala 29:58] - node _T_2052 = cat(_T_2051, _T_2050) @[Cat.scala 29:58] - RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 429:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 430:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 432:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 434:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 436:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 438:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:14] - node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2153 = cat(_T_2152, _T_2127) @[Cat.scala 29:58] - node _T_2154 = cat(_T_2153, _T_2102) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2154, _T_2077) @[Cat.scala 29:58] - node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2255 = cat(_T_2254, _T_2229) @[Cat.scala 29:58] - node _T_2256 = cat(_T_2255, _T_2204) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2256, _T_2179) @[Cat.scala 29:58] - node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2357 = cat(_T_2356, _T_2331) @[Cat.scala 29:58] - node _T_2358 = cat(_T_2357, _T_2306) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2358, _T_2281) @[Cat.scala 29:58] - node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] - node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 441:94] - node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] - node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] - node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] - node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 442:57] - node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 442:31] - node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] - node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] - node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 443:41] - node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:83] - node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 443:71] - node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:104] - node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 443:92] - node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 442:86] - node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] - node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] - node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:64] - node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 444:52] - node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:85] - node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 444:73] - node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 441:113] - node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:109] - node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 444:97] - node _T_2459 = cat(_T_2458, _T_2433) @[Cat.scala 29:58] - node _T_2460 = cat(_T_2459, _T_2408) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2460, _T_2383) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 445:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 446:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 446:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 446:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 446:12] - node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] - node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] - node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2496 = cat(_T_2495, _T_2491) @[Cat.scala 29:58] - node _T_2497 = cat(_T_2496, _T_2487) @[Cat.scala 29:58] - node _T_2498 = cat(_T_2497, _T_2483) @[Cat.scala 29:58] - node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2515 = cat(_T_2514, _T_2510) @[Cat.scala 29:58] - node _T_2516 = cat(_T_2515, _T_2506) @[Cat.scala 29:58] - node _T_2517 = cat(_T_2516, _T_2502) @[Cat.scala 29:58] - node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:74] - node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] - node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 447:104] - node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 447:78] - node _T_2534 = cat(_T_2533, _T_2529) @[Cat.scala 29:58] - node _T_2535 = cat(_T_2534, _T_2525) @[Cat.scala 29:58] - node _T_2536 = cat(_T_2535, _T_2521) @[Cat.scala 29:58] - buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 447:13] - buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 447:13] - buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 447:13] - buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 447:13] - node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2561 = cat(_T_2560, _T_2554) @[Cat.scala 29:58] - node _T_2562 = cat(_T_2561, _T_2548) @[Cat.scala 29:58] - node _T_2563 = cat(_T_2562, _T_2542) @[Cat.scala 29:58] - node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2588 = cat(_T_2587, _T_2581) @[Cat.scala 29:58] - node _T_2589 = cat(_T_2588, _T_2575) @[Cat.scala 29:58] - node _T_2590 = cat(_T_2589, _T_2569) @[Cat.scala 29:58] - node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2615 = cat(_T_2614, _T_2608) @[Cat.scala 29:58] - node _T_2616 = cat(_T_2615, _T_2602) @[Cat.scala 29:58] - node _T_2617 = cat(_T_2616, _T_2596) @[Cat.scala 29:58] - node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:78] - node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 448:102] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] - node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] - node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 448:106] - node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 448:74] - node _T_2642 = cat(_T_2641, _T_2635) @[Cat.scala 29:58] - node _T_2643 = cat(_T_2642, _T_2629) @[Cat.scala 29:58] - node _T_2644 = cat(_T_2643, _T_2623) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 448:21] - buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 448:21] - buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 448:21] - buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 448:21] - node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2657 = cat(_T_2656, _T_2653) @[Cat.scala 29:58] - node _T_2658 = cat(_T_2657, _T_2650) @[Cat.scala 29:58] - node _T_2659 = cat(_T_2658, _T_2647) @[Cat.scala 29:58] - node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2672 = cat(_T_2671, _T_2668) @[Cat.scala 29:58] - node _T_2673 = cat(_T_2672, _T_2665) @[Cat.scala 29:58] - node _T_2674 = cat(_T_2673, _T_2662) @[Cat.scala 29:58] - node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2687 = cat(_T_2686, _T_2683) @[Cat.scala 29:58] - node _T_2688 = cat(_T_2687, _T_2680) @[Cat.scala 29:58] - node _T_2689 = cat(_T_2688, _T_2677) @[Cat.scala 29:58] - node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 449:85] - node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 449:89] - node _T_2702 = cat(_T_2701, _T_2698) @[Cat.scala 29:58] - node _T_2703 = cat(_T_2702, _T_2695) @[Cat.scala 29:58] - node _T_2704 = cat(_T_2703, _T_2692) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 449:21] - buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 449:21] - buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 449:21] - buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 449:21] - node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2793 = cat(_T_2792, _T_2770) @[Cat.scala 29:58] - node _T_2794 = cat(_T_2793, _T_2748) @[Cat.scala 29:58] - node _T_2795 = cat(_T_2794, _T_2726) @[Cat.scala 29:58] - node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] - node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] - node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] - node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 451:114] - node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] - node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] - node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] - node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 452:34] - node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 453:43] - node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 453:73] - node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 453:92] - node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 452:61] - node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 454:54] - node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 454:73] - node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 453:112] - node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 451:114] - node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 452:34] - node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 453:43] - node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 453:73] - node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 452:61] - node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 454:54] - node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 454:73] - node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 451:114] - node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 452:34] - node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 453:43] - node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 453:73] - node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 452:61] - node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 454:54] - node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 454:73] - node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 451:114] - node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 451:95] - node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] - node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 452:34] - node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] - node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] - node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] - node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 453:43] - node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 453:73] - node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:103] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 453:92] - node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 452:61] - node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] - node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] - node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:65] - node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 454:54] - node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:84] - node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 454:73] - node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 451:114] - node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] - node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] - node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 451:20] - buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 451:20] - buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 451:20] - buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 451:20] - node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3081 = cat(_T_3080, _T_3077) @[Cat.scala 29:58] - node _T_3082 = cat(_T_3081, _T_3074) @[Cat.scala 29:58] - node _T_3083 = cat(_T_3082, _T_3071) @[Cat.scala 29:58] - node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3096 = cat(_T_3095, _T_3092) @[Cat.scala 29:58] - node _T_3097 = cat(_T_3096, _T_3089) @[Cat.scala 29:58] - node _T_3098 = cat(_T_3097, _T_3086) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3111 = cat(_T_3110, _T_3107) @[Cat.scala 29:58] - node _T_3112 = cat(_T_3111, _T_3104) @[Cat.scala 29:58] - node _T_3113 = cat(_T_3112, _T_3101) @[Cat.scala 29:58] - node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 455:105] - node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 455:90] - node _T_3126 = cat(_T_3125, _T_3122) @[Cat.scala 29:58] - node _T_3127 = cat(_T_3126, _T_3119) @[Cat.scala 29:58] - node _T_3128 = cat(_T_3127, _T_3116) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 455:19] - buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 455:19] - buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 455:19] - buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 455:19] - node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3153 = cat(_T_3152, _T_3146) @[Cat.scala 29:58] - node _T_3154 = cat(_T_3153, _T_3140) @[Cat.scala 29:58] - node _T_3155 = cat(_T_3154, _T_3134) @[Cat.scala 29:58] - node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3180 = cat(_T_3179, _T_3173) @[Cat.scala 29:58] - node _T_3181 = cat(_T_3180, _T_3167) @[Cat.scala 29:58] - node _T_3182 = cat(_T_3181, _T_3161) @[Cat.scala 29:58] - node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3207 = cat(_T_3206, _T_3200) @[Cat.scala 29:58] - node _T_3208 = cat(_T_3207, _T_3194) @[Cat.scala 29:58] - node _T_3209 = cat(_T_3208, _T_3188) @[Cat.scala 29:58] - node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 456:80] - node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] - node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 456:112] - node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] - node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 456:84] - node _T_3234 = cat(_T_3233, _T_3227) @[Cat.scala 29:58] - node _T_3235 = cat(_T_3234, _T_3221) @[Cat.scala 29:58] - node _T_3236 = cat(_T_3235, _T_3215) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 456:16] - buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 456:16] - buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 456:16] - buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 456:16] - node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 461:65] - node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 461:65] - node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 461:65] - node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:77] - node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 461:65] - node _T_3245 = cat(_T_3244, _T_3242) @[Cat.scala 29:58] - node _T_3246 = cat(_T_3245, _T_3240) @[Cat.scala 29:58] - node _T_3247 = cat(_T_3246, _T_3238) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 461:23] - node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:66] - node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 462:86] - node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:106] - node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:134] - node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 462:123] - node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:159] - node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:182] - node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 462:96] - node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 462:48] - node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:66] - node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 462:86] - node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:106] - node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:134] - node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 462:123] - node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:159] - node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:182] - node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 462:96] - node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 462:48] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:66] - node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 462:86] - node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:106] - node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:134] - node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 462:123] - node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:159] - node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:182] - node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 462:96] - node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 462:48] - node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:66] - node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 462:86] - node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:106] - node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:134] - node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 462:123] - node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:159] - node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:182] - node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 462:96] - node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 462:48] - buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 462:19] - buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 462:19] - buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 462:19] - buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 462:19] - node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:64] - node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 463:93] - node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:121] - node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 463:110] - node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 463:83] - node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 463:46] - node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:64] - node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 463:93] - node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 463:121] - node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 463:110] - node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 463:83] - node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 463:46] - node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:64] - node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 463:93] - node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 463:121] - node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 463:110] - node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 463:83] - node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 463:46] - node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:64] - node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 463:93] - node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 463:121] - node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 463:110] - node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 463:83] - node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 463:46] - buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 463:17] - buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 463:17] - buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 463:17] - buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 463:17] - node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 464:65] - node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 464:65] - node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 464:65] - node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 464:65] - node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:47] - node _T_3316 = cat(_T_3315, _T_3313) @[Cat.scala 29:58] - node _T_3317 = cat(_T_3316, _T_3311) @[Cat.scala 29:58] - node _T_3318 = cat(_T_3317, _T_3309) @[Cat.scala 29:58] - buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 464:17] - node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 465:67] - node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 465:49] - node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 465:67] - node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 465:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 465:67] - node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 465:49] - node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 465:67] - node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 465:49] - node _T_3327 = cat(_T_3326, _T_3324) @[Cat.scala 29:58] - node _T_3328 = cat(_T_3327, _T_3322) @[Cat.scala 29:58] - node _T_3329 = cat(_T_3328, _T_3320) @[Cat.scala 29:58] - buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 465:19] - node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 466:68] - node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 466:86] - node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 466:50] - node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 466:68] - node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 466:86] - node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 466:50] - node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 466:68] - node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 466:86] - node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 466:50] - node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 466:68] - node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 466:86] - node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 466:50] - node _T_3342 = cat(_T_3341, _T_3338) @[Cat.scala 29:58] - node _T_3343 = cat(_T_3342, _T_3335) @[Cat.scala 29:58] - node _T_3344 = cat(_T_3343, _T_3332) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 466:20] - node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 467:67] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:120] - node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 467:109] - node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 467:49] - node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 467:67] - node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:120] - node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 467:109] - node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 467:49] - node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 467:67] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:120] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 467:109] - node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 467:49] - node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 467:67] - node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:120] - node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 467:109] - node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 467:49] - node _T_3365 = cat(_T_3364, _T_3359) @[Cat.scala 29:58] - node _T_3366 = cat(_T_3365, _T_3354) @[Cat.scala 29:58] - node _T_3367 = cat(_T_3366, _T_3349) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 467:19] - node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 468:67] - node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:99] - node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:127] - node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 468:116] - node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 468:89] - node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 468:67] - node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:99] - node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:127] - node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 468:116] - node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 468:89] - node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 468:67] - node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:99] - node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:127] - node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 468:116] - node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 468:89] - node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 468:67] - node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:99] - node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:127] - node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 468:116] - node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 468:89] - node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 468:49] - buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 468:20] - buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 468:20] - buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 468:20] - buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 468:20] - node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 469:71] - node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 469:71] - node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 469:71] - node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 469:71] - node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_3400 = cat(_T_3399, _T_3397) @[Cat.scala 29:58] - node _T_3401 = cat(_T_3400, _T_3395) @[Cat.scala 29:58] - node _T_3402 = cat(_T_3401, _T_3393) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 469:23] - node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 470:67] - node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 470:67] - node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 470:67] - node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 470:67] - node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 470:49] - node _T_3411 = cat(_T_3410, _T_3408) @[Cat.scala 29:58] - node _T_3412 = cat(_T_3411, _T_3406) @[Cat.scala 29:58] - node _T_3413 = cat(_T_3412, _T_3404) @[Cat.scala 29:58] - buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 470:19] - node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 471:62] - node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 471:44] - node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 471:62] - node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 471:44] - node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 471:62] - node _T_3421 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 471:44] - node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 471:62] - node _T_3424 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 471:44] - buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 471:15] - buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 471:15] - buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 471:15] - buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 471:15] - node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 472:66] - node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 472:66] - node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 472:66] - node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 472:66] - node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 472:48] - node _T_3434 = cat(_T_3433, _T_3431) @[Cat.scala 29:58] - node _T_3435 = cat(_T_3434, _T_3429) @[Cat.scala 29:58] - node _T_3436 = cat(_T_3435, _T_3427) @[Cat.scala 29:58] - buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 472:18] - node _T_3437 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3437 : @[Conditional.scala 40:58] - node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 477:56] - node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:31] - buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 477:25] - node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 478:45] - node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:97] - node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 478:117] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:144] - node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 478:166] - node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 478:161] - node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 478:132] - node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 478:63] - node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:206] - node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 478:201] - node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 478:183] - buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 478:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 479:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 480:24] - node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 481:52] - node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 481:114] - node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 481:30] - buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 481:24] + node _T_2057 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2058 = cat(_T_2057, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2059 = bits(_T_2058, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] + node _T_2060 = bits(_T_2058, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2061 = or(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 437:42] + node _T_2062 = bits(_T_2058, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] + node _T_2063 = or(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 437:48] + node _T_2064 = bits(_T_2058, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] + node _T_2065 = or(_T_2063, _T_2064) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2066 = bits(_T_2058, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] + node _T_2067 = bits(_T_2058, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] + node _T_2068 = or(_T_2066, _T_2067) @[el2_lsu_bus_buffer.scala 437:67] + node _T_2069 = bits(_T_2058, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2070 = or(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2071 = bits(_T_2058, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] + node _T_2072 = or(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 437:79] + node _T_2073 = bits(_T_2058, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2074 = bits(_T_2058, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] + node _T_2075 = or(_T_2073, _T_2074) @[el2_lsu_bus_buffer.scala 437:92] + node _T_2076 = bits(_T_2058, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] + node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2078 = bits(_T_2058, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] + node _T_2079 = or(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2080 = cat(_T_2065, _T_2072) @[Cat.scala 29:58] + node _T_2081 = cat(_T_2080, _T_2079) @[Cat.scala 29:58] + CmdPtr0 <= _T_2081 @[el2_lsu_bus_buffer.scala 442:11] + node _T_2082 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2083 = cat(_T_2082, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2084 = bits(_T_2083, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] + node _T_2085 = bits(_T_2083, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2086 = or(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 437:42] + node _T_2087 = bits(_T_2083, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] + node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 437:48] + node _T_2089 = bits(_T_2083, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] + node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2091 = bits(_T_2083, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] + node _T_2092 = bits(_T_2083, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] + node _T_2093 = or(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 437:67] + node _T_2094 = bits(_T_2083, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2096 = bits(_T_2083, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] + node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 437:79] + node _T_2098 = bits(_T_2083, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2099 = bits(_T_2083, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] + node _T_2100 = or(_T_2098, _T_2099) @[el2_lsu_bus_buffer.scala 437:92] + node _T_2101 = bits(_T_2083, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] + node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2103 = bits(_T_2083, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] + node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2105 = cat(_T_2090, _T_2097) @[Cat.scala 29:58] + node _T_2106 = cat(_T_2105, _T_2104) @[Cat.scala 29:58] + CmdPtr1 <= _T_2106 @[el2_lsu_bus_buffer.scala 444:11] + node _T_2107 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2108 = cat(_T_2107, RspPtrDec) @[Cat.scala 29:58] + node _T_2109 = bits(_T_2108, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] + node _T_2110 = bits(_T_2108, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 437:42] + node _T_2112 = bits(_T_2108, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] + node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 437:48] + node _T_2114 = bits(_T_2108, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] + node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2116 = bits(_T_2108, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] + node _T_2117 = bits(_T_2108, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] + node _T_2118 = or(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 437:67] + node _T_2119 = bits(_T_2108, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2120 = or(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2121 = bits(_T_2108, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] + node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 437:79] + node _T_2123 = bits(_T_2108, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2124 = bits(_T_2108, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] + node _T_2125 = or(_T_2123, _T_2124) @[el2_lsu_bus_buffer.scala 437:92] + node _T_2126 = bits(_T_2108, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] + node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2128 = bits(_T_2108, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] + node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 437:104] + node _T_2130 = cat(_T_2115, _T_2122) @[Cat.scala 29:58] + node _T_2131 = cat(_T_2130, _T_2129) @[Cat.scala 29:58] + RspPtr <= _T_2131 @[el2_lsu_bus_buffer.scala 445:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 446:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 448:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 450:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 452:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 454:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] + node _T_2132 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2133 = and(_T_2132, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2134 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2135 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2136 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2138 = or(_T_2134, _T_2137) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2139 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2140 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2142 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2144 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2146 = or(_T_2138, _T_2145) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2147 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2148 = and(_T_2147, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2149 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2150 = and(_T_2148, _T_2149) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2151 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2152 = and(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2153 = or(_T_2146, _T_2152) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2154 = and(_T_2133, _T_2153) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2155 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2156 = or(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2157 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2158 = and(_T_2157, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2159 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2160 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2161 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2162 = and(_T_2160, _T_2161) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2163 = or(_T_2159, _T_2162) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2164 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2165 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2167 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2169 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2171 = or(_T_2163, _T_2170) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2172 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2173 = and(_T_2172, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2174 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2176 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2177 = and(_T_2175, _T_2176) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2178 = or(_T_2171, _T_2177) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2179 = and(_T_2158, _T_2178) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2180 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2181 = or(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2182 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2183 = and(_T_2182, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2184 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2185 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2186 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2187 = and(_T_2185, _T_2186) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2188 = or(_T_2184, _T_2187) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2189 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2190 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2192 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2194 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2196 = or(_T_2188, _T_2195) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2197 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2198 = and(_T_2197, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2199 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2201 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2202 = and(_T_2200, _T_2201) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2203 = or(_T_2196, _T_2202) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2204 = and(_T_2183, _T_2203) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2205 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2206 = or(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2207 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2208 = and(_T_2207, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2209 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2210 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2211 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2212 = and(_T_2210, _T_2211) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2213 = or(_T_2209, _T_2212) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2214 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2215 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2217 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2219 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2221 = or(_T_2213, _T_2220) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2222 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2223 = and(_T_2222, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2224 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2226 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2228 = or(_T_2221, _T_2227) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2229 = and(_T_2208, _T_2228) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2230 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2231 = or(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2232 = cat(_T_2231, _T_2206) @[Cat.scala 29:58] + node _T_2233 = cat(_T_2232, _T_2181) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2233, _T_2156) @[Cat.scala 29:58] + node _T_2234 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2235 = and(_T_2234, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2236 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2237 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2238 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2240 = or(_T_2236, _T_2239) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2241 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2242 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2244 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2246 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2248 = or(_T_2240, _T_2247) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2249 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2250 = and(_T_2249, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2251 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2252 = and(_T_2250, _T_2251) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2253 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2254 = and(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2255 = or(_T_2248, _T_2254) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2256 = and(_T_2235, _T_2255) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2257 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2258 = or(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2259 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2260 = and(_T_2259, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2261 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2262 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2263 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2264 = and(_T_2262, _T_2263) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2265 = or(_T_2261, _T_2264) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2266 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2267 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2269 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2271 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2273 = or(_T_2265, _T_2272) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2274 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2275 = and(_T_2274, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2276 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2278 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2279 = and(_T_2277, _T_2278) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2280 = or(_T_2273, _T_2279) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2281 = and(_T_2260, _T_2280) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2282 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2283 = or(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2284 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2285 = and(_T_2284, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2286 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2287 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2288 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2289 = and(_T_2287, _T_2288) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2290 = or(_T_2286, _T_2289) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2291 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2292 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2294 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2296 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2298 = or(_T_2290, _T_2297) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2299 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2300 = and(_T_2299, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2301 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2303 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2304 = and(_T_2302, _T_2303) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2305 = or(_T_2298, _T_2304) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2306 = and(_T_2285, _T_2305) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2307 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2308 = or(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2309 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2310 = and(_T_2309, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2311 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2312 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2313 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2314 = and(_T_2312, _T_2313) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2315 = or(_T_2311, _T_2314) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2316 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2317 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2319 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2321 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2323 = or(_T_2315, _T_2322) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2324 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2325 = and(_T_2324, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2326 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2328 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2330 = or(_T_2323, _T_2329) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2331 = and(_T_2310, _T_2330) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2332 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2333 = or(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2334 = cat(_T_2333, _T_2308) @[Cat.scala 29:58] + node _T_2335 = cat(_T_2334, _T_2283) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2335, _T_2258) @[Cat.scala 29:58] + node _T_2336 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2337 = and(_T_2336, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2338 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2339 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2340 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2342 = or(_T_2338, _T_2341) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2343 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2344 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2346 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2348 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2350 = or(_T_2342, _T_2349) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2351 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2352 = and(_T_2351, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2353 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2354 = and(_T_2352, _T_2353) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2355 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2356 = and(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2357 = or(_T_2350, _T_2356) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2358 = and(_T_2337, _T_2357) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2359 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2360 = or(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2361 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2362 = and(_T_2361, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2363 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2364 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2365 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2366 = and(_T_2364, _T_2365) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2367 = or(_T_2363, _T_2366) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2368 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2369 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2371 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2373 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2375 = or(_T_2367, _T_2374) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2376 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2377 = and(_T_2376, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2378 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2380 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2381 = and(_T_2379, _T_2380) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2382 = or(_T_2375, _T_2381) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2383 = and(_T_2362, _T_2382) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2384 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2385 = or(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2386 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2387 = and(_T_2386, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2388 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2389 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2390 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2391 = and(_T_2389, _T_2390) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2392 = or(_T_2388, _T_2391) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2393 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2394 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2396 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2398 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2400 = or(_T_2392, _T_2399) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2401 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2402 = and(_T_2401, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2403 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2405 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2406 = and(_T_2404, _T_2405) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2407 = or(_T_2400, _T_2406) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2408 = and(_T_2387, _T_2407) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2409 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2410 = or(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2411 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2412 = and(_T_2411, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2413 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2414 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2415 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2416 = and(_T_2414, _T_2415) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2417 = or(_T_2413, _T_2416) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2418 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2419 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2421 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2423 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2425 = or(_T_2417, _T_2424) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2426 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2427 = and(_T_2426, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2428 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2430 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2431 = and(_T_2429, _T_2430) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2432 = or(_T_2425, _T_2431) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2433 = and(_T_2412, _T_2432) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2434 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2435 = or(_T_2433, _T_2434) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2436 = cat(_T_2435, _T_2410) @[Cat.scala 29:58] + node _T_2437 = cat(_T_2436, _T_2385) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2437, _T_2360) @[Cat.scala 29:58] + node _T_2438 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2439 = and(_T_2438, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2440 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2441 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2442 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2444 = or(_T_2440, _T_2443) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2445 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2446 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2448 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2449 = and(_T_2447, _T_2448) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2450 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2452 = or(_T_2444, _T_2451) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2453 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2454 = and(_T_2453, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2455 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2456 = and(_T_2454, _T_2455) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2457 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2458 = and(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2459 = or(_T_2452, _T_2458) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2460 = and(_T_2439, _T_2459) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2461 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2462 = or(_T_2460, _T_2461) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2463 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2464 = and(_T_2463, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2465 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2467 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2468 = and(_T_2466, _T_2467) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2469 = or(_T_2465, _T_2468) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2470 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2471 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2472 = and(_T_2470, _T_2471) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2473 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2474 = and(_T_2472, _T_2473) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2475 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2476 = and(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2477 = or(_T_2469, _T_2476) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2478 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2479 = and(_T_2478, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2480 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2481 = and(_T_2479, _T_2480) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2482 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2483 = and(_T_2481, _T_2482) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2484 = or(_T_2477, _T_2483) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2485 = and(_T_2464, _T_2484) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2486 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2487 = or(_T_2485, _T_2486) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2488 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2489 = and(_T_2488, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2490 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2491 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2492 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2493 = and(_T_2491, _T_2492) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2494 = or(_T_2490, _T_2493) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2495 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2496 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2497 = and(_T_2495, _T_2496) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2498 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2499 = and(_T_2497, _T_2498) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2500 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2501 = and(_T_2499, _T_2500) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2502 = or(_T_2494, _T_2501) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2503 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2504 = and(_T_2503, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2505 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2506 = and(_T_2504, _T_2505) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2507 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2508 = and(_T_2506, _T_2507) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2509 = or(_T_2502, _T_2508) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2510 = and(_T_2489, _T_2509) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2511 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2512 = or(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2513 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2514 = and(_T_2513, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2515 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2516 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2517 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2518 = and(_T_2516, _T_2517) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2519 = or(_T_2515, _T_2518) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2520 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2521 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2522 = and(_T_2520, _T_2521) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2523 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2524 = and(_T_2522, _T_2523) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2525 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2526 = and(_T_2524, _T_2525) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2527 = or(_T_2519, _T_2526) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2528 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2529 = and(_T_2528, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2530 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2531 = and(_T_2529, _T_2530) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2532 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2534 = or(_T_2527, _T_2533) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2535 = and(_T_2514, _T_2534) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2536 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2537 = or(_T_2535, _T_2536) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2538 = cat(_T_2537, _T_2512) @[Cat.scala 29:58] + node _T_2539 = cat(_T_2538, _T_2487) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2539, _T_2462) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 461:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] + node _T_2540 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2541 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2542 = and(_T_2541, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2543 = and(_T_2540, _T_2542) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2544 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2547 = and(_T_2544, _T_2546) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2548 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2549 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2551 = and(_T_2548, _T_2550) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2552 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2553 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2554 = and(_T_2553, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2555 = and(_T_2552, _T_2554) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2556 = cat(_T_2555, _T_2551) @[Cat.scala 29:58] + node _T_2557 = cat(_T_2556, _T_2547) @[Cat.scala 29:58] + node _T_2558 = cat(_T_2557, _T_2543) @[Cat.scala 29:58] + node _T_2559 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2562 = and(_T_2559, _T_2561) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2563 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2564 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2565 = and(_T_2564, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2566 = and(_T_2563, _T_2565) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2567 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2568 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2570 = and(_T_2567, _T_2569) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2571 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2572 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2574 = and(_T_2571, _T_2573) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2575 = cat(_T_2574, _T_2570) @[Cat.scala 29:58] + node _T_2576 = cat(_T_2575, _T_2566) @[Cat.scala 29:58] + node _T_2577 = cat(_T_2576, _T_2562) @[Cat.scala 29:58] + node _T_2578 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2579 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2580 = and(_T_2579, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2581 = and(_T_2578, _T_2580) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2582 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2583 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2585 = and(_T_2582, _T_2584) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2586 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2587 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2589 = and(_T_2586, _T_2588) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2590 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2591 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2593 = and(_T_2590, _T_2592) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2594 = cat(_T_2593, _T_2589) @[Cat.scala 29:58] + node _T_2595 = cat(_T_2594, _T_2585) @[Cat.scala 29:58] + node _T_2596 = cat(_T_2595, _T_2581) @[Cat.scala 29:58] + node _T_2597 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2598 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2600 = and(_T_2597, _T_2599) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2601 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2602 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2603 = and(_T_2602, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2604 = and(_T_2601, _T_2603) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2605 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2606 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2608 = and(_T_2605, _T_2607) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2609 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2610 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] + node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] + node _T_2612 = and(_T_2609, _T_2611) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2613 = cat(_T_2612, _T_2608) @[Cat.scala 29:58] + node _T_2614 = cat(_T_2613, _T_2604) @[Cat.scala 29:58] + node _T_2615 = cat(_T_2614, _T_2600) @[Cat.scala 29:58] + buf_age[0] <= _T_2558 @[el2_lsu_bus_buffer.scala 463:13] + buf_age[1] <= _T_2577 @[el2_lsu_bus_buffer.scala 463:13] + buf_age[2] <= _T_2596 @[el2_lsu_bus_buffer.scala 463:13] + buf_age[3] <= _T_2615 @[el2_lsu_bus_buffer.scala 463:13] + node _T_2616 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2617 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2619 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2620 = and(_T_2618, _T_2619) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2621 = mux(_T_2616, UInt<1>("h00"), _T_2620) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2622 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2623 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2624 = eq(_T_2623, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2625 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2626 = and(_T_2624, _T_2625) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2627 = mux(_T_2622, UInt<1>("h00"), _T_2626) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2628 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2629 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2631 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2632 = and(_T_2630, _T_2631) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2634 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2635 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2637 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2638 = and(_T_2636, _T_2637) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2640 = cat(_T_2639, _T_2633) @[Cat.scala 29:58] + node _T_2641 = cat(_T_2640, _T_2627) @[Cat.scala 29:58] + node _T_2642 = cat(_T_2641, _T_2621) @[Cat.scala 29:58] + node _T_2643 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2644 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2646 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2648 = mux(_T_2643, UInt<1>("h00"), _T_2647) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2649 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2650 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2652 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2655 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2656 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2658 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2661 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2662 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2664 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2667 = cat(_T_2666, _T_2660) @[Cat.scala 29:58] + node _T_2668 = cat(_T_2667, _T_2654) @[Cat.scala 29:58] + node _T_2669 = cat(_T_2668, _T_2648) @[Cat.scala 29:58] + node _T_2670 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2671 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2673 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2676 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2677 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2679 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2682 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2683 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2685 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2688 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2689 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2691 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2694 = cat(_T_2693, _T_2687) @[Cat.scala 29:58] + node _T_2695 = cat(_T_2694, _T_2681) @[Cat.scala 29:58] + node _T_2696 = cat(_T_2695, _T_2675) @[Cat.scala 29:58] + node _T_2697 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2698 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2700 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2703 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2704 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2706 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2709 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2710 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2712 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2715 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2716 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2718 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2721 = cat(_T_2720, _T_2714) @[Cat.scala 29:58] + node _T_2722 = cat(_T_2721, _T_2708) @[Cat.scala 29:58] + node _T_2723 = cat(_T_2722, _T_2702) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2642 @[el2_lsu_bus_buffer.scala 464:21] + buf_age_younger[1] <= _T_2669 @[el2_lsu_bus_buffer.scala 464:21] + buf_age_younger[2] <= _T_2696 @[el2_lsu_bus_buffer.scala 464:21] + buf_age_younger[3] <= _T_2723 @[el2_lsu_bus_buffer.scala 464:21] + node _T_2724 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2725 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2727 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2728 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2729 = and(_T_2727, _T_2728) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2730 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2731 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2732 = and(_T_2730, _T_2731) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2733 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2734 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2736 = cat(_T_2735, _T_2732) @[Cat.scala 29:58] + node _T_2737 = cat(_T_2736, _T_2729) @[Cat.scala 29:58] + node _T_2738 = cat(_T_2737, _T_2726) @[Cat.scala 29:58] + node _T_2739 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2740 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2741 = and(_T_2739, _T_2740) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2742 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2743 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2745 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2746 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2748 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2749 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2750 = and(_T_2748, _T_2749) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2751 = cat(_T_2750, _T_2747) @[Cat.scala 29:58] + node _T_2752 = cat(_T_2751, _T_2744) @[Cat.scala 29:58] + node _T_2753 = cat(_T_2752, _T_2741) @[Cat.scala 29:58] + node _T_2754 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2755 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2757 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2758 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2760 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2761 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2763 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2764 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2766 = cat(_T_2765, _T_2762) @[Cat.scala 29:58] + node _T_2767 = cat(_T_2766, _T_2759) @[Cat.scala 29:58] + node _T_2768 = cat(_T_2767, _T_2756) @[Cat.scala 29:58] + node _T_2769 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2770 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2772 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2773 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2775 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2776 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2778 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2779 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2781 = cat(_T_2780, _T_2777) @[Cat.scala 29:58] + node _T_2782 = cat(_T_2781, _T_2774) @[Cat.scala 29:58] + node _T_2783 = cat(_T_2782, _T_2771) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2738 @[el2_lsu_bus_buffer.scala 465:21] + buf_rsp_pickage[1] <= _T_2753 @[el2_lsu_bus_buffer.scala 465:21] + buf_rsp_pickage[2] <= _T_2768 @[el2_lsu_bus_buffer.scala 465:21] + buf_rsp_pickage[3] <= _T_2783 @[el2_lsu_bus_buffer.scala 465:21] + node _T_2784 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2785 = and(_T_2784, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2786 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2787 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2788 = or(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2789 = eq(_T_2788, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2790 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2791 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2793 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2794 = and(_T_2792, _T_2793) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2795 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2796 = and(_T_2794, _T_2795) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2797 = or(_T_2789, _T_2796) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2798 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2799 = and(_T_2798, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2800 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2802 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2803 = and(_T_2801, _T_2802) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2804 = or(_T_2797, _T_2803) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2805 = and(_T_2785, _T_2804) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2806 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2807 = and(_T_2806, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2808 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2809 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2810 = or(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2811 = eq(_T_2810, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2812 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2813 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2814 = and(_T_2812, _T_2813) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2815 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2817 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2818 = and(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2819 = or(_T_2811, _T_2818) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2820 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2821 = and(_T_2820, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2822 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2824 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2826 = or(_T_2819, _T_2825) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2827 = and(_T_2807, _T_2826) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2828 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2829 = and(_T_2828, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2830 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2831 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2832 = or(_T_2830, _T_2831) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2833 = eq(_T_2832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2834 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2835 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2837 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2839 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2841 = or(_T_2833, _T_2840) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2842 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2843 = and(_T_2842, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2844 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2846 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2848 = or(_T_2841, _T_2847) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2849 = and(_T_2829, _T_2848) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2850 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2851 = and(_T_2850, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2852 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2853 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2854 = or(_T_2852, _T_2853) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2855 = eq(_T_2854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2856 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2857 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2859 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2861 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2863 = or(_T_2855, _T_2862) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2864 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2865 = and(_T_2864, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2866 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2868 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2870 = or(_T_2863, _T_2869) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2871 = and(_T_2851, _T_2870) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2872 = cat(_T_2871, _T_2849) @[Cat.scala 29:58] + node _T_2873 = cat(_T_2872, _T_2827) @[Cat.scala 29:58] + node _T_2874 = cat(_T_2873, _T_2805) @[Cat.scala 29:58] + node _T_2875 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2876 = and(_T_2875, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2877 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2878 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2879 = or(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2880 = eq(_T_2879, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2881 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2882 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2883 = and(_T_2881, _T_2882) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2884 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2885 = and(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2886 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2887 = and(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2888 = or(_T_2880, _T_2887) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2889 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2890 = and(_T_2889, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2891 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2892 = and(_T_2890, _T_2891) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2893 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2895 = or(_T_2888, _T_2894) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2896 = and(_T_2876, _T_2895) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2897 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2898 = and(_T_2897, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2899 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2900 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2901 = or(_T_2899, _T_2900) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2902 = eq(_T_2901, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2903 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2904 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2905 = and(_T_2903, _T_2904) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2906 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2907 = and(_T_2905, _T_2906) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2908 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2909 = and(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2910 = or(_T_2902, _T_2909) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2911 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2912 = and(_T_2911, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2913 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2915 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2917 = or(_T_2910, _T_2916) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2918 = and(_T_2898, _T_2917) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2919 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2920 = and(_T_2919, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2921 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2922 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2923 = or(_T_2921, _T_2922) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2924 = eq(_T_2923, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2925 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2926 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2928 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2930 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2932 = or(_T_2924, _T_2931) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2933 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2934 = and(_T_2933, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2935 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2937 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2939 = or(_T_2932, _T_2938) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2940 = and(_T_2920, _T_2939) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2941 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2942 = and(_T_2941, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2944 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2945 = or(_T_2943, _T_2944) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2946 = eq(_T_2945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2947 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2948 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2950 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2952 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2954 = or(_T_2946, _T_2953) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2955 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2956 = and(_T_2955, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2957 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2959 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2961 = or(_T_2954, _T_2960) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2962 = and(_T_2942, _T_2961) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2963 = cat(_T_2962, _T_2940) @[Cat.scala 29:58] + node _T_2964 = cat(_T_2963, _T_2918) @[Cat.scala 29:58] + node _T_2965 = cat(_T_2964, _T_2896) @[Cat.scala 29:58] + node _T_2966 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2967 = and(_T_2966, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2968 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2969 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2970 = or(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2971 = eq(_T_2970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2972 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2973 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2974 = and(_T_2972, _T_2973) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2975 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2976 = and(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2977 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2978 = and(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2979 = or(_T_2971, _T_2978) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2980 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2981 = and(_T_2980, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2982 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2983 = and(_T_2981, _T_2982) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2984 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2986 = or(_T_2979, _T_2985) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2987 = and(_T_2967, _T_2986) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2988 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2989 = and(_T_2988, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2990 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2991 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2992 = or(_T_2990, _T_2991) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2993 = eq(_T_2992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2994 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2995 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2996 = and(_T_2994, _T_2995) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2997 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2998 = and(_T_2996, _T_2997) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2999 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3000 = and(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3001 = or(_T_2993, _T_3000) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3002 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3003 = and(_T_3002, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3004 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3006 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3008 = or(_T_3001, _T_3007) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3009 = and(_T_2989, _T_3008) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3010 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3011 = and(_T_3010, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3012 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3013 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3014 = or(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3015 = eq(_T_3014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3016 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3017 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3018 = and(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3019 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3020 = and(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3021 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3022 = and(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3023 = or(_T_3015, _T_3022) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3024 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3025 = and(_T_3024, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3026 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3028 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3030 = or(_T_3023, _T_3029) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3031 = and(_T_3011, _T_3030) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3032 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3033 = and(_T_3032, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3034 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3035 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3036 = or(_T_3034, _T_3035) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3037 = eq(_T_3036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3038 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3039 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3040 = and(_T_3038, _T_3039) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3041 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3042 = and(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3043 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3044 = and(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3045 = or(_T_3037, _T_3044) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3046 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3047 = and(_T_3046, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3048 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3050 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3052 = or(_T_3045, _T_3051) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3053 = and(_T_3033, _T_3052) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3054 = cat(_T_3053, _T_3031) @[Cat.scala 29:58] + node _T_3055 = cat(_T_3054, _T_3009) @[Cat.scala 29:58] + node _T_3056 = cat(_T_3055, _T_2987) @[Cat.scala 29:58] + node _T_3057 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3058 = and(_T_3057, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3059 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3060 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3061 = or(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3062 = eq(_T_3061, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3063 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3064 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3065 = and(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3066 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3067 = and(_T_3065, _T_3066) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3068 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3069 = and(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3070 = or(_T_3062, _T_3069) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3071 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3072 = and(_T_3071, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3073 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3074 = and(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3075 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3077 = or(_T_3070, _T_3076) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3078 = and(_T_3058, _T_3077) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3079 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3080 = and(_T_3079, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3081 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3082 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3083 = or(_T_3081, _T_3082) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3084 = eq(_T_3083, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3087 = and(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3088 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3089 = and(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3091 = and(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3092 = or(_T_3084, _T_3091) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3094 = and(_T_3093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3095 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3096 = and(_T_3094, _T_3095) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3099 = or(_T_3092, _T_3098) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3100 = and(_T_3080, _T_3099) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3101 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3102 = and(_T_3101, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3103 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3104 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3105 = or(_T_3103, _T_3104) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3106 = eq(_T_3105, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3107 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3108 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3109 = and(_T_3107, _T_3108) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3110 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3111 = and(_T_3109, _T_3110) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3112 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3113 = and(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3114 = or(_T_3106, _T_3113) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3115 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3116 = and(_T_3115, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3117 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3118 = and(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3119 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3121 = or(_T_3114, _T_3120) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3122 = and(_T_3102, _T_3121) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3123 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3124 = and(_T_3123, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3125 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3126 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3127 = or(_T_3125, _T_3126) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3128 = eq(_T_3127, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3129 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3130 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3131 = and(_T_3129, _T_3130) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3132 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3133 = and(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3134 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3135 = and(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3136 = or(_T_3128, _T_3135) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3137 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3138 = and(_T_3137, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3139 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3140 = and(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3141 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3143 = or(_T_3136, _T_3142) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3144 = and(_T_3124, _T_3143) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3145 = cat(_T_3144, _T_3122) @[Cat.scala 29:58] + node _T_3146 = cat(_T_3145, _T_3100) @[Cat.scala 29:58] + node _T_3147 = cat(_T_3146, _T_3078) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2874 @[el2_lsu_bus_buffer.scala 467:20] + buf_rspage_set[1] <= _T_2965 @[el2_lsu_bus_buffer.scala 467:20] + buf_rspage_set[2] <= _T_3056 @[el2_lsu_bus_buffer.scala 467:20] + buf_rspage_set[3] <= _T_3147 @[el2_lsu_bus_buffer.scala 467:20] + node _T_3148 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3149 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3151 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3152 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3153 = or(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3154 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3155 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3157 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3158 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3160 = cat(_T_3159, _T_3156) @[Cat.scala 29:58] + node _T_3161 = cat(_T_3160, _T_3153) @[Cat.scala 29:58] + node _T_3162 = cat(_T_3161, _T_3150) @[Cat.scala 29:58] + node _T_3163 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3164 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3166 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3167 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3169 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3170 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3172 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3173 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3175 = cat(_T_3174, _T_3171) @[Cat.scala 29:58] + node _T_3176 = cat(_T_3175, _T_3168) @[Cat.scala 29:58] + node _T_3177 = cat(_T_3176, _T_3165) @[Cat.scala 29:58] + node _T_3178 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3179 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3181 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3182 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3184 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3185 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3187 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3188 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3190 = cat(_T_3189, _T_3186) @[Cat.scala 29:58] + node _T_3191 = cat(_T_3190, _T_3183) @[Cat.scala 29:58] + node _T_3192 = cat(_T_3191, _T_3180) @[Cat.scala 29:58] + node _T_3193 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3194 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3196 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3197 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3199 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3200 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3202 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3203 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3205 = cat(_T_3204, _T_3201) @[Cat.scala 29:58] + node _T_3206 = cat(_T_3205, _T_3198) @[Cat.scala 29:58] + node _T_3207 = cat(_T_3206, _T_3195) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3162 @[el2_lsu_bus_buffer.scala 471:19] + buf_rspage_in[1] <= _T_3177 @[el2_lsu_bus_buffer.scala 471:19] + buf_rspage_in[2] <= _T_3192 @[el2_lsu_bus_buffer.scala 471:19] + buf_rspage_in[3] <= _T_3207 @[el2_lsu_bus_buffer.scala 471:19] + node _T_3208 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3209 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3210 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3211 = or(_T_3209, _T_3210) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3212 = eq(_T_3211, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3213 = and(_T_3208, _T_3212) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3214 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3215 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3216 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3217 = or(_T_3215, _T_3216) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3218 = eq(_T_3217, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3219 = and(_T_3214, _T_3218) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3220 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3221 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3222 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3223 = or(_T_3221, _T_3222) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3225 = and(_T_3220, _T_3224) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3226 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3227 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3228 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3229 = or(_T_3227, _T_3228) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3231 = and(_T_3226, _T_3230) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3232 = cat(_T_3231, _T_3225) @[Cat.scala 29:58] + node _T_3233 = cat(_T_3232, _T_3219) @[Cat.scala 29:58] + node _T_3234 = cat(_T_3233, _T_3213) @[Cat.scala 29:58] + node _T_3235 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3236 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3237 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3238 = or(_T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3240 = and(_T_3235, _T_3239) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3241 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3242 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3243 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3247 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3248 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3249 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3253 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3254 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3255 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3259 = cat(_T_3258, _T_3252) @[Cat.scala 29:58] + node _T_3260 = cat(_T_3259, _T_3246) @[Cat.scala 29:58] + node _T_3261 = cat(_T_3260, _T_3240) @[Cat.scala 29:58] + node _T_3262 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3263 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3264 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3268 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3269 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3274 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3275 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3280 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3281 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3282 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3286 = cat(_T_3285, _T_3279) @[Cat.scala 29:58] + node _T_3287 = cat(_T_3286, _T_3273) @[Cat.scala 29:58] + node _T_3288 = cat(_T_3287, _T_3267) @[Cat.scala 29:58] + node _T_3289 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3295 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3296 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3297 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3301 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3302 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3303 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3307 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3308 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3309 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3313 = cat(_T_3312, _T_3306) @[Cat.scala 29:58] + node _T_3314 = cat(_T_3313, _T_3300) @[Cat.scala 29:58] + node _T_3315 = cat(_T_3314, _T_3294) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3234 @[el2_lsu_bus_buffer.scala 472:16] + buf_rspage[1] <= _T_3261 @[el2_lsu_bus_buffer.scala 472:16] + buf_rspage[2] <= _T_3288 @[el2_lsu_bus_buffer.scala 472:16] + buf_rspage[3] <= _T_3315 @[el2_lsu_bus_buffer.scala 472:16] + node _T_3316 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3317 = and(ibuf_drain_vld, _T_3316) @[el2_lsu_bus_buffer.scala 477:65] + node _T_3318 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3319 = and(ibuf_drain_vld, _T_3318) @[el2_lsu_bus_buffer.scala 477:65] + node _T_3320 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3321 = and(ibuf_drain_vld, _T_3320) @[el2_lsu_bus_buffer.scala 477:65] + node _T_3322 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3323 = and(ibuf_drain_vld, _T_3322) @[el2_lsu_bus_buffer.scala 477:65] + node _T_3324 = cat(_T_3323, _T_3321) @[Cat.scala 29:58] + node _T_3325 = cat(_T_3324, _T_3319) @[Cat.scala 29:58] + node _T_3326 = cat(_T_3325, _T_3317) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3326 @[el2_lsu_bus_buffer.scala 477:23] + node _T_3327 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 478:66] + node _T_3328 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] + node _T_3329 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] + node _T_3330 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:134] + node _T_3331 = and(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 478:123] + node _T_3332 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] + node _T_3333 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] + node _T_3334 = mux(_T_3331, _T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 478:96] + node _T_3335 = mux(_T_3327, _T_3328, _T_3334) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3336 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 478:66] + node _T_3337 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] + node _T_3338 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] + node _T_3339 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:134] + node _T_3340 = and(_T_3338, _T_3339) @[el2_lsu_bus_buffer.scala 478:123] + node _T_3341 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] + node _T_3342 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] + node _T_3343 = mux(_T_3340, _T_3341, _T_3342) @[el2_lsu_bus_buffer.scala 478:96] + node _T_3344 = mux(_T_3336, _T_3337, _T_3343) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3345 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 478:66] + node _T_3346 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] + node _T_3347 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] + node _T_3348 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:134] + node _T_3349 = and(_T_3347, _T_3348) @[el2_lsu_bus_buffer.scala 478:123] + node _T_3350 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] + node _T_3351 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] + node _T_3352 = mux(_T_3349, _T_3350, _T_3351) @[el2_lsu_bus_buffer.scala 478:96] + node _T_3353 = mux(_T_3345, _T_3346, _T_3352) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3354 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 478:66] + node _T_3355 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] + node _T_3357 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:134] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 478:123] + node _T_3359 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] + node _T_3360 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] + node _T_3361 = mux(_T_3358, _T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 478:96] + node _T_3362 = mux(_T_3354, _T_3355, _T_3361) @[el2_lsu_bus_buffer.scala 478:48] + buf_byteen_in[0] <= _T_3335 @[el2_lsu_bus_buffer.scala 478:19] + buf_byteen_in[1] <= _T_3344 @[el2_lsu_bus_buffer.scala 478:19] + buf_byteen_in[2] <= _T_3353 @[el2_lsu_bus_buffer.scala 478:19] + buf_byteen_in[3] <= _T_3362 @[el2_lsu_bus_buffer.scala 478:19] + node _T_3363 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:64] + node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] + node _T_3365 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:121] + node _T_3366 = and(_T_3364, _T_3365) @[el2_lsu_bus_buffer.scala 479:110] + node _T_3367 = mux(_T_3366, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] + node _T_3368 = mux(_T_3363, ibuf_addr, _T_3367) @[el2_lsu_bus_buffer.scala 479:46] + node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:64] + node _T_3370 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] + node _T_3371 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:121] + node _T_3372 = and(_T_3370, _T_3371) @[el2_lsu_bus_buffer.scala 479:110] + node _T_3373 = mux(_T_3372, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] + node _T_3374 = mux(_T_3369, ibuf_addr, _T_3373) @[el2_lsu_bus_buffer.scala 479:46] + node _T_3375 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:64] + node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] + node _T_3377 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:121] + node _T_3378 = and(_T_3376, _T_3377) @[el2_lsu_bus_buffer.scala 479:110] + node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] + node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[el2_lsu_bus_buffer.scala 479:46] + node _T_3381 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:64] + node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] + node _T_3383 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:121] + node _T_3384 = and(_T_3382, _T_3383) @[el2_lsu_bus_buffer.scala 479:110] + node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] + node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[el2_lsu_bus_buffer.scala 479:46] + buf_addr_in[0] <= _T_3368 @[el2_lsu_bus_buffer.scala 479:17] + buf_addr_in[1] <= _T_3374 @[el2_lsu_bus_buffer.scala 479:17] + buf_addr_in[2] <= _T_3380 @[el2_lsu_bus_buffer.scala 479:17] + buf_addr_in[3] <= _T_3386 @[el2_lsu_bus_buffer.scala 479:17] + node _T_3387 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3388 = mux(_T_3387, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] + node _T_3389 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3390 = mux(_T_3389, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] + node _T_3391 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3392 = mux(_T_3391, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] + node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:65] + node _T_3394 = mux(_T_3393, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] + node _T_3395 = cat(_T_3394, _T_3392) @[Cat.scala 29:58] + node _T_3396 = cat(_T_3395, _T_3390) @[Cat.scala 29:58] + node _T_3397 = cat(_T_3396, _T_3388) @[Cat.scala 29:58] + buf_dual_in <= _T_3397 @[el2_lsu_bus_buffer.scala 480:17] + node _T_3398 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:67] + node _T_3399 = mux(_T_3398, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] + node _T_3400 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:67] + node _T_3401 = mux(_T_3400, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] + node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:67] + node _T_3403 = mux(_T_3402, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] + node _T_3404 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:67] + node _T_3405 = mux(_T_3404, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] + node _T_3406 = cat(_T_3405, _T_3403) @[Cat.scala 29:58] + node _T_3407 = cat(_T_3406, _T_3401) @[Cat.scala 29:58] + node _T_3408 = cat(_T_3407, _T_3399) @[Cat.scala 29:58] + buf_samedw_in <= _T_3408 @[el2_lsu_bus_buffer.scala 481:19] + node _T_3409 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:68] + node _T_3410 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3411 = mux(_T_3409, _T_3410, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] + node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:68] + node _T_3413 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3414 = mux(_T_3412, _T_3413, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] + node _T_3415 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:68] + node _T_3416 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3417 = mux(_T_3415, _T_3416, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] + node _T_3418 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:68] + node _T_3419 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] + node _T_3420 = mux(_T_3418, _T_3419, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] + node _T_3421 = cat(_T_3420, _T_3417) @[Cat.scala 29:58] + node _T_3422 = cat(_T_3421, _T_3414) @[Cat.scala 29:58] + node _T_3423 = cat(_T_3422, _T_3411) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3423 @[el2_lsu_bus_buffer.scala 482:20] + node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3425 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] + node _T_3426 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:120] + node _T_3427 = and(_T_3425, _T_3426) @[el2_lsu_bus_buffer.scala 483:109] + node _T_3428 = mux(_T_3424, ibuf_dual, _T_3427) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3429 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3430 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] + node _T_3431 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 483:120] + node _T_3432 = and(_T_3430, _T_3431) @[el2_lsu_bus_buffer.scala 483:109] + node _T_3433 = mux(_T_3429, ibuf_dual, _T_3432) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3434 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3435 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] + node _T_3436 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 483:120] + node _T_3437 = and(_T_3435, _T_3436) @[el2_lsu_bus_buffer.scala 483:109] + node _T_3438 = mux(_T_3434, ibuf_dual, _T_3437) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3439 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] + node _T_3441 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 483:120] + node _T_3442 = and(_T_3440, _T_3441) @[el2_lsu_bus_buffer.scala 483:109] + node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[el2_lsu_bus_buffer.scala 483:49] + node _T_3444 = cat(_T_3443, _T_3438) @[Cat.scala 29:58] + node _T_3445 = cat(_T_3444, _T_3433) @[Cat.scala 29:58] + node _T_3446 = cat(_T_3445, _T_3428) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3446 @[el2_lsu_bus_buffer.scala 483:19] + node _T_3447 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] + node _T_3449 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:127] + node _T_3450 = and(_T_3448, _T_3449) @[el2_lsu_bus_buffer.scala 484:116] + node _T_3451 = mux(_T_3450, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] + node _T_3452 = mux(_T_3447, ibuf_dualtag, _T_3451) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3453 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3454 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] + node _T_3455 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 484:127] + node _T_3456 = and(_T_3454, _T_3455) @[el2_lsu_bus_buffer.scala 484:116] + node _T_3457 = mux(_T_3456, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] + node _T_3458 = mux(_T_3453, ibuf_dualtag, _T_3457) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3459 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] + node _T_3461 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 484:127] + node _T_3462 = and(_T_3460, _T_3461) @[el2_lsu_bus_buffer.scala 484:116] + node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] + node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3465 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] + node _T_3467 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 484:127] + node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 484:116] + node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] + node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[el2_lsu_bus_buffer.scala 484:49] + buf_dualtag_in[0] <= _T_3452 @[el2_lsu_bus_buffer.scala 484:20] + buf_dualtag_in[1] <= _T_3458 @[el2_lsu_bus_buffer.scala 484:20] + buf_dualtag_in[2] <= _T_3464 @[el2_lsu_bus_buffer.scala 484:20] + buf_dualtag_in[3] <= _T_3470 @[el2_lsu_bus_buffer.scala 484:20] + node _T_3471 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:71] + node _T_3472 = mux(_T_3471, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3473 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:71] + node _T_3474 = mux(_T_3473, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3475 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:71] + node _T_3476 = mux(_T_3475, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:71] + node _T_3478 = mux(_T_3477, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3479 = cat(_T_3478, _T_3476) @[Cat.scala 29:58] + node _T_3480 = cat(_T_3479, _T_3474) @[Cat.scala 29:58] + node _T_3481 = cat(_T_3480, _T_3472) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3481 @[el2_lsu_bus_buffer.scala 485:23] + node _T_3482 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3483 = mux(_T_3482, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3484 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3485 = mux(_T_3484, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3486 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3487 = mux(_T_3486, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3488 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3489 = mux(_T_3488, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3490 = cat(_T_3489, _T_3487) @[Cat.scala 29:58] + node _T_3491 = cat(_T_3490, _T_3485) @[Cat.scala 29:58] + node _T_3492 = cat(_T_3491, _T_3483) @[Cat.scala 29:58] + buf_unsign_in <= _T_3492 @[el2_lsu_bus_buffer.scala 486:19] + node _T_3493 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:62] + node _T_3494 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3495 = mux(_T_3493, ibuf_sz, _T_3494) @[el2_lsu_bus_buffer.scala 487:44] + node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:62] + node _T_3497 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3498 = mux(_T_3496, ibuf_sz, _T_3497) @[el2_lsu_bus_buffer.scala 487:44] + node _T_3499 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:62] + node _T_3500 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3501 = mux(_T_3499, ibuf_sz, _T_3500) @[el2_lsu_bus_buffer.scala 487:44] + node _T_3502 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:62] + node _T_3503 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3504 = mux(_T_3502, ibuf_sz, _T_3503) @[el2_lsu_bus_buffer.scala 487:44] + buf_sz_in[0] <= _T_3495 @[el2_lsu_bus_buffer.scala 487:15] + buf_sz_in[1] <= _T_3498 @[el2_lsu_bus_buffer.scala 487:15] + buf_sz_in[2] <= _T_3501 @[el2_lsu_bus_buffer.scala 487:15] + buf_sz_in[3] <= _T_3504 @[el2_lsu_bus_buffer.scala 487:15] + node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:66] + node _T_3506 = mux(_T_3505, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3507 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:66] + node _T_3508 = mux(_T_3507, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3509 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:66] + node _T_3510 = mux(_T_3509, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3511 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:66] + node _T_3512 = mux(_T_3511, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] + node _T_3513 = cat(_T_3512, _T_3510) @[Cat.scala 29:58] + node _T_3514 = cat(_T_3513, _T_3508) @[Cat.scala 29:58] + node _T_3515 = cat(_T_3514, _T_3506) @[Cat.scala 29:58] + buf_write_in <= _T_3515 @[el2_lsu_bus_buffer.scala 488:18] + node _T_3516 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3516 : @[Conditional.scala 40:58] + node _T_3517 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] + node _T_3518 = mux(_T_3517, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] + buf_nxtstate[0] <= _T_3518 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3519 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] + node _T_3520 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] + node _T_3521 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] + node _T_3522 = and(_T_3520, _T_3521) @[el2_lsu_bus_buffer.scala 494:95] + node _T_3523 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] + node _T_3524 = and(_T_3522, _T_3523) @[el2_lsu_bus_buffer.scala 494:112] + node _T_3525 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] + node _T_3526 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] + node _T_3527 = and(_T_3525, _T_3526) @[el2_lsu_bus_buffer.scala 494:161] + node _T_3528 = or(_T_3524, _T_3527) @[el2_lsu_bus_buffer.scala 494:132] + node _T_3529 = and(_T_3519, _T_3528) @[el2_lsu_bus_buffer.scala 494:63] + node _T_3530 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] + node _T_3531 = and(ibuf_drain_vld, _T_3530) @[el2_lsu_bus_buffer.scala 494:201] + node _T_3532 = or(_T_3529, _T_3531) @[el2_lsu_bus_buffer.scala 494:183] + buf_state_en[0] <= _T_3532 @[el2_lsu_bus_buffer.scala 494:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 495:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 496:24] + node _T_3533 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] + node _T_3534 = and(ibuf_drain_vld, _T_3533) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3535 = bits(_T_3534, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3536 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] + node _T_3537 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] + node _T_3538 = mux(_T_3535, _T_3536, _T_3537) @[el2_lsu_bus_buffer.scala 497:30] + buf_data_in[0] <= _T_3538 @[el2_lsu_bus_buffer.scala 497:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3460 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3460 : @[Conditional.scala 39:67] - node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 484:60] - node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 484:31] - buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 484:25] - node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 485:46] - buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3539 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3539 : @[Conditional.scala 39:67] + node _T_3540 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] + node _T_3541 = mux(_T_3540, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] + buf_nxtstate[0] <= _T_3541 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3542 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] + buf_state_en[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3464 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3464 : @[Conditional.scala 39:67] - node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 488:60] - node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 488:89] - node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 488:124] - node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 488:104] - node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 488:75] - node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 488:31] - buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 488:25] - node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 489:104] - node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 489:91] - node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 489:77] - node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 489:135] - node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 489:148] - buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 489:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 490:29] - node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 491:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 492:25] - node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] - node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 493:44] - node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:60] - node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:76] - node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 493:74] - buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 494:46] - buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 494:28] - node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:47] - node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:81] - buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 495:24] - node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 496:68] - node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 496:82] - buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:61] - node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 497:85] - node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 497:103] - node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:126] - node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 497:24] + node _T_3543 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3543 : @[Conditional.scala 39:67] + node _T_3544 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3545 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] + node _T_3546 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] + node _T_3547 = and(_T_3545, _T_3546) @[el2_lsu_bus_buffer.scala 504:104] + node _T_3548 = mux(_T_3547, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_3549 = mux(_T_3544, UInt<3>("h00"), _T_3548) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[0] <= _T_3549 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3550 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 505:48] + node _T_3551 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3552 = and(obuf_merge, _T_3551) @[el2_lsu_bus_buffer.scala 505:91] + node _T_3553 = or(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 505:77] + node _T_3554 = and(_T_3553, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] + node _T_3555 = and(_T_3554, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] + buf_cmd_state_bus_en[0] <= _T_3555 @[el2_lsu_bus_buffer.scala 505:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 506:29] + node _T_3556 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_3557 = or(_T_3556, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 507:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] + node _T_3558 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 509:56] + node _T_3559 = eq(_T_3558, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] + node _T_3560 = and(buf_state_en[0], _T_3559) @[el2_lsu_bus_buffer.scala 509:44] + node _T_3561 = and(_T_3560, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] + node _T_3562 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] + node _T_3563 = and(_T_3561, _T_3562) @[el2_lsu_bus_buffer.scala 509:74] + buf_ldfwd_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3564 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] + buf_ldfwdtag_in[0] <= _T_3564 @[el2_lsu_bus_buffer.scala 510:28] + node _T_3565 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] + node _T_3566 = and(_T_3565, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] + node _T_3567 = and(_T_3566, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] + buf_data_en[0] <= _T_3567 @[el2_lsu_bus_buffer.scala 511:24] + node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] + node _T_3569 = and(_T_3568, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] + node _T_3570 = and(_T_3569, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] + buf_error_en[0] <= _T_3570 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3571 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] + node _T_3572 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] + node _T_3573 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] + node _T_3574 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] + node _T_3575 = mux(_T_3572, _T_3573, _T_3574) @[el2_lsu_bus_buffer.scala 513:73] + node _T_3576 = mux(buf_error_en[0], _T_3571, _T_3575) @[el2_lsu_bus_buffer.scala 513:30] + buf_data_in[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 513:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3498 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3498 : @[Conditional.scala 39:67] - node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 500:67] - node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 500:94] - node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 500:73] - node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 500:71] - node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 500:55] - node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 500:125] - node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:30] - node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 501:28] - node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 501:57] - node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:47] - node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 501:45] - node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 501:90] - node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 501:61] - node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 502:27] - node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:31] - node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:70] - node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 502:68] - node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 502:97] - node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:87] - node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 502:85] - node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3527 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3528 = mux(_T_3521, _T_3522, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3529 = mux(_T_3523, _T_3524, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3530 = mux(_T_3525, _T_3526, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3531 = or(_T_3527, _T_3528) @[Mux.scala 27:72] - node _T_3532 = or(_T_3531, _T_3529) @[Mux.scala 27:72] - node _T_3533 = or(_T_3532, _T_3530) @[Mux.scala 27:72] - wire _T_3534 : UInt<1> @[Mux.scala 27:72] - _T_3534 <= _T_3533 @[Mux.scala 27:72] - node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 502:167] - node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 502:138] - node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:187] - node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 502:53] - node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:16] - node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 501:14] - node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 503:73] - node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 503:52] - node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 504:46] - node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 505:23] - node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 505:47] - node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 505:27] - node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 504:77] - node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 506:26] - node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 506:54] - node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 506:44] - node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 506:42] - node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 506:58] - node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 506:94] - node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 506:74] - node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 505:71] - node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 504:25] - node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 503:105] - buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 503:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 507:29] - node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 509:47] - node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:62] - buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 509:24] - node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:48] - node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 510:111] - node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 510:91] - node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 511:42] - node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 511:31] - node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 511:66] - node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 511:46] - node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 510:143] - node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 512:32] - node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 512:74] - node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 512:53] - node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 511:88] - node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 510:68] - buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 510:25] - node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 513:50] - node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 513:48] - node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 513:84] - node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:102] - node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:125] - node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 513:72] - node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:148] - node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3577 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3577 : @[Conditional.scala 39:67] + node _T_3578 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 516:67] + node _T_3579 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] + node _T_3580 = eq(_T_3579, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] + node _T_3581 = and(_T_3578, _T_3580) @[el2_lsu_bus_buffer.scala 516:71] + node _T_3582 = or(io.dec_tlu_force_halt, _T_3581) @[el2_lsu_bus_buffer.scala 516:55] + node _T_3583 = bits(_T_3582, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] + node _T_3584 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] + node _T_3585 = and(buf_dual[0], _T_3584) @[el2_lsu_bus_buffer.scala 517:28] + node _T_3586 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 517:57] + node _T_3587 = eq(_T_3586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] + node _T_3588 = and(_T_3585, _T_3587) @[el2_lsu_bus_buffer.scala 517:45] + node _T_3589 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] + node _T_3590 = and(_T_3588, _T_3589) @[el2_lsu_bus_buffer.scala 517:61] + node _T_3591 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 518:27] + node _T_3592 = or(_T_3591, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] + node _T_3593 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] + node _T_3594 = and(buf_dual[0], _T_3593) @[el2_lsu_bus_buffer.scala 518:68] + node _T_3595 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:97] + node _T_3596 = eq(_T_3595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] + node _T_3597 = and(_T_3594, _T_3596) @[el2_lsu_bus_buffer.scala 518:85] + node _T_3598 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3599 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3600 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3601 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3602 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3603 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3604 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3605 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3606 = mux(_T_3598, _T_3599, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3607 = mux(_T_3600, _T_3601, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3608 = mux(_T_3602, _T_3603, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3609 = mux(_T_3604, _T_3605, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3610 = or(_T_3606, _T_3607) @[Mux.scala 27:72] + node _T_3611 = or(_T_3610, _T_3608) @[Mux.scala 27:72] + node _T_3612 = or(_T_3611, _T_3609) @[Mux.scala 27:72] + wire _T_3613 : UInt<1> @[Mux.scala 27:72] + _T_3613 <= _T_3612 @[Mux.scala 27:72] + node _T_3614 = and(_T_3597, _T_3613) @[el2_lsu_bus_buffer.scala 518:101] + node _T_3615 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] + node _T_3616 = and(_T_3614, _T_3615) @[el2_lsu_bus_buffer.scala 518:138] + node _T_3617 = and(_T_3616, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] + node _T_3618 = or(_T_3592, _T_3617) @[el2_lsu_bus_buffer.scala 518:53] + node _T_3619 = mux(_T_3618, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] + node _T_3620 = mux(_T_3590, UInt<3>("h04"), _T_3619) @[el2_lsu_bus_buffer.scala 517:14] + node _T_3621 = mux(_T_3583, UInt<3>("h00"), _T_3620) @[el2_lsu_bus_buffer.scala 516:31] + buf_nxtstate[0] <= _T_3621 @[el2_lsu_bus_buffer.scala 516:25] + node _T_3622 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 519:73] + node _T_3623 = and(bus_rsp_write, _T_3622) @[el2_lsu_bus_buffer.scala 519:52] + node _T_3624 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 520:46] + node _T_3625 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 521:23] + node _T_3626 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 521:47] + node _T_3627 = and(_T_3625, _T_3626) @[el2_lsu_bus_buffer.scala 521:27] + node _T_3628 = or(_T_3624, _T_3627) @[el2_lsu_bus_buffer.scala 520:77] + node _T_3629 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 522:26] + node _T_3630 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 522:54] + node _T_3631 = not(_T_3630) @[el2_lsu_bus_buffer.scala 522:44] + node _T_3632 = and(_T_3629, _T_3631) @[el2_lsu_bus_buffer.scala 522:42] + node _T_3633 = and(_T_3632, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 522:58] + node _T_3634 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 522:94] + node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 522:74] + node _T_3636 = or(_T_3628, _T_3635) @[el2_lsu_bus_buffer.scala 521:71] + node _T_3637 = and(bus_rsp_read, _T_3636) @[el2_lsu_bus_buffer.scala 520:25] + node _T_3638 = or(_T_3623, _T_3637) @[el2_lsu_bus_buffer.scala 519:105] + buf_resp_state_bus_en[0] <= _T_3638 @[el2_lsu_bus_buffer.scala 519:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 523:29] + node _T_3639 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] + node _T_3640 = or(_T_3639, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] + buf_state_en[0] <= _T_3640 @[el2_lsu_bus_buffer.scala 524:25] + node _T_3641 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] + node _T_3642 = and(_T_3641, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] + buf_data_en[0] <= _T_3642 @[el2_lsu_bus_buffer.scala 525:24] + node _T_3643 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] + node _T_3644 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 526:111] + node _T_3645 = and(bus_rsp_read_error, _T_3644) @[el2_lsu_bus_buffer.scala 526:91] + node _T_3646 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 527:42] + node _T_3647 = and(bus_rsp_read_error, _T_3646) @[el2_lsu_bus_buffer.scala 527:31] + node _T_3648 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 527:66] + node _T_3649 = and(_T_3647, _T_3648) @[el2_lsu_bus_buffer.scala 527:46] + node _T_3650 = or(_T_3645, _T_3649) @[el2_lsu_bus_buffer.scala 526:143] + node _T_3651 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] + node _T_3652 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:74] + node _T_3653 = and(_T_3651, _T_3652) @[el2_lsu_bus_buffer.scala 528:53] + node _T_3654 = or(_T_3650, _T_3653) @[el2_lsu_bus_buffer.scala 527:88] + node _T_3655 = and(_T_3643, _T_3654) @[el2_lsu_bus_buffer.scala 526:68] + buf_error_en[0] <= _T_3655 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3656 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] + node _T_3657 = and(buf_state_en[0], _T_3656) @[el2_lsu_bus_buffer.scala 529:48] + node _T_3658 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] + node _T_3659 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] + node _T_3660 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] + node _T_3661 = mux(_T_3658, _T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 529:72] + node _T_3662 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] + node _T_3663 = mux(_T_3657, _T_3661, _T_3662) @[el2_lsu_bus_buffer.scala 529:30] + buf_data_in[0] <= _T_3663 @[el2_lsu_bus_buffer.scala 529:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3585 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3585 : @[Conditional.scala 39:67] - node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] - node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 516:86] - node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 516:101] - node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 516:101] - node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 516:90] - node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 516:118] - node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 516:75] - node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 517:66] - node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 518:21] - node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 518:21] - node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 518:58] - node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 518:38] - node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 517:95] - node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 517:45] - buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 517:29] - node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] - node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] - buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3664 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3664 : @[Conditional.scala 39:67] + node _T_3665 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] + node _T_3666 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 532:86] + node _T_3667 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 532:101] + node _T_3668 = bits(_T_3667, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] + node _T_3669 = or(_T_3666, _T_3668) @[el2_lsu_bus_buffer.scala 532:90] + node _T_3670 = or(_T_3669, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] + node _T_3671 = mux(_T_3670, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] + node _T_3672 = mux(_T_3665, UInt<3>("h00"), _T_3671) @[el2_lsu_bus_buffer.scala 532:31] + buf_nxtstate[0] <= _T_3672 @[el2_lsu_bus_buffer.scala 532:25] + node _T_3673 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:66] + node _T_3674 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:21] + node _T_3675 = bits(_T_3674, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] + node _T_3676 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 534:58] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 534:38] + node _T_3678 = or(_T_3673, _T_3677) @[el2_lsu_bus_buffer.scala 533:95] + node _T_3679 = and(bus_rsp_read, _T_3678) @[el2_lsu_bus_buffer.scala 533:45] + buf_state_bus_en[0] <= _T_3679 @[el2_lsu_bus_buffer.scala 533:29] + node _T_3680 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] + node _T_3681 = or(_T_3680, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] + buf_state_en[0] <= _T_3681 @[el2_lsu_bus_buffer.scala 535:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3603 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3603 : @[Conditional.scala 39:67] - node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 522:60] - node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 522:31] - buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 522:25] - node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 523:37] - node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 523:98] - node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 523:80] - node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 523:65] - node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:112] - buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 523:25] + node _T_3682 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3682 : @[Conditional.scala 39:67] + node _T_3683 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] + node _T_3684 = mux(_T_3683, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] + buf_nxtstate[0] <= _T_3684 @[el2_lsu_bus_buffer.scala 538:25] + node _T_3685 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 539:37] + node _T_3686 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] + node _T_3687 = and(buf_dual[0], _T_3686) @[el2_lsu_bus_buffer.scala 539:80] + node _T_3688 = or(_T_3685, _T_3687) @[el2_lsu_bus_buffer.scala 539:65] + node _T_3689 = or(_T_3688, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] + buf_state_en[0] <= _T_3689 @[el2_lsu_bus_buffer.scala 539:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3611 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3611 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 526:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 527:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 528:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 529:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 530:25] + node _T_3690 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3690 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 546:25] skip @[Conditional.scala 39:67] - node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:108] - reg _T_3613 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3612 : @[Reg.scala 28:19] - _T_3613 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3691 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] + reg _T_3692 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3691 : @[Reg.scala 28:19] + _T_3692 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 533:18] - reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:60] - _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 534:60] - buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 534:17] - reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:63] - _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 535:63] - buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 535:20] - node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:109] - reg _T_3617 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3616 : @[Reg.scala 28:19] - _T_3617 <= buf_dualtag_in[0] @[Reg.scala 28:23] + buf_state[0] <= _T_3692 @[el2_lsu_bus_buffer.scala 549:18] + reg _T_3693 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] + _T_3693 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 550:60] + buf_ageQ[0] <= _T_3693 @[el2_lsu_bus_buffer.scala 550:17] + reg _T_3694 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] + _T_3694 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 551:63] + buf_rspageQ[0] <= _T_3694 @[el2_lsu_bus_buffer.scala 551:20] + node _T_3695 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] + reg _T_3696 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3695 : @[Reg.scala 28:19] + _T_3696 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 536:20] - node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:74] - node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:107] - reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3619 : @[Reg.scala 28:19] - _T_3620 <= _T_3618 @[Reg.scala 28:23] + buf_dualtag[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 552:20] + node _T_3697 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 553:74] + node _T_3698 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] + reg _T_3699 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3698 : @[Reg.scala 28:19] + _T_3699 <= _T_3697 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 537:17] - node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 538:78] - node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:111] - reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3622 : @[Reg.scala 28:19] - _T_3623 <= _T_3621 @[Reg.scala 28:23] + buf_dual[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 553:17] + node _T_3700 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 554:78] + node _T_3701 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] + reg _T_3702 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3701 : @[Reg.scala 28:19] + _T_3702 <= _T_3700 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 538:19] - node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 539:80] - node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:113] - reg _T_3626 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3625 : @[Reg.scala 28:19] - _T_3626 <= _T_3624 @[Reg.scala 28:23] + buf_samedw[0] <= _T_3702 @[el2_lsu_bus_buffer.scala 554:19] + node _T_3703 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:80] + node _T_3704 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] + reg _T_3705 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3704 : @[Reg.scala 28:19] + _T_3705 <= _T_3703 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 539:20] - node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 540:78] - node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:111] - reg _T_3629 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3628 : @[Reg.scala 28:19] - _T_3629 <= _T_3627 @[Reg.scala 28:23] + buf_nomerge[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 555:20] + node _T_3706 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:78] + node _T_3707 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + reg _T_3708 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3707 : @[Reg.scala 28:19] + _T_3708 <= _T_3706 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 540:19] - node _T_3630 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3630 : @[Conditional.scala 40:58] - node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 477:56] - node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:31] - buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 477:25] - node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 478:45] - node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:97] - node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 478:117] - node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:144] - node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 478:166] - node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 478:161] - node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 478:132] - node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 478:63] - node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:206] - node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 478:201] - node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 478:183] - buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 478:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 479:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 480:24] - node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 481:52] - node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 481:114] - node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 481:30] - buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 481:24] + buf_dualhi[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 556:19] + node _T_3709 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3709 : @[Conditional.scala 40:58] + node _T_3710 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] + node _T_3711 = mux(_T_3710, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] + buf_nxtstate[1] <= _T_3711 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3712 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] + node _T_3713 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] + node _T_3714 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] + node _T_3715 = and(_T_3713, _T_3714) @[el2_lsu_bus_buffer.scala 494:95] + node _T_3716 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] + node _T_3717 = and(_T_3715, _T_3716) @[el2_lsu_bus_buffer.scala 494:112] + node _T_3718 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] + node _T_3719 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] + node _T_3720 = and(_T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 494:161] + node _T_3721 = or(_T_3717, _T_3720) @[el2_lsu_bus_buffer.scala 494:132] + node _T_3722 = and(_T_3712, _T_3721) @[el2_lsu_bus_buffer.scala 494:63] + node _T_3723 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] + node _T_3724 = and(ibuf_drain_vld, _T_3723) @[el2_lsu_bus_buffer.scala 494:201] + node _T_3725 = or(_T_3722, _T_3724) @[el2_lsu_bus_buffer.scala 494:183] + buf_state_en[1] <= _T_3725 @[el2_lsu_bus_buffer.scala 494:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 495:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 496:24] + node _T_3726 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] + node _T_3727 = and(ibuf_drain_vld, _T_3726) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3728 = bits(_T_3727, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3729 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] + node _T_3730 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] + node _T_3731 = mux(_T_3728, _T_3729, _T_3730) @[el2_lsu_bus_buffer.scala 497:30] + buf_data_in[1] <= _T_3731 @[el2_lsu_bus_buffer.scala 497:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3653 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3653 : @[Conditional.scala 39:67] - node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 484:60] - node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 484:31] - buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 484:25] - node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 485:46] - buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3732 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3732 : @[Conditional.scala 39:67] + node _T_3733 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] + node _T_3734 = mux(_T_3733, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] + buf_nxtstate[1] <= _T_3734 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3735 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] + buf_state_en[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3657 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3657 : @[Conditional.scala 39:67] - node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 488:60] - node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 488:89] - node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 488:124] - node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 488:104] - node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 488:75] - node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 488:31] - buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 488:25] - node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 489:104] - node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 489:91] - node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 489:77] - node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 489:135] - node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 489:148] - buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 489:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 490:29] - node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 491:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 492:25] - node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 493:56] - node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 493:44] - node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:60] - node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:76] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 493:74] - buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 494:46] - buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 494:28] - node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:47] - node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:81] - buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 495:24] - node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 496:68] - node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 496:82] - buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:61] - node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 497:85] - node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 497:103] - node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:126] - node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 497:24] + node _T_3736 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3736 : @[Conditional.scala 39:67] + node _T_3737 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3738 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] + node _T_3739 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] + node _T_3740 = and(_T_3738, _T_3739) @[el2_lsu_bus_buffer.scala 504:104] + node _T_3741 = mux(_T_3740, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_3742 = mux(_T_3737, UInt<3>("h00"), _T_3741) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[1] <= _T_3742 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3743 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:48] + node _T_3744 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3745 = and(obuf_merge, _T_3744) @[el2_lsu_bus_buffer.scala 505:91] + node _T_3746 = or(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 505:77] + node _T_3747 = and(_T_3746, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] + node _T_3748 = and(_T_3747, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] + buf_cmd_state_bus_en[1] <= _T_3748 @[el2_lsu_bus_buffer.scala 505:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 506:29] + node _T_3749 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_3750 = or(_T_3749, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 507:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] + node _T_3751 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 509:56] + node _T_3752 = eq(_T_3751, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] + node _T_3753 = and(buf_state_en[1], _T_3752) @[el2_lsu_bus_buffer.scala 509:44] + node _T_3754 = and(_T_3753, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] + node _T_3755 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] + node _T_3756 = and(_T_3754, _T_3755) @[el2_lsu_bus_buffer.scala 509:74] + buf_ldfwd_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3757 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] + buf_ldfwdtag_in[1] <= _T_3757 @[el2_lsu_bus_buffer.scala 510:28] + node _T_3758 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] + node _T_3759 = and(_T_3758, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] + node _T_3760 = and(_T_3759, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] + buf_data_en[1] <= _T_3760 @[el2_lsu_bus_buffer.scala 511:24] + node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] + node _T_3762 = and(_T_3761, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] + node _T_3763 = and(_T_3762, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] + buf_error_en[1] <= _T_3763 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3764 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] + node _T_3765 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] + node _T_3766 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] + node _T_3767 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] + node _T_3768 = mux(_T_3765, _T_3766, _T_3767) @[el2_lsu_bus_buffer.scala 513:73] + node _T_3769 = mux(buf_error_en[1], _T_3764, _T_3768) @[el2_lsu_bus_buffer.scala 513:30] + buf_data_in[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 513:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3691 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3691 : @[Conditional.scala 39:67] - node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 500:67] - node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 500:94] - node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 500:73] - node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 500:71] - node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 500:55] - node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 500:125] - node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:30] - node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 501:28] - node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 501:57] - node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:47] - node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 501:45] - node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 501:90] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 501:61] - node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 502:27] - node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:31] - node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:70] - node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 502:68] - node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 502:97] - node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:87] - node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 502:85] - node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3720 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3721 = mux(_T_3714, _T_3715, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3722 = mux(_T_3716, _T_3717, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3723 = mux(_T_3718, _T_3719, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3724 = or(_T_3720, _T_3721) @[Mux.scala 27:72] - node _T_3725 = or(_T_3724, _T_3722) @[Mux.scala 27:72] - node _T_3726 = or(_T_3725, _T_3723) @[Mux.scala 27:72] - wire _T_3727 : UInt<1> @[Mux.scala 27:72] - _T_3727 <= _T_3726 @[Mux.scala 27:72] - node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 502:167] - node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 502:138] - node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:187] - node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 502:53] - node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:16] - node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 501:14] - node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:73] - node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 503:52] - node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:46] - node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 505:23] - node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 505:47] - node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 505:27] - node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 504:77] - node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 506:26] - node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 506:54] - node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 506:44] - node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 506:42] - node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 506:58] - node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 506:94] - node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 506:74] - node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 505:71] - node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 504:25] - node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 503:105] - buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 503:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 507:29] - node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 509:47] - node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:62] - buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 509:24] - node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:48] - node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 510:111] - node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 510:91] - node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 511:42] - node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 511:31] - node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 511:66] - node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 511:46] - node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 510:143] - node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 512:32] - node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 512:74] - node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 512:53] - node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 511:88] - node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 510:68] - buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 510:25] - node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 513:50] - node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 513:48] - node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 513:84] - node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:102] - node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:125] - node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 513:72] - node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:148] - node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3770 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3770 : @[Conditional.scala 39:67] + node _T_3771 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 516:67] + node _T_3772 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] + node _T_3773 = eq(_T_3772, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] + node _T_3774 = and(_T_3771, _T_3773) @[el2_lsu_bus_buffer.scala 516:71] + node _T_3775 = or(io.dec_tlu_force_halt, _T_3774) @[el2_lsu_bus_buffer.scala 516:55] + node _T_3776 = bits(_T_3775, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] + node _T_3777 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] + node _T_3778 = and(buf_dual[1], _T_3777) @[el2_lsu_bus_buffer.scala 517:28] + node _T_3779 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 517:57] + node _T_3780 = eq(_T_3779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] + node _T_3781 = and(_T_3778, _T_3780) @[el2_lsu_bus_buffer.scala 517:45] + node _T_3782 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] + node _T_3783 = and(_T_3781, _T_3782) @[el2_lsu_bus_buffer.scala 517:61] + node _T_3784 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 518:27] + node _T_3785 = or(_T_3784, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] + node _T_3786 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] + node _T_3787 = and(buf_dual[1], _T_3786) @[el2_lsu_bus_buffer.scala 518:68] + node _T_3788 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:97] + node _T_3789 = eq(_T_3788, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] + node _T_3790 = and(_T_3787, _T_3789) @[el2_lsu_bus_buffer.scala 518:85] + node _T_3791 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3792 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3793 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3794 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3795 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3796 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3797 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3798 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3799 = mux(_T_3791, _T_3792, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3800 = mux(_T_3793, _T_3794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3801 = mux(_T_3795, _T_3796, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3802 = mux(_T_3797, _T_3798, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3803 = or(_T_3799, _T_3800) @[Mux.scala 27:72] + node _T_3804 = or(_T_3803, _T_3801) @[Mux.scala 27:72] + node _T_3805 = or(_T_3804, _T_3802) @[Mux.scala 27:72] + wire _T_3806 : UInt<1> @[Mux.scala 27:72] + _T_3806 <= _T_3805 @[Mux.scala 27:72] + node _T_3807 = and(_T_3790, _T_3806) @[el2_lsu_bus_buffer.scala 518:101] + node _T_3808 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] + node _T_3809 = and(_T_3807, _T_3808) @[el2_lsu_bus_buffer.scala 518:138] + node _T_3810 = and(_T_3809, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] + node _T_3811 = or(_T_3785, _T_3810) @[el2_lsu_bus_buffer.scala 518:53] + node _T_3812 = mux(_T_3811, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] + node _T_3813 = mux(_T_3783, UInt<3>("h04"), _T_3812) @[el2_lsu_bus_buffer.scala 517:14] + node _T_3814 = mux(_T_3776, UInt<3>("h00"), _T_3813) @[el2_lsu_bus_buffer.scala 516:31] + buf_nxtstate[1] <= _T_3814 @[el2_lsu_bus_buffer.scala 516:25] + node _T_3815 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 519:73] + node _T_3816 = and(bus_rsp_write, _T_3815) @[el2_lsu_bus_buffer.scala 519:52] + node _T_3817 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 520:46] + node _T_3818 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 521:23] + node _T_3819 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 521:47] + node _T_3820 = and(_T_3818, _T_3819) @[el2_lsu_bus_buffer.scala 521:27] + node _T_3821 = or(_T_3817, _T_3820) @[el2_lsu_bus_buffer.scala 520:77] + node _T_3822 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 522:26] + node _T_3823 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 522:54] + node _T_3824 = not(_T_3823) @[el2_lsu_bus_buffer.scala 522:44] + node _T_3825 = and(_T_3822, _T_3824) @[el2_lsu_bus_buffer.scala 522:42] + node _T_3826 = and(_T_3825, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 522:58] + node _T_3827 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 522:94] + node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 522:74] + node _T_3829 = or(_T_3821, _T_3828) @[el2_lsu_bus_buffer.scala 521:71] + node _T_3830 = and(bus_rsp_read, _T_3829) @[el2_lsu_bus_buffer.scala 520:25] + node _T_3831 = or(_T_3816, _T_3830) @[el2_lsu_bus_buffer.scala 519:105] + buf_resp_state_bus_en[1] <= _T_3831 @[el2_lsu_bus_buffer.scala 519:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 523:29] + node _T_3832 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] + node _T_3833 = or(_T_3832, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] + buf_state_en[1] <= _T_3833 @[el2_lsu_bus_buffer.scala 524:25] + node _T_3834 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] + node _T_3835 = and(_T_3834, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] + buf_data_en[1] <= _T_3835 @[el2_lsu_bus_buffer.scala 525:24] + node _T_3836 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] + node _T_3837 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 526:111] + node _T_3838 = and(bus_rsp_read_error, _T_3837) @[el2_lsu_bus_buffer.scala 526:91] + node _T_3839 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 527:42] + node _T_3840 = and(bus_rsp_read_error, _T_3839) @[el2_lsu_bus_buffer.scala 527:31] + node _T_3841 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 527:66] + node _T_3842 = and(_T_3840, _T_3841) @[el2_lsu_bus_buffer.scala 527:46] + node _T_3843 = or(_T_3838, _T_3842) @[el2_lsu_bus_buffer.scala 526:143] + node _T_3844 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] + node _T_3845 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:74] + node _T_3846 = and(_T_3844, _T_3845) @[el2_lsu_bus_buffer.scala 528:53] + node _T_3847 = or(_T_3843, _T_3846) @[el2_lsu_bus_buffer.scala 527:88] + node _T_3848 = and(_T_3836, _T_3847) @[el2_lsu_bus_buffer.scala 526:68] + buf_error_en[1] <= _T_3848 @[el2_lsu_bus_buffer.scala 526:25] + node _T_3849 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] + node _T_3850 = and(buf_state_en[1], _T_3849) @[el2_lsu_bus_buffer.scala 529:48] + node _T_3851 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] + node _T_3852 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] + node _T_3853 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] + node _T_3854 = mux(_T_3851, _T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 529:72] + node _T_3855 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] + node _T_3856 = mux(_T_3850, _T_3854, _T_3855) @[el2_lsu_bus_buffer.scala 529:30] + buf_data_in[1] <= _T_3856 @[el2_lsu_bus_buffer.scala 529:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3778 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3778 : @[Conditional.scala 39:67] - node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] - node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 516:86] - node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 516:101] - node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 516:101] - node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 516:90] - node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 516:118] - node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 516:75] - node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 517:66] - node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 518:21] - node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 518:21] - node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 518:58] - node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 518:38] - node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 517:95] - node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 517:45] - buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 517:29] - node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] - node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] - buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3857 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3857 : @[Conditional.scala 39:67] + node _T_3858 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] + node _T_3859 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 532:86] + node _T_3860 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 532:101] + node _T_3861 = bits(_T_3860, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] + node _T_3862 = or(_T_3859, _T_3861) @[el2_lsu_bus_buffer.scala 532:90] + node _T_3863 = or(_T_3862, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] + node _T_3864 = mux(_T_3863, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] + node _T_3865 = mux(_T_3858, UInt<3>("h00"), _T_3864) @[el2_lsu_bus_buffer.scala 532:31] + buf_nxtstate[1] <= _T_3865 @[el2_lsu_bus_buffer.scala 532:25] + node _T_3866 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:66] + node _T_3867 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:21] + node _T_3868 = bits(_T_3867, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] + node _T_3869 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 534:58] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 534:38] + node _T_3871 = or(_T_3866, _T_3870) @[el2_lsu_bus_buffer.scala 533:95] + node _T_3872 = and(bus_rsp_read, _T_3871) @[el2_lsu_bus_buffer.scala 533:45] + buf_state_bus_en[1] <= _T_3872 @[el2_lsu_bus_buffer.scala 533:29] + node _T_3873 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] + node _T_3874 = or(_T_3873, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] + buf_state_en[1] <= _T_3874 @[el2_lsu_bus_buffer.scala 535:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3796 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3796 : @[Conditional.scala 39:67] - node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 522:60] - node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 522:31] - buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 522:25] - node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 523:37] - node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 523:98] - node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 523:80] - node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 523:65] - node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:112] - buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 523:25] + node _T_3875 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3875 : @[Conditional.scala 39:67] + node _T_3876 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] + node _T_3877 = mux(_T_3876, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] + buf_nxtstate[1] <= _T_3877 @[el2_lsu_bus_buffer.scala 538:25] + node _T_3878 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 539:37] + node _T_3879 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] + node _T_3880 = and(buf_dual[1], _T_3879) @[el2_lsu_bus_buffer.scala 539:80] + node _T_3881 = or(_T_3878, _T_3880) @[el2_lsu_bus_buffer.scala 539:65] + node _T_3882 = or(_T_3881, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] + buf_state_en[1] <= _T_3882 @[el2_lsu_bus_buffer.scala 539:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3804 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3804 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 526:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 527:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 528:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 529:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 530:25] + node _T_3883 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3883 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 546:25] skip @[Conditional.scala 39:67] - node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:108] - reg _T_3806 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3805 : @[Reg.scala 28:19] - _T_3806 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3884 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] + reg _T_3885 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3884 : @[Reg.scala 28:19] + _T_3885 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 533:18] - reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:60] - _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 534:60] - buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 534:17] - reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:63] - _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 535:63] - buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 535:20] - node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:109] - reg _T_3810 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3809 : @[Reg.scala 28:19] - _T_3810 <= buf_dualtag_in[1] @[Reg.scala 28:23] + buf_state[1] <= _T_3885 @[el2_lsu_bus_buffer.scala 549:18] + reg _T_3886 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] + _T_3886 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 550:60] + buf_ageQ[1] <= _T_3886 @[el2_lsu_bus_buffer.scala 550:17] + reg _T_3887 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] + _T_3887 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 551:63] + buf_rspageQ[1] <= _T_3887 @[el2_lsu_bus_buffer.scala 551:20] + node _T_3888 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] + reg _T_3889 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3888 : @[Reg.scala 28:19] + _T_3889 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 536:20] - node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:74] - node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:107] - reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3812 : @[Reg.scala 28:19] - _T_3813 <= _T_3811 @[Reg.scala 28:23] + buf_dualtag[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 552:20] + node _T_3890 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 553:74] + node _T_3891 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] + reg _T_3892 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3891 : @[Reg.scala 28:19] + _T_3892 <= _T_3890 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 537:17] - node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 538:78] - node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:111] - reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3815 : @[Reg.scala 28:19] - _T_3816 <= _T_3814 @[Reg.scala 28:23] + buf_dual[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 553:17] + node _T_3893 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 554:78] + node _T_3894 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] + reg _T_3895 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3894 : @[Reg.scala 28:19] + _T_3895 <= _T_3893 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 538:19] - node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 539:80] - node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:113] - reg _T_3819 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3818 : @[Reg.scala 28:19] - _T_3819 <= _T_3817 @[Reg.scala 28:23] + buf_samedw[1] <= _T_3895 @[el2_lsu_bus_buffer.scala 554:19] + node _T_3896 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:80] + node _T_3897 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] + reg _T_3898 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3897 : @[Reg.scala 28:19] + _T_3898 <= _T_3896 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 539:20] - node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 540:78] - node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:111] - reg _T_3822 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3821 : @[Reg.scala 28:19] - _T_3822 <= _T_3820 @[Reg.scala 28:23] + buf_nomerge[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 555:20] + node _T_3899 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:78] + node _T_3900 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + reg _T_3901 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3900 : @[Reg.scala 28:19] + _T_3901 <= _T_3899 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 540:19] - node _T_3823 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3823 : @[Conditional.scala 40:58] - node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 477:56] - node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:31] - buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 477:25] - node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 478:45] - node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:97] - node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 478:95] - node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 478:117] - node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 478:112] - node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:144] - node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 478:166] - node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 478:161] - node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 478:132] - node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 478:63] - node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:206] - node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 478:201] - node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 478:183] - buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 478:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 479:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 480:24] - node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 481:52] - node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 481:47] - node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 481:114] - node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 481:30] - buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 481:24] + buf_dualhi[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 556:19] + node _T_3902 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3902 : @[Conditional.scala 40:58] + node _T_3903 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] + node _T_3904 = mux(_T_3903, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] + buf_nxtstate[2] <= _T_3904 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3905 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] + node _T_3906 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] + node _T_3907 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] + node _T_3908 = and(_T_3906, _T_3907) @[el2_lsu_bus_buffer.scala 494:95] + node _T_3909 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] + node _T_3910 = and(_T_3908, _T_3909) @[el2_lsu_bus_buffer.scala 494:112] + node _T_3911 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] + node _T_3912 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] + node _T_3913 = and(_T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 494:161] + node _T_3914 = or(_T_3910, _T_3913) @[el2_lsu_bus_buffer.scala 494:132] + node _T_3915 = and(_T_3905, _T_3914) @[el2_lsu_bus_buffer.scala 494:63] + node _T_3916 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] + node _T_3917 = and(ibuf_drain_vld, _T_3916) @[el2_lsu_bus_buffer.scala 494:201] + node _T_3918 = or(_T_3915, _T_3917) @[el2_lsu_bus_buffer.scala 494:183] + buf_state_en[2] <= _T_3918 @[el2_lsu_bus_buffer.scala 494:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 495:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 496:24] + node _T_3919 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] + node _T_3920 = and(ibuf_drain_vld, _T_3919) @[el2_lsu_bus_buffer.scala 497:47] + node _T_3921 = bits(_T_3920, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3922 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] + node _T_3923 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] + node _T_3924 = mux(_T_3921, _T_3922, _T_3923) @[el2_lsu_bus_buffer.scala 497:30] + buf_data_in[2] <= _T_3924 @[el2_lsu_bus_buffer.scala 497:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3846 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3846 : @[Conditional.scala 39:67] - node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 484:60] - node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 484:31] - buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 484:25] - node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 485:46] - buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3925 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3925 : @[Conditional.scala 39:67] + node _T_3926 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] + node _T_3927 = mux(_T_3926, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] + buf_nxtstate[2] <= _T_3927 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3928 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] + buf_state_en[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3850 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3850 : @[Conditional.scala 39:67] - node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 488:60] - node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 488:89] - node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 488:124] - node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 488:104] - node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 488:75] - node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 488:31] - buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 488:25] - node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 489:104] - node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 489:91] - node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 489:77] - node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 489:135] - node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 489:148] - buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 489:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 490:29] - node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 491:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 492:25] - node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 493:56] - node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 493:44] - node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:60] - node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:76] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 493:74] - buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 494:46] - buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 494:28] - node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:47] - node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:81] - buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 495:24] - node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 496:68] - node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 496:82] - buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 496:25] - node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:61] - node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 497:85] - node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 497:103] - node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:126] - node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 497:24] + node _T_3929 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3929 : @[Conditional.scala 39:67] + node _T_3930 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3931 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] + node _T_3932 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] + node _T_3933 = and(_T_3931, _T_3932) @[el2_lsu_bus_buffer.scala 504:104] + node _T_3934 = mux(_T_3933, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_3935 = mux(_T_3930, UInt<3>("h00"), _T_3934) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[2] <= _T_3935 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3936 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 505:48] + node _T_3937 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3938 = and(obuf_merge, _T_3937) @[el2_lsu_bus_buffer.scala 505:91] + node _T_3939 = or(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 505:77] + node _T_3940 = and(_T_3939, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] + node _T_3941 = and(_T_3940, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] + buf_cmd_state_bus_en[2] <= _T_3941 @[el2_lsu_bus_buffer.scala 505:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 506:29] + node _T_3942 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_3943 = or(_T_3942, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 507:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] + node _T_3944 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 509:56] + node _T_3945 = eq(_T_3944, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] + node _T_3946 = and(buf_state_en[2], _T_3945) @[el2_lsu_bus_buffer.scala 509:44] + node _T_3947 = and(_T_3946, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] + node _T_3948 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] + node _T_3949 = and(_T_3947, _T_3948) @[el2_lsu_bus_buffer.scala 509:74] + buf_ldfwd_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3950 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] + buf_ldfwdtag_in[2] <= _T_3950 @[el2_lsu_bus_buffer.scala 510:28] + node _T_3951 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] + node _T_3952 = and(_T_3951, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] + node _T_3953 = and(_T_3952, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] + buf_data_en[2] <= _T_3953 @[el2_lsu_bus_buffer.scala 511:24] + node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] + node _T_3955 = and(_T_3954, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] + node _T_3956 = and(_T_3955, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] + buf_error_en[2] <= _T_3956 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3957 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] + node _T_3958 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] + node _T_3959 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] + node _T_3960 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] + node _T_3961 = mux(_T_3958, _T_3959, _T_3960) @[el2_lsu_bus_buffer.scala 513:73] + node _T_3962 = mux(buf_error_en[2], _T_3957, _T_3961) @[el2_lsu_bus_buffer.scala 513:30] + buf_data_in[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 513:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3884 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3884 : @[Conditional.scala 39:67] - node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 500:67] - node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 500:94] - node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 500:73] - node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 500:71] - node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 500:55] - node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 500:125] - node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:30] - node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 501:28] - node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 501:57] - node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:47] - node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 501:45] - node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 501:90] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 501:61] - node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 502:27] - node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:31] - node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:70] - node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 502:68] - node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 502:97] - node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:87] - node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 502:85] - node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3913 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3914 = mux(_T_3907, _T_3908, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3915 = mux(_T_3909, _T_3910, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3916 = mux(_T_3911, _T_3912, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3917 = or(_T_3913, _T_3914) @[Mux.scala 27:72] - node _T_3918 = or(_T_3917, _T_3915) @[Mux.scala 27:72] - node _T_3919 = or(_T_3918, _T_3916) @[Mux.scala 27:72] - wire _T_3920 : UInt<1> @[Mux.scala 27:72] - _T_3920 <= _T_3919 @[Mux.scala 27:72] - node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 502:101] - node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 502:167] - node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 502:138] - node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:187] - node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 502:53] - node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:16] - node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 501:14] - node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:73] - node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 503:52] - node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 504:46] - node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 505:23] - node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 505:47] - node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 505:27] - node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 504:77] - node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 506:26] - node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 506:54] - node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 506:44] - node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 506:42] - node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 506:58] - node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 506:94] - node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 506:74] - node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 505:71] - node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 504:25] - node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 503:105] - buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 503:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 507:29] - node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 509:47] - node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:62] - buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 509:24] - node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:48] - node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:111] - node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 510:91] - node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 511:42] - node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 511:31] - node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 511:66] - node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 511:46] - node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 510:143] - node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 512:32] - node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 512:74] - node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 512:53] - node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 511:88] - node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 510:68] - buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 510:25] - node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 513:50] - node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 513:48] - node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 513:84] - node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:102] - node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:125] - node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 513:72] - node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:148] - node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3963 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3963 : @[Conditional.scala 39:67] + node _T_3964 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 516:67] + node _T_3965 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] + node _T_3966 = eq(_T_3965, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] + node _T_3967 = and(_T_3964, _T_3966) @[el2_lsu_bus_buffer.scala 516:71] + node _T_3968 = or(io.dec_tlu_force_halt, _T_3967) @[el2_lsu_bus_buffer.scala 516:55] + node _T_3969 = bits(_T_3968, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] + node _T_3970 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] + node _T_3971 = and(buf_dual[2], _T_3970) @[el2_lsu_bus_buffer.scala 517:28] + node _T_3972 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 517:57] + node _T_3973 = eq(_T_3972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] + node _T_3974 = and(_T_3971, _T_3973) @[el2_lsu_bus_buffer.scala 517:45] + node _T_3975 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] + node _T_3976 = and(_T_3974, _T_3975) @[el2_lsu_bus_buffer.scala 517:61] + node _T_3977 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 518:27] + node _T_3978 = or(_T_3977, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] + node _T_3979 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] + node _T_3980 = and(buf_dual[2], _T_3979) @[el2_lsu_bus_buffer.scala 518:68] + node _T_3981 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:97] + node _T_3982 = eq(_T_3981, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] + node _T_3983 = and(_T_3980, _T_3982) @[el2_lsu_bus_buffer.scala 518:85] + node _T_3984 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3985 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3986 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3987 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3988 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3990 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3991 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3992 = mux(_T_3984, _T_3985, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3993 = mux(_T_3986, _T_3987, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3994 = mux(_T_3988, _T_3989, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3995 = mux(_T_3990, _T_3991, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3996 = or(_T_3992, _T_3993) @[Mux.scala 27:72] + node _T_3997 = or(_T_3996, _T_3994) @[Mux.scala 27:72] + node _T_3998 = or(_T_3997, _T_3995) @[Mux.scala 27:72] + wire _T_3999 : UInt<1> @[Mux.scala 27:72] + _T_3999 <= _T_3998 @[Mux.scala 27:72] + node _T_4000 = and(_T_3983, _T_3999) @[el2_lsu_bus_buffer.scala 518:101] + node _T_4001 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] + node _T_4002 = and(_T_4000, _T_4001) @[el2_lsu_bus_buffer.scala 518:138] + node _T_4003 = and(_T_4002, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] + node _T_4004 = or(_T_3978, _T_4003) @[el2_lsu_bus_buffer.scala 518:53] + node _T_4005 = mux(_T_4004, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] + node _T_4006 = mux(_T_3976, UInt<3>("h04"), _T_4005) @[el2_lsu_bus_buffer.scala 517:14] + node _T_4007 = mux(_T_3969, UInt<3>("h00"), _T_4006) @[el2_lsu_bus_buffer.scala 516:31] + buf_nxtstate[2] <= _T_4007 @[el2_lsu_bus_buffer.scala 516:25] + node _T_4008 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 519:73] + node _T_4009 = and(bus_rsp_write, _T_4008) @[el2_lsu_bus_buffer.scala 519:52] + node _T_4010 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 520:46] + node _T_4011 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 521:23] + node _T_4012 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 521:47] + node _T_4013 = and(_T_4011, _T_4012) @[el2_lsu_bus_buffer.scala 521:27] + node _T_4014 = or(_T_4010, _T_4013) @[el2_lsu_bus_buffer.scala 520:77] + node _T_4015 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 522:26] + node _T_4016 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 522:54] + node _T_4017 = not(_T_4016) @[el2_lsu_bus_buffer.scala 522:44] + node _T_4018 = and(_T_4015, _T_4017) @[el2_lsu_bus_buffer.scala 522:42] + node _T_4019 = and(_T_4018, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 522:58] + node _T_4020 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 522:94] + node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 522:74] + node _T_4022 = or(_T_4014, _T_4021) @[el2_lsu_bus_buffer.scala 521:71] + node _T_4023 = and(bus_rsp_read, _T_4022) @[el2_lsu_bus_buffer.scala 520:25] + node _T_4024 = or(_T_4009, _T_4023) @[el2_lsu_bus_buffer.scala 519:105] + buf_resp_state_bus_en[2] <= _T_4024 @[el2_lsu_bus_buffer.scala 519:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 523:29] + node _T_4025 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] + node _T_4026 = or(_T_4025, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] + buf_state_en[2] <= _T_4026 @[el2_lsu_bus_buffer.scala 524:25] + node _T_4027 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] + node _T_4028 = and(_T_4027, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] + buf_data_en[2] <= _T_4028 @[el2_lsu_bus_buffer.scala 525:24] + node _T_4029 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] + node _T_4030 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 526:111] + node _T_4031 = and(bus_rsp_read_error, _T_4030) @[el2_lsu_bus_buffer.scala 526:91] + node _T_4032 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 527:42] + node _T_4033 = and(bus_rsp_read_error, _T_4032) @[el2_lsu_bus_buffer.scala 527:31] + node _T_4034 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 527:66] + node _T_4035 = and(_T_4033, _T_4034) @[el2_lsu_bus_buffer.scala 527:46] + node _T_4036 = or(_T_4031, _T_4035) @[el2_lsu_bus_buffer.scala 526:143] + node _T_4037 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] + node _T_4038 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:74] + node _T_4039 = and(_T_4037, _T_4038) @[el2_lsu_bus_buffer.scala 528:53] + node _T_4040 = or(_T_4036, _T_4039) @[el2_lsu_bus_buffer.scala 527:88] + node _T_4041 = and(_T_4029, _T_4040) @[el2_lsu_bus_buffer.scala 526:68] + buf_error_en[2] <= _T_4041 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4042 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] + node _T_4043 = and(buf_state_en[2], _T_4042) @[el2_lsu_bus_buffer.scala 529:48] + node _T_4044 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] + node _T_4045 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] + node _T_4046 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] + node _T_4047 = mux(_T_4044, _T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 529:72] + node _T_4048 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] + node _T_4049 = mux(_T_4043, _T_4047, _T_4048) @[el2_lsu_bus_buffer.scala 529:30] + buf_data_in[2] <= _T_4049 @[el2_lsu_bus_buffer.scala 529:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3971 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3971 : @[Conditional.scala 39:67] - node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] - node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 516:86] - node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 516:101] - node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 516:101] - node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 516:90] - node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 516:118] - node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 516:75] - node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 517:66] - node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 518:21] - node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 518:21] - node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 518:58] - node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 518:38] - node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 517:95] - node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 517:45] - buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 517:29] - node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] - node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] - buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 519:25] + node _T_4050 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4050 : @[Conditional.scala 39:67] + node _T_4051 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] + node _T_4052 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 532:86] + node _T_4053 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 532:101] + node _T_4054 = bits(_T_4053, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] + node _T_4055 = or(_T_4052, _T_4054) @[el2_lsu_bus_buffer.scala 532:90] + node _T_4056 = or(_T_4055, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] + node _T_4057 = mux(_T_4056, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] + node _T_4058 = mux(_T_4051, UInt<3>("h00"), _T_4057) @[el2_lsu_bus_buffer.scala 532:31] + buf_nxtstate[2] <= _T_4058 @[el2_lsu_bus_buffer.scala 532:25] + node _T_4059 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:66] + node _T_4060 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:21] + node _T_4061 = bits(_T_4060, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] + node _T_4062 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 534:58] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 534:38] + node _T_4064 = or(_T_4059, _T_4063) @[el2_lsu_bus_buffer.scala 533:95] + node _T_4065 = and(bus_rsp_read, _T_4064) @[el2_lsu_bus_buffer.scala 533:45] + buf_state_bus_en[2] <= _T_4065 @[el2_lsu_bus_buffer.scala 533:29] + node _T_4066 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] + node _T_4067 = or(_T_4066, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] + buf_state_en[2] <= _T_4067 @[el2_lsu_bus_buffer.scala 535:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3989 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3989 : @[Conditional.scala 39:67] - node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 522:60] - node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 522:31] - buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 522:25] - node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 523:37] - node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 523:98] - node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 523:80] - node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 523:65] - node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:112] - buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 523:25] + node _T_4068 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4068 : @[Conditional.scala 39:67] + node _T_4069 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4070 = mux(_T_4069, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] + buf_nxtstate[2] <= _T_4070 @[el2_lsu_bus_buffer.scala 538:25] + node _T_4071 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 539:37] + node _T_4072 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] + node _T_4073 = and(buf_dual[2], _T_4072) @[el2_lsu_bus_buffer.scala 539:80] + node _T_4074 = or(_T_4071, _T_4073) @[el2_lsu_bus_buffer.scala 539:65] + node _T_4075 = or(_T_4074, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] + buf_state_en[2] <= _T_4075 @[el2_lsu_bus_buffer.scala 539:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3997 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3997 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 526:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 527:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 528:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 529:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 530:25] + node _T_4076 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4076 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 546:25] skip @[Conditional.scala 39:67] - node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:108] - reg _T_3999 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3998 : @[Reg.scala 28:19] - _T_3999 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_4077 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] + reg _T_4078 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4077 : @[Reg.scala 28:19] + _T_4078 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 533:18] - reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:60] - _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 534:60] - buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 534:17] - reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:63] - _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 535:63] - buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 535:20] - node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:109] - reg _T_4003 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4002 : @[Reg.scala 28:19] - _T_4003 <= buf_dualtag_in[2] @[Reg.scala 28:23] + buf_state[2] <= _T_4078 @[el2_lsu_bus_buffer.scala 549:18] + reg _T_4079 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] + _T_4079 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 550:60] + buf_ageQ[2] <= _T_4079 @[el2_lsu_bus_buffer.scala 550:17] + reg _T_4080 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] + _T_4080 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 551:63] + buf_rspageQ[2] <= _T_4080 @[el2_lsu_bus_buffer.scala 551:20] + node _T_4081 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] + reg _T_4082 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4081 : @[Reg.scala 28:19] + _T_4082 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 536:20] - node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:74] - node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:107] - reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4005 : @[Reg.scala 28:19] - _T_4006 <= _T_4004 @[Reg.scala 28:23] + buf_dualtag[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 552:20] + node _T_4083 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 553:74] + node _T_4084 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] + reg _T_4085 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4084 : @[Reg.scala 28:19] + _T_4085 <= _T_4083 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 537:17] - node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 538:78] - node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:111] - reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4008 : @[Reg.scala 28:19] - _T_4009 <= _T_4007 @[Reg.scala 28:23] + buf_dual[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 553:17] + node _T_4086 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4087 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] + reg _T_4088 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4087 : @[Reg.scala 28:19] + _T_4088 <= _T_4086 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 538:19] - node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 539:80] - node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:113] - reg _T_4012 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4011 : @[Reg.scala 28:19] - _T_4012 <= _T_4010 @[Reg.scala 28:23] + buf_samedw[2] <= _T_4088 @[el2_lsu_bus_buffer.scala 554:19] + node _T_4089 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:80] + node _T_4090 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] + reg _T_4091 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4090 : @[Reg.scala 28:19] + _T_4091 <= _T_4089 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 539:20] - node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 540:78] - node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:111] - reg _T_4015 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4014 : @[Reg.scala 28:19] - _T_4015 <= _T_4013 @[Reg.scala 28:23] + buf_nomerge[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 555:20] + node _T_4092 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:78] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + reg _T_4094 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4093 : @[Reg.scala 28:19] + _T_4094 <= _T_4092 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 540:19] - node _T_4016 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4016 : @[Conditional.scala 40:58] - node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 477:56] - node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:31] - buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 477:25] - node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 478:45] - node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:77] - node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:97] - node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 478:95] - node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 478:117] - node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 478:112] - node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:144] - node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 478:166] - node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 478:161] - node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 478:132] - node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 478:63] - node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:206] - node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 478:201] - node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 478:183] - buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 478:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 479:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 480:24] - node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 481:52] - node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 481:47] - node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 481:73] - node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 481:90] - node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 481:114] - node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 481:30] - buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 481:24] + buf_dualhi[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 556:19] + node _T_4095 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4095 : @[Conditional.scala 40:58] + node _T_4096 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] + node _T_4097 = mux(_T_4096, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] + buf_nxtstate[3] <= _T_4097 @[el2_lsu_bus_buffer.scala 493:25] + node _T_4098 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] + node _T_4099 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] + node _T_4100 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] + node _T_4101 = and(_T_4099, _T_4100) @[el2_lsu_bus_buffer.scala 494:95] + node _T_4102 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] + node _T_4103 = and(_T_4101, _T_4102) @[el2_lsu_bus_buffer.scala 494:112] + node _T_4104 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] + node _T_4105 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] + node _T_4106 = and(_T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 494:161] + node _T_4107 = or(_T_4103, _T_4106) @[el2_lsu_bus_buffer.scala 494:132] + node _T_4108 = and(_T_4098, _T_4107) @[el2_lsu_bus_buffer.scala 494:63] + node _T_4109 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] + node _T_4110 = and(ibuf_drain_vld, _T_4109) @[el2_lsu_bus_buffer.scala 494:201] + node _T_4111 = or(_T_4108, _T_4110) @[el2_lsu_bus_buffer.scala 494:183] + buf_state_en[3] <= _T_4111 @[el2_lsu_bus_buffer.scala 494:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 495:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 496:24] + node _T_4112 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] + node _T_4113 = and(ibuf_drain_vld, _T_4112) @[el2_lsu_bus_buffer.scala 497:47] + node _T_4114 = bits(_T_4113, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] + node _T_4115 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] + node _T_4116 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] + node _T_4117 = mux(_T_4114, _T_4115, _T_4116) @[el2_lsu_bus_buffer.scala 497:30] + buf_data_in[3] <= _T_4117 @[el2_lsu_bus_buffer.scala 497:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4039 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4039 : @[Conditional.scala 39:67] - node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 484:60] - node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 484:31] - buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 484:25] - node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 485:46] - buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 485:25] + node _T_4118 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4118 : @[Conditional.scala 39:67] + node _T_4119 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] + node _T_4120 = mux(_T_4119, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] + buf_nxtstate[3] <= _T_4120 @[el2_lsu_bus_buffer.scala 500:25] + node _T_4121 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] + buf_state_en[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4043 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4043 : @[Conditional.scala 39:67] - node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 488:60] - node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 488:89] - node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 488:124] - node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 488:104] - node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 488:75] - node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 488:31] - buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 488:25] - node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 489:104] - node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 489:91] - node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 489:77] - node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 489:135] - node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 489:148] - buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 489:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 490:29] - node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] - node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] - buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 491:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 492:25] - node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 493:56] - node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:46] - node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 493:44] - node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:60] - node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:76] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 493:74] - buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 493:25] - node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 494:46] - buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 494:28] - node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:47] - node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 495:67] - node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:81] - buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 495:24] - node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] - node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 496:68] - node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 496:82] - buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 496:25] - node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:61] - node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 497:85] - node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 497:103] - node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:126] - node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 497:73] - node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 497:24] + node _T_4122 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4122 : @[Conditional.scala 39:67] + node _T_4123 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_4124 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] + node _T_4125 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] + node _T_4126 = and(_T_4124, _T_4125) @[el2_lsu_bus_buffer.scala 504:104] + node _T_4127 = mux(_T_4126, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] + node _T_4128 = mux(_T_4123, UInt<3>("h00"), _T_4127) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[3] <= _T_4128 @[el2_lsu_bus_buffer.scala 504:25] + node _T_4129 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:48] + node _T_4130 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:104] + node _T_4131 = and(obuf_merge, _T_4130) @[el2_lsu_bus_buffer.scala 505:91] + node _T_4132 = or(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 505:77] + node _T_4133 = and(_T_4132, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] + node _T_4134 = and(_T_4133, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] + buf_cmd_state_bus_en[3] <= _T_4134 @[el2_lsu_bus_buffer.scala 505:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 506:29] + node _T_4135 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] + node _T_4136 = or(_T_4135, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] + buf_state_en[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 507:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] + node _T_4137 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 509:56] + node _T_4138 = eq(_T_4137, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] + node _T_4139 = and(buf_state_en[3], _T_4138) @[el2_lsu_bus_buffer.scala 509:44] + node _T_4140 = and(_T_4139, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] + node _T_4141 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] + node _T_4142 = and(_T_4140, _T_4141) @[el2_lsu_bus_buffer.scala 509:74] + buf_ldfwd_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 509:25] + node _T_4143 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] + buf_ldfwdtag_in[3] <= _T_4143 @[el2_lsu_bus_buffer.scala 510:28] + node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] + node _T_4145 = and(_T_4144, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] + node _T_4146 = and(_T_4145, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] + buf_data_en[3] <= _T_4146 @[el2_lsu_bus_buffer.scala 511:24] + node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] + node _T_4148 = and(_T_4147, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] + node _T_4149 = and(_T_4148, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] + buf_error_en[3] <= _T_4149 @[el2_lsu_bus_buffer.scala 512:25] + node _T_4150 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] + node _T_4151 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] + node _T_4152 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] + node _T_4153 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] + node _T_4154 = mux(_T_4151, _T_4152, _T_4153) @[el2_lsu_bus_buffer.scala 513:73] + node _T_4155 = mux(buf_error_en[3], _T_4150, _T_4154) @[el2_lsu_bus_buffer.scala 513:30] + buf_data_in[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 513:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4077 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 500:67] - node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 500:94] - node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 500:73] - node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 500:71] - node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 500:55] - node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 500:125] - node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:30] - node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 501:28] - node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 501:57] - node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:47] - node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 501:45] - node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 501:90] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 501:61] - node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 502:27] - node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:31] - node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:70] - node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 502:68] - node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 502:97] - node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:87] - node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 502:85] - node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4106 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4107 = mux(_T_4100, _T_4101, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4108 = mux(_T_4102, _T_4103, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4109 = mux(_T_4104, _T_4105, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4110 = or(_T_4106, _T_4107) @[Mux.scala 27:72] - node _T_4111 = or(_T_4110, _T_4108) @[Mux.scala 27:72] - node _T_4112 = or(_T_4111, _T_4109) @[Mux.scala 27:72] - wire _T_4113 : UInt<1> @[Mux.scala 27:72] - _T_4113 <= _T_4112 @[Mux.scala 27:72] - node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 502:101] - node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 502:167] - node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 502:138] - node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:187] - node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 502:53] - node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:16] - node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 501:14] - node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 500:25] - node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:73] - node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 503:52] - node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:46] - node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 505:23] - node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 505:47] - node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 505:27] - node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 504:77] - node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 506:26] - node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 506:54] - node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 506:44] - node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 506:42] - node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 506:58] - node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 506:94] - node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 506:74] - node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 505:71] - node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 504:25] - node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 503:105] - buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 503:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 507:29] - node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 508:25] - node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 509:47] - node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:62] - buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 509:24] - node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:48] - node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 510:111] - node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 510:91] - node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 511:42] - node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 511:31] - node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 511:66] - node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 511:46] - node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 510:143] - node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 512:32] - node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 512:74] - node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 512:53] - node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 511:88] - node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 510:68] - buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 510:25] - node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 513:50] - node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 513:48] - node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 513:84] - node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:102] - node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:125] - node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 513:72] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:148] - node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 513:24] + node _T_4156 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4156 : @[Conditional.scala 39:67] + node _T_4157 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 516:67] + node _T_4158 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] + node _T_4159 = eq(_T_4158, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] + node _T_4160 = and(_T_4157, _T_4159) @[el2_lsu_bus_buffer.scala 516:71] + node _T_4161 = or(io.dec_tlu_force_halt, _T_4160) @[el2_lsu_bus_buffer.scala 516:55] + node _T_4162 = bits(_T_4161, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] + node _T_4163 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] + node _T_4164 = and(buf_dual[3], _T_4163) @[el2_lsu_bus_buffer.scala 517:28] + node _T_4165 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 517:57] + node _T_4166 = eq(_T_4165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] + node _T_4167 = and(_T_4164, _T_4166) @[el2_lsu_bus_buffer.scala 517:45] + node _T_4168 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] + node _T_4169 = and(_T_4167, _T_4168) @[el2_lsu_bus_buffer.scala 517:61] + node _T_4170 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 518:27] + node _T_4171 = or(_T_4170, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] + node _T_4172 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] + node _T_4173 = and(buf_dual[3], _T_4172) @[el2_lsu_bus_buffer.scala 518:68] + node _T_4174 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:97] + node _T_4175 = eq(_T_4174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] + node _T_4176 = and(_T_4173, _T_4175) @[el2_lsu_bus_buffer.scala 518:85] + node _T_4177 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4178 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4179 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4180 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4181 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4182 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4183 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4184 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4185 = mux(_T_4177, _T_4178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4186 = mux(_T_4179, _T_4180, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4187 = mux(_T_4181, _T_4182, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4188 = mux(_T_4183, _T_4184, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4189 = or(_T_4185, _T_4186) @[Mux.scala 27:72] + node _T_4190 = or(_T_4189, _T_4187) @[Mux.scala 27:72] + node _T_4191 = or(_T_4190, _T_4188) @[Mux.scala 27:72] + wire _T_4192 : UInt<1> @[Mux.scala 27:72] + _T_4192 <= _T_4191 @[Mux.scala 27:72] + node _T_4193 = and(_T_4176, _T_4192) @[el2_lsu_bus_buffer.scala 518:101] + node _T_4194 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] + node _T_4195 = and(_T_4193, _T_4194) @[el2_lsu_bus_buffer.scala 518:138] + node _T_4196 = and(_T_4195, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] + node _T_4197 = or(_T_4171, _T_4196) @[el2_lsu_bus_buffer.scala 518:53] + node _T_4198 = mux(_T_4197, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] + node _T_4199 = mux(_T_4169, UInt<3>("h04"), _T_4198) @[el2_lsu_bus_buffer.scala 517:14] + node _T_4200 = mux(_T_4162, UInt<3>("h00"), _T_4199) @[el2_lsu_bus_buffer.scala 516:31] + buf_nxtstate[3] <= _T_4200 @[el2_lsu_bus_buffer.scala 516:25] + node _T_4201 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 519:73] + node _T_4202 = and(bus_rsp_write, _T_4201) @[el2_lsu_bus_buffer.scala 519:52] + node _T_4203 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 520:46] + node _T_4204 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 521:23] + node _T_4205 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 521:47] + node _T_4206 = and(_T_4204, _T_4205) @[el2_lsu_bus_buffer.scala 521:27] + node _T_4207 = or(_T_4203, _T_4206) @[el2_lsu_bus_buffer.scala 520:77] + node _T_4208 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 522:26] + node _T_4209 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 522:54] + node _T_4210 = not(_T_4209) @[el2_lsu_bus_buffer.scala 522:44] + node _T_4211 = and(_T_4208, _T_4210) @[el2_lsu_bus_buffer.scala 522:42] + node _T_4212 = and(_T_4211, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 522:58] + node _T_4213 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 522:94] + node _T_4214 = and(_T_4212, _T_4213) @[el2_lsu_bus_buffer.scala 522:74] + node _T_4215 = or(_T_4207, _T_4214) @[el2_lsu_bus_buffer.scala 521:71] + node _T_4216 = and(bus_rsp_read, _T_4215) @[el2_lsu_bus_buffer.scala 520:25] + node _T_4217 = or(_T_4202, _T_4216) @[el2_lsu_bus_buffer.scala 519:105] + buf_resp_state_bus_en[3] <= _T_4217 @[el2_lsu_bus_buffer.scala 519:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 523:29] + node _T_4218 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] + node _T_4219 = or(_T_4218, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] + buf_state_en[3] <= _T_4219 @[el2_lsu_bus_buffer.scala 524:25] + node _T_4220 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] + node _T_4221 = and(_T_4220, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] + buf_data_en[3] <= _T_4221 @[el2_lsu_bus_buffer.scala 525:24] + node _T_4222 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] + node _T_4223 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 526:111] + node _T_4224 = and(bus_rsp_read_error, _T_4223) @[el2_lsu_bus_buffer.scala 526:91] + node _T_4225 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 527:42] + node _T_4226 = and(bus_rsp_read_error, _T_4225) @[el2_lsu_bus_buffer.scala 527:31] + node _T_4227 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 527:66] + node _T_4228 = and(_T_4226, _T_4227) @[el2_lsu_bus_buffer.scala 527:46] + node _T_4229 = or(_T_4224, _T_4228) @[el2_lsu_bus_buffer.scala 526:143] + node _T_4230 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] + node _T_4231 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:74] + node _T_4232 = and(_T_4230, _T_4231) @[el2_lsu_bus_buffer.scala 528:53] + node _T_4233 = or(_T_4229, _T_4232) @[el2_lsu_bus_buffer.scala 527:88] + node _T_4234 = and(_T_4222, _T_4233) @[el2_lsu_bus_buffer.scala 526:68] + buf_error_en[3] <= _T_4234 @[el2_lsu_bus_buffer.scala 526:25] + node _T_4235 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] + node _T_4236 = and(buf_state_en[3], _T_4235) @[el2_lsu_bus_buffer.scala 529:48] + node _T_4237 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] + node _T_4238 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] + node _T_4239 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] + node _T_4240 = mux(_T_4237, _T_4238, _T_4239) @[el2_lsu_bus_buffer.scala 529:72] + node _T_4241 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] + node _T_4242 = mux(_T_4236, _T_4240, _T_4241) @[el2_lsu_bus_buffer.scala 529:30] + buf_data_in[3] <= _T_4242 @[el2_lsu_bus_buffer.scala 529:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4164 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4164 : @[Conditional.scala 39:67] - node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] - node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 516:86] - node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 516:101] - node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 516:101] - node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 516:90] - node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 516:118] - node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 516:75] - node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 516:25] - node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 517:66] - node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 518:21] - node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 518:21] - node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 518:58] - node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 518:38] - node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 517:95] - node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 517:45] - buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 517:29] - node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] - node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] - buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 519:25] + node _T_4243 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4243 : @[Conditional.scala 39:67] + node _T_4244 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] + node _T_4245 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 532:86] + node _T_4246 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 532:101] + node _T_4247 = bits(_T_4246, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] + node _T_4248 = or(_T_4245, _T_4247) @[el2_lsu_bus_buffer.scala 532:90] + node _T_4249 = or(_T_4248, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] + node _T_4250 = mux(_T_4249, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] + node _T_4251 = mux(_T_4244, UInt<3>("h00"), _T_4250) @[el2_lsu_bus_buffer.scala 532:31] + buf_nxtstate[3] <= _T_4251 @[el2_lsu_bus_buffer.scala 532:25] + node _T_4252 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:66] + node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:21] + node _T_4254 = bits(_T_4253, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] + node _T_4255 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 534:58] + node _T_4256 = and(_T_4254, _T_4255) @[el2_lsu_bus_buffer.scala 534:38] + node _T_4257 = or(_T_4252, _T_4256) @[el2_lsu_bus_buffer.scala 533:95] + node _T_4258 = and(bus_rsp_read, _T_4257) @[el2_lsu_bus_buffer.scala 533:45] + buf_state_bus_en[3] <= _T_4258 @[el2_lsu_bus_buffer.scala 533:29] + node _T_4259 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] + node _T_4260 = or(_T_4259, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] + buf_state_en[3] <= _T_4260 @[el2_lsu_bus_buffer.scala 535:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4182 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4182 : @[Conditional.scala 39:67] - node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 522:60] - node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 522:31] - buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 522:25] - node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 523:37] - node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 523:98] - node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 523:80] - node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 523:65] - node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:112] - buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 523:25] + node _T_4261 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4261 : @[Conditional.scala 39:67] + node _T_4262 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4263 = mux(_T_4262, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] + buf_nxtstate[3] <= _T_4263 @[el2_lsu_bus_buffer.scala 538:25] + node _T_4264 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 539:37] + node _T_4265 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] + node _T_4266 = and(buf_dual[3], _T_4265) @[el2_lsu_bus_buffer.scala 539:80] + node _T_4267 = or(_T_4264, _T_4266) @[el2_lsu_bus_buffer.scala 539:65] + node _T_4268 = or(_T_4267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] + buf_state_en[3] <= _T_4268 @[el2_lsu_bus_buffer.scala 539:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4190 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4190 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 526:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 527:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 528:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 529:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 530:25] + node _T_4269 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4269 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 546:25] skip @[Conditional.scala 39:67] - node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:108] - reg _T_4192 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4191 : @[Reg.scala 28:19] - _T_4192 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4270 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] + reg _T_4271 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4270 : @[Reg.scala 28:19] + _T_4271 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 533:18] - reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:60] - _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 534:60] - buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 534:17] - reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:63] - _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 535:63] - buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 535:20] - node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:109] - reg _T_4196 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4195 : @[Reg.scala 28:19] - _T_4196 <= buf_dualtag_in[3] @[Reg.scala 28:23] + buf_state[3] <= _T_4271 @[el2_lsu_bus_buffer.scala 549:18] + reg _T_4272 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] + _T_4272 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 550:60] + buf_ageQ[3] <= _T_4272 @[el2_lsu_bus_buffer.scala 550:17] + reg _T_4273 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] + _T_4273 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 551:63] + buf_rspageQ[3] <= _T_4273 @[el2_lsu_bus_buffer.scala 551:20] + node _T_4274 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] + reg _T_4275 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4274 : @[Reg.scala 28:19] + _T_4275 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 536:20] - node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:74] - node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:107] - reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4198 : @[Reg.scala 28:19] - _T_4199 <= _T_4197 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 537:17] - node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 538:78] - node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:111] - reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4201 : @[Reg.scala 28:19] - _T_4202 <= _T_4200 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 538:19] - node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 539:80] - node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:113] - reg _T_4205 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4204 : @[Reg.scala 28:19] - _T_4205 <= _T_4203 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 539:20] - node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 540:78] - node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:111] - reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4207 : @[Reg.scala 28:19] - _T_4208 <= _T_4206 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 540:19] - node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 543:133] - reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4209 : @[Reg.scala 28:19] - _T_4210 <= buf_ldfwd_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 543:133] - reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4211 : @[Reg.scala 28:19] - _T_4212 <= buf_ldfwd_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 543:133] - reg _T_4214 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4213 : @[Reg.scala 28:19] - _T_4214 <= buf_ldfwd_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 543:133] - reg _T_4216 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4215 : @[Reg.scala 28:19] - _T_4216 <= buf_ldfwd_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4217 = cat(_T_4216, _T_4214) @[Cat.scala 29:58] - node _T_4218 = cat(_T_4217, _T_4212) @[Cat.scala 29:58] - node _T_4219 = cat(_T_4218, _T_4210) @[Cat.scala 29:58] - buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 543:15] - node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 544:134] - reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4220 : @[Reg.scala 28:19] - _T_4221 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 544:134] - reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4222 : @[Reg.scala 28:19] - _T_4223 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 544:134] - reg _T_4225 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4224 : @[Reg.scala 28:19] - _T_4225 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 544:134] - reg _T_4227 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4226 : @[Reg.scala 28:19] - _T_4227 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 544:18] - buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 544:18] - buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 544:18] - buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 544:18] - node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 545:107] - node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 545:140] - reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4229 : @[Reg.scala 28:19] - _T_4230 <= _T_4228 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 545:107] - node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 545:140] - reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4232 : @[Reg.scala 28:19] - _T_4233 <= _T_4231 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 545:107] - node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 545:140] - reg _T_4236 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4235 : @[Reg.scala 28:19] - _T_4236 <= _T_4234 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 545:107] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 545:140] - reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4238 : @[Reg.scala 28:19] - _T_4239 <= _T_4237 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4240 = cat(_T_4239, _T_4236) @[Cat.scala 29:58] - node _T_4241 = cat(_T_4240, _T_4233) @[Cat.scala 29:58] - node _T_4242 = cat(_T_4241, _T_4230) @[Cat.scala 29:58] - buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 545:20] - node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 546:99] - node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 546:132] - reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4244 : @[Reg.scala 28:19] - _T_4245 <= _T_4243 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 546:99] - node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 546:132] - reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4247 : @[Reg.scala 28:19] - _T_4248 <= _T_4246 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 546:99] - node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 546:132] - reg _T_4251 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4250 : @[Reg.scala 28:19] - _T_4251 <= _T_4249 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 546:99] - node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 546:132] - reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4253 : @[Reg.scala 28:19] - _T_4254 <= _T_4252 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4255 = cat(_T_4254, _T_4251) @[Cat.scala 29:58] - node _T_4256 = cat(_T_4255, _T_4248) @[Cat.scala 29:58] - node _T_4257 = cat(_T_4256, _T_4245) @[Cat.scala 29:58] - buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 546:16] - node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 547:97] - node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 547:130] - reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4259 : @[Reg.scala 28:19] - _T_4260 <= _T_4258 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 547:97] - node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 547:130] - reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4262 : @[Reg.scala 28:19] - _T_4263 <= _T_4261 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 547:97] - node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 547:130] - reg _T_4266 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4265 : @[Reg.scala 28:19] - _T_4266 <= _T_4264 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 547:97] - node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 547:130] - reg _T_4269 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4268 : @[Reg.scala 28:19] - _T_4269 <= _T_4267 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4270 = cat(_T_4269, _T_4266) @[Cat.scala 29:58] - node _T_4271 = cat(_T_4270, _T_4263) @[Cat.scala 29:58] - node _T_4272 = cat(_T_4271, _T_4260) @[Cat.scala 29:58] - buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 547:15] - node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 548:119] - reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4273 : @[Reg.scala 28:19] - _T_4274 <= buf_sz_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 548:119] - reg _T_4276 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4275 : @[Reg.scala 28:19] - _T_4276 <= buf_sz_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 548:119] - reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + buf_dualtag[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 552:20] + node _T_4276 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 553:74] + node _T_4277 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] + reg _T_4278 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] - _T_4278 <= buf_sz_in[2] @[Reg.scala 28:23] + _T_4278 <= _T_4276 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 548:119] - reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4279 : @[Reg.scala 28:19] - _T_4280 <= buf_sz_in[3] @[Reg.scala 28:23] + buf_dual[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 553:17] + node _T_4279 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4280 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] + reg _T_4281 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4280 : @[Reg.scala 28:19] + _T_4281 <= _T_4279 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 548:12] - buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 548:12] - buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 548:12] - buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 548:12] - node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 549:82] + buf_samedw[3] <= _T_4281 @[el2_lsu_bus_buffer.scala 554:19] + node _T_4282 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:80] + node _T_4283 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] + reg _T_4284 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4283 : @[Reg.scala 28:19] + _T_4284 <= _T_4282 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 555:20] + node _T_4285 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:78] + node _T_4286 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + reg _T_4287 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4286 : @[Reg.scala 28:19] + _T_4287 <= _T_4285 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 556:19] + node _T_4288 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] + reg _T_4289 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4288 : @[Reg.scala 28:19] + _T_4289 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4290 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] + reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4290 : @[Reg.scala 28:19] + _T_4291 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4292 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] + reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4292 : @[Reg.scala 28:19] + _T_4293 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4294 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] + reg _T_4295 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4294 : @[Reg.scala 28:19] + _T_4295 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4296 = cat(_T_4295, _T_4293) @[Cat.scala 29:58] + node _T_4297 = cat(_T_4296, _T_4291) @[Cat.scala 29:58] + node _T_4298 = cat(_T_4297, _T_4289) @[Cat.scala 29:58] + buf_ldfwd <= _T_4298 @[el2_lsu_bus_buffer.scala 559:15] + node _T_4299 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] + reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4299 : @[Reg.scala 28:19] + _T_4300 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4301 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] + reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4301 : @[Reg.scala 28:19] + _T_4302 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4303 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] + reg _T_4304 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4303 : @[Reg.scala 28:19] + _T_4304 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4305 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] + reg _T_4306 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4305 : @[Reg.scala 28:19] + _T_4306 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_ldfwdtag[0] <= _T_4300 @[el2_lsu_bus_buffer.scala 560:18] + buf_ldfwdtag[1] <= _T_4302 @[el2_lsu_bus_buffer.scala 560:18] + buf_ldfwdtag[2] <= _T_4304 @[el2_lsu_bus_buffer.scala 560:18] + buf_ldfwdtag[3] <= _T_4306 @[el2_lsu_bus_buffer.scala 560:18] + node _T_4307 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 561:107] + node _T_4308 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] + reg _T_4309 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4308 : @[Reg.scala 28:19] + _T_4309 <= _T_4307 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4310 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 561:107] + node _T_4311 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] + reg _T_4312 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4311 : @[Reg.scala 28:19] + _T_4312 <= _T_4310 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4313 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 561:107] + node _T_4314 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4314 : @[Reg.scala 28:19] + _T_4315 <= _T_4313 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4316 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 561:107] + node _T_4317 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] + reg _T_4318 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4317 : @[Reg.scala 28:19] + _T_4318 <= _T_4316 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4319 = cat(_T_4318, _T_4315) @[Cat.scala 29:58] + node _T_4320 = cat(_T_4319, _T_4312) @[Cat.scala 29:58] + node _T_4321 = cat(_T_4320, _T_4309) @[Cat.scala 29:58] + buf_sideeffect <= _T_4321 @[el2_lsu_bus_buffer.scala 561:20] + node _T_4322 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:99] + node _T_4323 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] + reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4323 : @[Reg.scala 28:19] + _T_4324 <= _T_4322 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4325 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:99] + node _T_4326 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] + reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4326 : @[Reg.scala 28:19] + _T_4327 <= _T_4325 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4328 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:99] + node _T_4329 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] + reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4329 : @[Reg.scala 28:19] + _T_4330 <= _T_4328 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4331 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:99] + node _T_4332 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] + reg _T_4333 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4332 : @[Reg.scala 28:19] + _T_4333 <= _T_4331 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4334 = cat(_T_4333, _T_4330) @[Cat.scala 29:58] + node _T_4335 = cat(_T_4334, _T_4327) @[Cat.scala 29:58] + node _T_4336 = cat(_T_4335, _T_4324) @[Cat.scala 29:58] + buf_unsign <= _T_4336 @[el2_lsu_bus_buffer.scala 562:16] + node _T_4337 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:97] + node _T_4338 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] + reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4338 : @[Reg.scala 28:19] + _T_4339 <= _T_4337 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4340 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:97] + node _T_4341 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] + reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4341 : @[Reg.scala 28:19] + _T_4342 <= _T_4340 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4343 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:97] + node _T_4344 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] + reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4344 : @[Reg.scala 28:19] + _T_4345 <= _T_4343 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4346 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:97] + node _T_4347 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] + reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4347 : @[Reg.scala 28:19] + _T_4348 <= _T_4346 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4349 = cat(_T_4348, _T_4345) @[Cat.scala 29:58] + node _T_4350 = cat(_T_4349, _T_4342) @[Cat.scala 29:58] + node _T_4351 = cat(_T_4350, _T_4339) @[Cat.scala 29:58] + buf_write <= _T_4351 @[el2_lsu_bus_buffer.scala 563:15] + node _T_4352 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] + reg _T_4353 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4352 : @[Reg.scala 28:19] + _T_4353 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4354 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] + reg _T_4355 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4354 : @[Reg.scala 28:19] + _T_4355 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] + reg _T_4357 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4356 : @[Reg.scala 28:19] + _T_4357 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4358 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] + reg _T_4359 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4358 : @[Reg.scala 28:19] + _T_4359 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4353 @[el2_lsu_bus_buffer.scala 564:12] + buf_sz[1] <= _T_4355 @[el2_lsu_bus_buffer.scala 564:12] + buf_sz[2] <= _T_4357 @[el2_lsu_bus_buffer.scala 564:12] + buf_sz[3] <= _T_4359 @[el2_lsu_bus_buffer.scala 564:12] + node _T_4360 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4281 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4360 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4282 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4282 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 549:82] + reg _T_4361 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4361 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4362 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4283 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4362 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4284 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4284 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 549:82] + reg _T_4363 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4363 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4364 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4285 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4364 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4286 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4286 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 549:82] + reg _T_4365 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4365 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4366 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4287 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4366 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4288 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4288 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 549:14] - buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 549:14] - buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 549:14] - buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 549:14] - node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 550:127] - reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4289 : @[Reg.scala 28:19] - _T_4290 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4367 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4367 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4361 @[el2_lsu_bus_buffer.scala 565:14] + buf_addr[1] <= _T_4363 @[el2_lsu_bus_buffer.scala 565:14] + buf_addr[2] <= _T_4365 @[el2_lsu_bus_buffer.scala 565:14] + buf_addr[3] <= _T_4367 @[el2_lsu_bus_buffer.scala 565:14] + node _T_4368 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] + reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4368 : @[Reg.scala 28:19] + _T_4369 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 550:127] - reg _T_4292 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4291 : @[Reg.scala 28:19] - _T_4292 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4370 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] + reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4370 : @[Reg.scala 28:19] + _T_4371 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 550:127] - reg _T_4294 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4293 : @[Reg.scala 28:19] - _T_4294 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4372 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] + reg _T_4373 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4372 : @[Reg.scala 28:19] + _T_4373 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 550:127] - reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4295 : @[Reg.scala 28:19] - _T_4296 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] + reg _T_4375 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4374 : @[Reg.scala 28:19] + _T_4375 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 550:16] - buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 550:16] - buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 550:16] - buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 550:16] + buf_byteen[0] <= _T_4369 @[el2_lsu_bus_buffer.scala 566:16] + buf_byteen[1] <= _T_4371 @[el2_lsu_bus_buffer.scala 566:16] + buf_byteen[2] <= _T_4373 @[el2_lsu_bus_buffer.scala 566:16] + buf_byteen[3] <= _T_4375 @[el2_lsu_bus_buffer.scala 566:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4297 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4297 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4376 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4376 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4298 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4298 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4377 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4377 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4299 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4299 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4378 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4378 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4300 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4300 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 551:14] - buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 551:14] - buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 551:14] - buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 551:14] - node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 552:121] - node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 552:86] - node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:128] - node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 552:126] - reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:82] - _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 552:82] - node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 552:121] - node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 552:86] - node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:128] - node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 552:126] - reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:82] - _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 552:82] - node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 552:121] - node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 552:86] - node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:128] - node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 552:126] - reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:82] - _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 552:82] - node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 552:121] - node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 552:86] - node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:128] - node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 552:126] - reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:82] - _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 552:82] - node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] - node _T_4322 = cat(_T_4321, _T_4310) @[Cat.scala 29:58] - node _T_4323 = cat(_T_4322, _T_4305) @[Cat.scala 29:58] - buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 552:15] - node _T_4324 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] - node _T_4325 = cat(_T_4324, buf_data_en[1]) @[Cat.scala 29:58] - node _T_4326 = cat(_T_4325, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4326 @[el2_lsu_bus_buffer.scala 553:14] - node _T_4327 = cat(buf_data_in[3], buf_data_in[2]) @[Cat.scala 29:58] - node _T_4328 = cat(_T_4327, buf_data_in[1]) @[Cat.scala 29:58] - node _T_4329 = cat(_T_4328, buf_data_in[0]) @[Cat.scala 29:58] - io.test <= _T_4329 @[el2_lsu_bus_buffer.scala 554:11] - node _T_4330 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 555:60] - node _T_4331 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 555:60] - node _T_4332 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 555:60] - node _T_4333 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 555:60] - node _T_4334 = add(_T_4333, _T_4332) @[el2_lsu_bus_buffer.scala 555:96] - node _T_4335 = add(_T_4334, _T_4331) @[el2_lsu_bus_buffer.scala 555:96] - node buf_numvld_any = add(_T_4335, _T_4330) @[el2_lsu_bus_buffer.scala 555:96] - node _T_4336 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 556:60] - node _T_4337 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 556:64] - node _T_4339 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:91] - node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 556:89] - node _T_4341 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 556:60] - node _T_4342 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4343 = and(_T_4341, _T_4342) @[el2_lsu_bus_buffer.scala 556:64] - node _T_4344 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:91] - node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 556:89] - node _T_4346 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 556:60] - node _T_4347 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4348 = and(_T_4346, _T_4347) @[el2_lsu_bus_buffer.scala 556:64] - node _T_4349 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:91] - node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 556:89] - node _T_4351 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 556:60] - node _T_4352 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 556:79] - node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 556:64] - node _T_4354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:91] - node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 556:89] - node _T_4356 = add(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 556:142] - node _T_4357 = add(_T_4356, _T_4345) @[el2_lsu_bus_buffer.scala 556:142] - node _T_4358 = add(_T_4357, _T_4340) @[el2_lsu_bus_buffer.scala 556:142] - buf_numvld_wrcmd_any <= _T_4358 @[el2_lsu_bus_buffer.scala 556:24] - node _T_4359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 557:63] - node _T_4360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:75] - node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 557:73] - node _T_4362 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 557:63] - node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:75] - node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 557:73] - node _T_4365 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 557:63] - node _T_4366 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:75] - node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 557:73] - node _T_4368 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 557:63] - node _T_4369 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:75] - node _T_4370 = and(_T_4368, _T_4369) @[el2_lsu_bus_buffer.scala 557:73] - node _T_4371 = add(_T_4370, _T_4367) @[el2_lsu_bus_buffer.scala 557:126] - node _T_4372 = add(_T_4371, _T_4364) @[el2_lsu_bus_buffer.scala 557:126] - node _T_4373 = add(_T_4372, _T_4361) @[el2_lsu_bus_buffer.scala 557:126] - buf_numvld_cmd_any <= _T_4373 @[el2_lsu_bus_buffer.scala 557:22] - node _T_4374 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 558:63] - node _T_4375 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 558:90] - node _T_4376 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:102] - node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 558:100] - node _T_4378 = or(_T_4374, _T_4377) @[el2_lsu_bus_buffer.scala 558:74] - node _T_4379 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 558:63] - node _T_4380 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 558:90] - node _T_4381 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:102] - node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 558:100] - node _T_4383 = or(_T_4379, _T_4382) @[el2_lsu_bus_buffer.scala 558:74] - node _T_4384 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 558:63] - node _T_4385 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 558:90] - node _T_4386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:102] - node _T_4387 = and(_T_4385, _T_4386) @[el2_lsu_bus_buffer.scala 558:100] - node _T_4388 = or(_T_4384, _T_4387) @[el2_lsu_bus_buffer.scala 558:74] - node _T_4389 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 558:63] - node _T_4390 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 558:90] - node _T_4391 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:102] - node _T_4392 = and(_T_4390, _T_4391) @[el2_lsu_bus_buffer.scala 558:100] - node _T_4393 = or(_T_4389, _T_4392) @[el2_lsu_bus_buffer.scala 558:74] - node _T_4394 = add(_T_4393, _T_4388) @[el2_lsu_bus_buffer.scala 558:154] - node _T_4395 = add(_T_4394, _T_4383) @[el2_lsu_bus_buffer.scala 558:154] - node _T_4396 = add(_T_4395, _T_4378) @[el2_lsu_bus_buffer.scala 558:154] - buf_numvld_pend_any <= _T_4396 @[el2_lsu_bus_buffer.scala 558:23] - node _T_4397 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 559:61] - node _T_4398 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 559:61] - node _T_4399 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 559:61] - node _T_4400 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 559:61] - node _T_4401 = or(_T_4400, _T_4399) @[el2_lsu_bus_buffer.scala 559:93] - node _T_4402 = or(_T_4401, _T_4398) @[el2_lsu_bus_buffer.scala 559:93] - node _T_4403 = or(_T_4402, _T_4397) @[el2_lsu_bus_buffer.scala 559:93] - any_done_wait_state <= _T_4403 @[el2_lsu_bus_buffer.scala 559:23] - node _T_4404 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 560:53] - io.lsu_bus_buffer_pend_any <= _T_4404 @[el2_lsu_bus_buffer.scala 560:30] - node _T_4405 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 561:52] - node _T_4406 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 561:92] - node _T_4407 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 561:119] - node _T_4408 = mux(_T_4405, _T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 561:36] - io.lsu_bus_buffer_full_any <= _T_4408 @[el2_lsu_bus_buffer.scala 561:30] - node _T_4409 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 562:52] - node _T_4410 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 562:52] - node _T_4411 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 562:52] - node _T_4412 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 562:52] - node _T_4413 = or(_T_4409, _T_4410) @[el2_lsu_bus_buffer.scala 562:65] - node _T_4414 = or(_T_4413, _T_4411) @[el2_lsu_bus_buffer.scala 562:65] - node _T_4415 = or(_T_4414, _T_4412) @[el2_lsu_bus_buffer.scala 562:65] - node _T_4416 = eq(_T_4415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:34] - node _T_4417 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:72] - node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 562:70] - node _T_4419 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:86] - node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 562:84] - io.lsu_bus_buffer_empty_any <= _T_4420 @[el2_lsu_bus_buffer.scala 562:31] - node _T_4421 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 564:51] - node _T_4422 = and(_T_4421, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 564:72] - node _T_4423 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:94] - node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 564:92] - node _T_4425 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:111] - node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 564:109] - io.lsu_nonblock_load_valid_m <= _T_4426 @[el2_lsu_bus_buffer.scala 564:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 565:30] + reg _T_4379 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4379 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4376 @[el2_lsu_bus_buffer.scala 567:14] + buf_data[1] <= _T_4377 @[el2_lsu_bus_buffer.scala 567:14] + buf_data[2] <= _T_4378 @[el2_lsu_bus_buffer.scala 567:14] + buf_data[3] <= _T_4379 @[el2_lsu_bus_buffer.scala 567:14] + node _T_4380 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 568:121] + node _T_4381 = mux(buf_error_en[0], UInt<1>("h01"), _T_4380) @[el2_lsu_bus_buffer.scala 568:86] + node _T_4382 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] + node _T_4383 = and(_T_4381, _T_4382) @[el2_lsu_bus_buffer.scala 568:126] + reg _T_4384 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] + _T_4384 <= _T_4383 @[el2_lsu_bus_buffer.scala 568:82] + node _T_4385 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 568:121] + node _T_4386 = mux(buf_error_en[1], UInt<1>("h01"), _T_4385) @[el2_lsu_bus_buffer.scala 568:86] + node _T_4387 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] + node _T_4388 = and(_T_4386, _T_4387) @[el2_lsu_bus_buffer.scala 568:126] + reg _T_4389 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] + _T_4389 <= _T_4388 @[el2_lsu_bus_buffer.scala 568:82] + node _T_4390 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 568:121] + node _T_4391 = mux(buf_error_en[2], UInt<1>("h01"), _T_4390) @[el2_lsu_bus_buffer.scala 568:86] + node _T_4392 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] + node _T_4393 = and(_T_4391, _T_4392) @[el2_lsu_bus_buffer.scala 568:126] + reg _T_4394 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] + _T_4394 <= _T_4393 @[el2_lsu_bus_buffer.scala 568:82] + node _T_4395 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 568:121] + node _T_4396 = mux(buf_error_en[3], UInt<1>("h01"), _T_4395) @[el2_lsu_bus_buffer.scala 568:86] + node _T_4397 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] + node _T_4398 = and(_T_4396, _T_4397) @[el2_lsu_bus_buffer.scala 568:126] + reg _T_4399 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] + _T_4399 <= _T_4398 @[el2_lsu_bus_buffer.scala 568:82] + node _T_4400 = cat(_T_4399, _T_4394) @[Cat.scala 29:58] + node _T_4401 = cat(_T_4400, _T_4389) @[Cat.scala 29:58] + node _T_4402 = cat(_T_4401, _T_4384) @[Cat.scala 29:58] + buf_error <= _T_4402 @[el2_lsu_bus_buffer.scala 568:15] + node _T_4403 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] + node _T_4404 = cat(_T_4403, buf_data_en[1]) @[Cat.scala 29:58] + node _T_4405 = cat(_T_4404, buf_data_en[0]) @[Cat.scala 29:58] + io.data_en <= _T_4405 @[el2_lsu_bus_buffer.scala 569:14] + node _T_4406 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] + node _T_4407 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] + node _T_4408 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] + node _T_4409 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] + node _T_4410 = add(_T_4409, _T_4408) @[el2_lsu_bus_buffer.scala 571:96] + node _T_4411 = add(_T_4410, _T_4407) @[el2_lsu_bus_buffer.scala 571:96] + node buf_numvld_any = add(_T_4411, _T_4406) @[el2_lsu_bus_buffer.scala 571:96] + node _T_4412 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4413 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] + node _T_4414 = and(_T_4412, _T_4413) @[el2_lsu_bus_buffer.scala 572:64] + node _T_4415 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] + node _T_4416 = and(_T_4414, _T_4415) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4417 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4418 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] + node _T_4419 = and(_T_4417, _T_4418) @[el2_lsu_bus_buffer.scala 572:64] + node _T_4420 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] + node _T_4421 = and(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4422 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4423 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 572:64] + node _T_4425 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] + node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4427 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4428 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] + node _T_4429 = and(_T_4427, _T_4428) @[el2_lsu_bus_buffer.scala 572:64] + node _T_4430 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] + node _T_4431 = and(_T_4429, _T_4430) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4432 = add(_T_4431, _T_4426) @[el2_lsu_bus_buffer.scala 572:142] + node _T_4433 = add(_T_4432, _T_4421) @[el2_lsu_bus_buffer.scala 572:142] + node _T_4434 = add(_T_4433, _T_4416) @[el2_lsu_bus_buffer.scala 572:142] + buf_numvld_wrcmd_any <= _T_4434 @[el2_lsu_bus_buffer.scala 572:24] + node _T_4435 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] + node _T_4436 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] + node _T_4437 = and(_T_4435, _T_4436) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4438 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] + node _T_4439 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] + node _T_4440 = and(_T_4438, _T_4439) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4441 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] + node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] + node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4444 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] + node _T_4445 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] + node _T_4446 = and(_T_4444, _T_4445) @[el2_lsu_bus_buffer.scala 573:73] + node _T_4447 = add(_T_4446, _T_4443) @[el2_lsu_bus_buffer.scala 573:126] + node _T_4448 = add(_T_4447, _T_4440) @[el2_lsu_bus_buffer.scala 573:126] + node _T_4449 = add(_T_4448, _T_4437) @[el2_lsu_bus_buffer.scala 573:126] + buf_numvld_cmd_any <= _T_4449 @[el2_lsu_bus_buffer.scala 573:22] + node _T_4450 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4451 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] + node _T_4452 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] + node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4454 = or(_T_4450, _T_4453) @[el2_lsu_bus_buffer.scala 574:74] + node _T_4455 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4456 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] + node _T_4457 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] + node _T_4458 = and(_T_4456, _T_4457) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4459 = or(_T_4455, _T_4458) @[el2_lsu_bus_buffer.scala 574:74] + node _T_4460 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4461 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] + node _T_4462 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] + node _T_4463 = and(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4464 = or(_T_4460, _T_4463) @[el2_lsu_bus_buffer.scala 574:74] + node _T_4465 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4466 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] + node _T_4467 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] + node _T_4468 = and(_T_4466, _T_4467) @[el2_lsu_bus_buffer.scala 574:100] + node _T_4469 = or(_T_4465, _T_4468) @[el2_lsu_bus_buffer.scala 574:74] + node _T_4470 = add(_T_4469, _T_4464) @[el2_lsu_bus_buffer.scala 574:154] + node _T_4471 = add(_T_4470, _T_4459) @[el2_lsu_bus_buffer.scala 574:154] + node _T_4472 = add(_T_4471, _T_4454) @[el2_lsu_bus_buffer.scala 574:154] + buf_numvld_pend_any <= _T_4472 @[el2_lsu_bus_buffer.scala 574:23] + node _T_4473 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] + node _T_4474 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] + node _T_4475 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] + node _T_4476 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] + node _T_4477 = or(_T_4476, _T_4475) @[el2_lsu_bus_buffer.scala 575:93] + node _T_4478 = or(_T_4477, _T_4474) @[el2_lsu_bus_buffer.scala 575:93] + node _T_4479 = or(_T_4478, _T_4473) @[el2_lsu_bus_buffer.scala 575:93] + any_done_wait_state <= _T_4479 @[el2_lsu_bus_buffer.scala 575:23] + node _T_4480 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 576:53] + io.lsu_bus_buffer_pend_any <= _T_4480 @[el2_lsu_bus_buffer.scala 576:30] + node _T_4481 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 577:52] + node _T_4482 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 577:92] + node _T_4483 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 577:119] + node _T_4484 = mux(_T_4481, _T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 577:36] + io.lsu_bus_buffer_full_any <= _T_4484 @[el2_lsu_bus_buffer.scala 577:30] + node _T_4485 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 578:52] + node _T_4486 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 578:52] + node _T_4487 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 578:52] + node _T_4488 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 578:52] + node _T_4489 = or(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 578:65] + node _T_4490 = or(_T_4489, _T_4487) @[el2_lsu_bus_buffer.scala 578:65] + node _T_4491 = or(_T_4490, _T_4488) @[el2_lsu_bus_buffer.scala 578:65] + node _T_4492 = eq(_T_4491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:34] + node _T_4493 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:72] + node _T_4494 = and(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 578:70] + node _T_4495 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:86] + node _T_4496 = and(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 578:84] + io.lsu_bus_buffer_empty_any <= _T_4496 @[el2_lsu_bus_buffer.scala 578:31] + node _T_4497 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 580:51] + node _T_4498 = and(_T_4497, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 580:72] + node _T_4499 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:94] + node _T_4500 = and(_T_4498, _T_4499) @[el2_lsu_bus_buffer.scala 580:92] + node _T_4501 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:111] + node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 580:109] + io.lsu_nonblock_load_valid_m <= _T_4502 @[el2_lsu_bus_buffer.scala 580:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 581:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4427 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:61] - node _T_4428 = and(lsu_nonblock_load_valid_r, _T_4427) @[el2_lsu_bus_buffer.scala 567:59] - io.lsu_nonblock_load_inv_r <= _T_4428 @[el2_lsu_bus_buffer.scala 567:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 568:34] - node _T_4429 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4430 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 569:127] - node _T_4431 = and(UInt<1>("h01"), _T_4430) @[el2_lsu_bus_buffer.scala 569:116] - node _T_4432 = eq(_T_4431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:95] - node _T_4433 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4434 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 569:127] - node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 569:116] - node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:95] - node _T_4437 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4438 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 569:127] - node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 569:116] - node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:95] - node _T_4441 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:80] - node _T_4442 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 569:127] - node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 569:116] - node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:95] - node _T_4445 = mux(_T_4429, _T_4432, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4446 = mux(_T_4433, _T_4436, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4447 = mux(_T_4437, _T_4440, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4448 = mux(_T_4441, _T_4444, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4449 = or(_T_4445, _T_4446) @[Mux.scala 27:72] - node _T_4450 = or(_T_4449, _T_4447) @[Mux.scala 27:72] - node _T_4451 = or(_T_4450, _T_4448) @[Mux.scala 27:72] + node _T_4503 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:61] + node _T_4504 = and(lsu_nonblock_load_valid_r, _T_4503) @[el2_lsu_bus_buffer.scala 583:59] + io.lsu_nonblock_load_inv_r <= _T_4504 @[el2_lsu_bus_buffer.scala 583:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 584:34] + node _T_4505 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] + node _T_4506 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 585:127] + node _T_4507 = and(UInt<1>("h01"), _T_4506) @[el2_lsu_bus_buffer.scala 585:116] + node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] + node _T_4509 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] + node _T_4510 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 585:127] + node _T_4511 = and(UInt<1>("h01"), _T_4510) @[el2_lsu_bus_buffer.scala 585:116] + node _T_4512 = eq(_T_4511, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] + node _T_4513 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] + node _T_4514 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 585:127] + node _T_4515 = and(UInt<1>("h01"), _T_4514) @[el2_lsu_bus_buffer.scala 585:116] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] + node _T_4517 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] + node _T_4518 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 585:127] + node _T_4519 = and(UInt<1>("h01"), _T_4518) @[el2_lsu_bus_buffer.scala 585:116] + node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] + node _T_4521 = mux(_T_4505, _T_4508, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4522 = mux(_T_4509, _T_4512, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4523 = mux(_T_4513, _T_4516, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4524 = mux(_T_4517, _T_4520, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4525 = or(_T_4521, _T_4522) @[Mux.scala 27:72] + node _T_4526 = or(_T_4525, _T_4523) @[Mux.scala 27:72] + node _T_4527 = or(_T_4526, _T_4524) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4451 @[Mux.scala 27:72] - node _T_4452 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:80] - node _T_4453 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 570:104] - node _T_4454 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 570:120] - node _T_4455 = eq(_T_4454, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:110] - node _T_4456 = and(_T_4453, _T_4455) @[el2_lsu_bus_buffer.scala 570:108] - node _T_4457 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:80] - node _T_4458 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 570:104] - node _T_4459 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 570:120] - node _T_4460 = eq(_T_4459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:110] - node _T_4461 = and(_T_4458, _T_4460) @[el2_lsu_bus_buffer.scala 570:108] - node _T_4462 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:80] - node _T_4463 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 570:104] - node _T_4464 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 570:120] - node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:110] - node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 570:108] - node _T_4467 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:80] - node _T_4468 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 570:104] - node _T_4469 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 570:120] - node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:110] - node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 570:108] - node _T_4472 = mux(_T_4452, _T_4456, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4473 = mux(_T_4457, _T_4461, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4474 = mux(_T_4462, _T_4466, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4475 = mux(_T_4467, _T_4471, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4476 = or(_T_4472, _T_4473) @[Mux.scala 27:72] - node _T_4477 = or(_T_4476, _T_4474) @[Mux.scala 27:72] - node _T_4478 = or(_T_4477, _T_4475) @[Mux.scala 27:72] - wire _T_4479 : UInt<1> @[Mux.scala 27:72] - _T_4479 <= _T_4478 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4479 @[el2_lsu_bus_buffer.scala 570:35] - node _T_4480 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 571:79] - node _T_4481 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 571:102] - node _T_4482 = eq(_T_4481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:92] - node _T_4483 = and(_T_4480, _T_4482) @[el2_lsu_bus_buffer.scala 571:90] - node _T_4484 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:109] - node _T_4485 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:124] - node _T_4486 = or(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 571:122] - node _T_4487 = and(_T_4483, _T_4486) @[el2_lsu_bus_buffer.scala 571:106] - node _T_4488 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 571:79] - node _T_4489 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 571:102] - node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:92] - node _T_4491 = and(_T_4488, _T_4490) @[el2_lsu_bus_buffer.scala 571:90] - node _T_4492 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:109] - node _T_4493 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:124] - node _T_4494 = or(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 571:122] - node _T_4495 = and(_T_4491, _T_4494) @[el2_lsu_bus_buffer.scala 571:106] - node _T_4496 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 571:79] - node _T_4497 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 571:102] - node _T_4498 = eq(_T_4497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:92] - node _T_4499 = and(_T_4496, _T_4498) @[el2_lsu_bus_buffer.scala 571:90] - node _T_4500 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:109] - node _T_4501 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:124] - node _T_4502 = or(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 571:122] - node _T_4503 = and(_T_4499, _T_4502) @[el2_lsu_bus_buffer.scala 571:106] - node _T_4504 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 571:79] - node _T_4505 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 571:102] - node _T_4506 = eq(_T_4505, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:92] - node _T_4507 = and(_T_4504, _T_4506) @[el2_lsu_bus_buffer.scala 571:90] - node _T_4508 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:109] - node _T_4509 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:124] - node _T_4510 = or(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 571:122] - node _T_4511 = and(_T_4507, _T_4510) @[el2_lsu_bus_buffer.scala 571:106] - node _T_4512 = mux(_T_4487, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4513 = mux(_T_4495, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4514 = mux(_T_4503, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4515 = mux(_T_4511, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4516 = or(_T_4512, _T_4513) @[Mux.scala 27:72] - node _T_4517 = or(_T_4516, _T_4514) @[Mux.scala 27:72] - node _T_4518 = or(_T_4517, _T_4515) @[Mux.scala 27:72] - wire _T_4519 : UInt<2> @[Mux.scala 27:72] - _T_4519 <= _T_4518 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4519 @[el2_lsu_bus_buffer.scala 571:33] - node _T_4520 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4521 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 572:101] - node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4523 = and(_T_4520, _T_4522) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4524 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:108] - node _T_4525 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:123] - node _T_4526 = or(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 572:121] - node _T_4527 = and(_T_4523, _T_4526) @[el2_lsu_bus_buffer.scala 572:105] - node _T_4528 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4529 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 572:101] - node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4531 = and(_T_4528, _T_4530) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4532 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:108] - node _T_4533 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:123] - node _T_4534 = or(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 572:121] - node _T_4535 = and(_T_4531, _T_4534) @[el2_lsu_bus_buffer.scala 572:105] - node _T_4536 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4537 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 572:101] - node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4539 = and(_T_4536, _T_4538) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4540 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:108] - node _T_4541 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:123] - node _T_4542 = or(_T_4540, _T_4541) @[el2_lsu_bus_buffer.scala 572:121] - node _T_4543 = and(_T_4539, _T_4542) @[el2_lsu_bus_buffer.scala 572:105] - node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 572:78] - node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 572:101] - node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4548 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:108] - node _T_4549 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:123] - node _T_4550 = or(_T_4548, _T_4549) @[el2_lsu_bus_buffer.scala 572:121] - node _T_4551 = and(_T_4547, _T_4550) @[el2_lsu_bus_buffer.scala 572:105] - node _T_4552 = mux(_T_4527, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4553 = mux(_T_4535, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4554 = mux(_T_4543, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4555 = mux(_T_4551, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4556 = or(_T_4552, _T_4553) @[Mux.scala 27:72] - node _T_4557 = or(_T_4556, _T_4554) @[Mux.scala 27:72] - node _T_4558 = or(_T_4557, _T_4555) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4527 @[Mux.scala 27:72] + node _T_4528 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4529 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 586:104] + node _T_4530 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 586:120] + node _T_4531 = eq(_T_4530, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] + node _T_4532 = and(_T_4529, _T_4531) @[el2_lsu_bus_buffer.scala 586:108] + node _T_4533 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4534 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 586:104] + node _T_4535 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 586:120] + node _T_4536 = eq(_T_4535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] + node _T_4537 = and(_T_4534, _T_4536) @[el2_lsu_bus_buffer.scala 586:108] + node _T_4538 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4539 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 586:104] + node _T_4540 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 586:120] + node _T_4541 = eq(_T_4540, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] + node _T_4542 = and(_T_4539, _T_4541) @[el2_lsu_bus_buffer.scala 586:108] + node _T_4543 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4544 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 586:104] + node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 586:120] + node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] + node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 586:108] + node _T_4548 = mux(_T_4528, _T_4532, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4549 = mux(_T_4533, _T_4537, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4550 = mux(_T_4538, _T_4542, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4551 = mux(_T_4543, _T_4547, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4552 = or(_T_4548, _T_4549) @[Mux.scala 27:72] + node _T_4553 = or(_T_4552, _T_4550) @[Mux.scala 27:72] + node _T_4554 = or(_T_4553, _T_4551) @[Mux.scala 27:72] + wire _T_4555 : UInt<1> @[Mux.scala 27:72] + _T_4555 <= _T_4554 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4555 @[el2_lsu_bus_buffer.scala 586:35] + node _T_4556 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] + node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:102] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] + node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 587:90] + node _T_4560 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] + node _T_4561 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] + node _T_4562 = or(_T_4560, _T_4561) @[el2_lsu_bus_buffer.scala 587:122] + node _T_4563 = and(_T_4559, _T_4562) @[el2_lsu_bus_buffer.scala 587:106] + node _T_4564 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] + node _T_4565 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:102] + node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] + node _T_4567 = and(_T_4564, _T_4566) @[el2_lsu_bus_buffer.scala 587:90] + node _T_4568 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] + node _T_4569 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] + node _T_4570 = or(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 587:122] + node _T_4571 = and(_T_4567, _T_4570) @[el2_lsu_bus_buffer.scala 587:106] + node _T_4572 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] + node _T_4573 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:102] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] + node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 587:90] + node _T_4576 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] + node _T_4577 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] + node _T_4578 = or(_T_4576, _T_4577) @[el2_lsu_bus_buffer.scala 587:122] + node _T_4579 = and(_T_4575, _T_4578) @[el2_lsu_bus_buffer.scala 587:106] + node _T_4580 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] + node _T_4581 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:102] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] + node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 587:90] + node _T_4584 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] + node _T_4585 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] + node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 587:122] + node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 587:106] + node _T_4588 = mux(_T_4563, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = mux(_T_4571, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4590 = mux(_T_4579, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4591 = mux(_T_4587, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4592 = or(_T_4588, _T_4589) @[Mux.scala 27:72] + node _T_4593 = or(_T_4592, _T_4590) @[Mux.scala 27:72] + node _T_4594 = or(_T_4593, _T_4591) @[Mux.scala 27:72] + wire _T_4595 : UInt<2> @[Mux.scala 27:72] + _T_4595 <= _T_4594 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4595 @[el2_lsu_bus_buffer.scala 587:33] + node _T_4596 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] + node _T_4597 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:101] + node _T_4598 = eq(_T_4597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] + node _T_4599 = and(_T_4596, _T_4598) @[el2_lsu_bus_buffer.scala 588:89] + node _T_4600 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4601 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] + node _T_4602 = or(_T_4600, _T_4601) @[el2_lsu_bus_buffer.scala 588:121] + node _T_4603 = and(_T_4599, _T_4602) @[el2_lsu_bus_buffer.scala 588:105] + node _T_4604 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] + node _T_4605 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:101] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] + node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 588:89] + node _T_4608 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4609 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] + node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 588:121] + node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 588:105] + node _T_4612 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] + node _T_4613 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:101] + node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] + node _T_4615 = and(_T_4612, _T_4614) @[el2_lsu_bus_buffer.scala 588:89] + node _T_4616 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4617 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] + node _T_4618 = or(_T_4616, _T_4617) @[el2_lsu_bus_buffer.scala 588:121] + node _T_4619 = and(_T_4615, _T_4618) @[el2_lsu_bus_buffer.scala 588:105] + node _T_4620 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] + node _T_4621 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] + node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 588:89] + node _T_4624 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4625 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] + node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 588:121] + node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 588:105] + node _T_4628 = mux(_T_4603, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4629 = mux(_T_4611, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4630 = mux(_T_4619, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4631 = mux(_T_4627, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4632 = or(_T_4628, _T_4629) @[Mux.scala 27:72] + node _T_4633 = or(_T_4632, _T_4630) @[Mux.scala 27:72] + node _T_4634 = or(_T_4633, _T_4631) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4558 @[Mux.scala 27:72] - node _T_4559 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 573:78] - node _T_4560 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 573:101] - node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] - node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4563 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 573:120] - node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 573:105] - node _T_4565 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 573:78] - node _T_4566 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 573:101] - node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] - node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4569 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 573:120] - node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 573:105] - node _T_4571 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 573:78] - node _T_4572 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 573:101] - node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] - node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4575 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 573:120] - node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 573:105] - node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 573:78] - node _T_4578 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 573:101] - node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] - node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4581 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 573:120] - node _T_4582 = and(_T_4580, _T_4581) @[el2_lsu_bus_buffer.scala 573:105] - node _T_4583 = mux(_T_4564, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4584 = mux(_T_4570, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4585 = mux(_T_4576, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4586 = mux(_T_4582, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4587 = or(_T_4583, _T_4584) @[Mux.scala 27:72] - node _T_4588 = or(_T_4587, _T_4585) @[Mux.scala 27:72] - node _T_4589 = or(_T_4588, _T_4586) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4634 @[Mux.scala 27:72] + node _T_4635 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4636 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4637 = eq(_T_4636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4638 = and(_T_4635, _T_4637) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4639 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 589:120] + node _T_4640 = and(_T_4638, _T_4639) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4641 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4642 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4643 = eq(_T_4642, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4644 = and(_T_4641, _T_4643) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4645 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 589:120] + node _T_4646 = and(_T_4644, _T_4645) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4647 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4648 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4650 = and(_T_4647, _T_4649) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4651 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 589:120] + node _T_4652 = and(_T_4650, _T_4651) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4653 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4654 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4655 = eq(_T_4654, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4656 = and(_T_4653, _T_4655) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4657 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 589:120] + node _T_4658 = and(_T_4656, _T_4657) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4659 = mux(_T_4640, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4660 = mux(_T_4646, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4661 = mux(_T_4652, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4662 = mux(_T_4658, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4663 = or(_T_4659, _T_4660) @[Mux.scala 27:72] + node _T_4664 = or(_T_4663, _T_4661) @[Mux.scala 27:72] + node _T_4665 = or(_T_4664, _T_4662) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4589 @[Mux.scala 27:72] - node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4591 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4592 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4593 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4594 = mux(_T_4590, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4595 = mux(_T_4591, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4596 = mux(_T_4592, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4597 = mux(_T_4593, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4598 = or(_T_4594, _T_4595) @[Mux.scala 27:72] - node _T_4599 = or(_T_4598, _T_4596) @[Mux.scala 27:72] - node _T_4600 = or(_T_4599, _T_4597) @[Mux.scala 27:72] - wire _T_4601 : UInt<32> @[Mux.scala 27:72] - _T_4601 <= _T_4600 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4601, 1, 0) @[el2_lsu_bus_buffer.scala 574:83] - node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4604 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4606 = mux(_T_4602, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4607 = mux(_T_4603, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4608 = mux(_T_4604, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4609 = mux(_T_4605, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4610 = or(_T_4606, _T_4607) @[Mux.scala 27:72] - node _T_4611 = or(_T_4610, _T_4608) @[Mux.scala 27:72] - node _T_4612 = or(_T_4611, _T_4609) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4665 @[Mux.scala 27:72] + node _T_4666 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4667 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4668 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4669 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4670 = mux(_T_4666, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = mux(_T_4667, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4672 = mux(_T_4668, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4673 = mux(_T_4669, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4674 = or(_T_4670, _T_4671) @[Mux.scala 27:72] + node _T_4675 = or(_T_4674, _T_4672) @[Mux.scala 27:72] + node _T_4676 = or(_T_4675, _T_4673) @[Mux.scala 27:72] + wire _T_4677 : UInt<32> @[Mux.scala 27:72] + _T_4677 <= _T_4676 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4677, 1, 0) @[el2_lsu_bus_buffer.scala 590:83] + node _T_4678 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4679 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4680 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4681 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4682 = mux(_T_4678, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4683 = mux(_T_4679, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4684 = mux(_T_4680, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4685 = mux(_T_4681, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4686 = or(_T_4682, _T_4683) @[Mux.scala 27:72] + node _T_4687 = or(_T_4686, _T_4684) @[Mux.scala 27:72] + node _T_4688 = or(_T_4687, _T_4685) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4612 @[Mux.scala 27:72] - node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4614 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4615 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4616 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4617 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4618 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4620 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4621 = mux(_T_4613, _T_4614, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4622 = mux(_T_4615, _T_4616, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4623 = mux(_T_4617, _T_4618, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4624 = mux(_T_4619, _T_4620, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4625 = or(_T_4621, _T_4622) @[Mux.scala 27:72] - node _T_4626 = or(_T_4625, _T_4623) @[Mux.scala 27:72] - node _T_4627 = or(_T_4626, _T_4624) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4688 @[Mux.scala 27:72] + node _T_4689 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4690 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4691 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4692 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4693 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4694 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4695 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4696 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4697 = mux(_T_4689, _T_4690, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4698 = mux(_T_4691, _T_4692, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4699 = mux(_T_4693, _T_4694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4700 = mux(_T_4695, _T_4696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = or(_T_4697, _T_4698) @[Mux.scala 27:72] + node _T_4702 = or(_T_4701, _T_4699) @[Mux.scala 27:72] + node _T_4703 = or(_T_4702, _T_4700) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4627 @[Mux.scala 27:72] - node _T_4628 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4629 = cat(_T_4628, buf_dual[1]) @[Cat.scala 29:58] - node _T_4630 = cat(_T_4629, buf_dual[0]) @[Cat.scala 29:58] - node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4632 = bits(_T_4630, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4633 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4634 = bits(_T_4630, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4635 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4636 = bits(_T_4630, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4638 = bits(_T_4630, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4639 = mux(_T_4631, _T_4632, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4640 = mux(_T_4633, _T_4634, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4641 = mux(_T_4635, _T_4636, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4642 = mux(_T_4637, _T_4638, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4643 = or(_T_4639, _T_4640) @[Mux.scala 27:72] - node _T_4644 = or(_T_4643, _T_4641) @[Mux.scala 27:72] - node _T_4645 = or(_T_4644, _T_4642) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4703 @[Mux.scala 27:72] + node _T_4704 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4705 = cat(_T_4704, buf_dual[1]) @[Cat.scala 29:58] + node _T_4706 = cat(_T_4705, buf_dual[0]) @[Cat.scala 29:58] + node _T_4707 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4708 = bits(_T_4706, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4709 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4710 = bits(_T_4706, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4711 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4712 = bits(_T_4706, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4713 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4714 = bits(_T_4706, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4715 = mux(_T_4707, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4716 = mux(_T_4709, _T_4710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4717 = mux(_T_4711, _T_4712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4718 = mux(_T_4713, _T_4714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4719 = or(_T_4715, _T_4716) @[Mux.scala 27:72] + node _T_4720 = or(_T_4719, _T_4717) @[Mux.scala 27:72] + node _T_4721 = or(_T_4720, _T_4718) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4645 @[Mux.scala 27:72] - node _T_4646 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4647 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 578:121] - node lsu_nonblock_data_unalgn = dshr(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 578:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 579:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 580:14] - node _T_4648 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:69] - node _T_4649 = and(lsu_nonblock_load_data_ready, _T_4648) @[el2_lsu_bus_buffer.scala 581:67] - io.lsu_nonblock_load_data_valid <= _T_4649 @[el2_lsu_bus_buffer.scala 581:35] - node _T_4650 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:81] - node _T_4651 = and(lsu_nonblock_unsign, _T_4650) @[el2_lsu_bus_buffer.scala 582:63] - node _T_4652 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 582:131] - node _T_4653 = cat(UInt<24>("h00"), _T_4652) @[Cat.scala 29:58] - node _T_4654 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 583:45] - node _T_4655 = and(lsu_nonblock_unsign, _T_4654) @[el2_lsu_bus_buffer.scala 583:26] - node _T_4656 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 583:95] - node _T_4657 = cat(UInt<16>("h00"), _T_4656) @[Cat.scala 29:58] - node _T_4658 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:6] - node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:45] - node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 584:27] - node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 584:93] - node _T_4662 = bits(_T_4661, 0, 0) @[Bitwise.scala 72:15] - node _T_4663 = mux(_T_4662, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 584:123] - node _T_4665 = cat(_T_4663, _T_4664) @[Cat.scala 29:58] - node _T_4666 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:6] - node _T_4667 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 585:45] - node _T_4668 = and(_T_4666, _T_4667) @[el2_lsu_bus_buffer.scala 585:27] - node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 585:93] - node _T_4670 = bits(_T_4669, 0, 0) @[Bitwise.scala 72:15] - node _T_4671 = mux(_T_4670, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 585:124] - node _T_4673 = cat(_T_4671, _T_4672) @[Cat.scala 29:58] - node _T_4674 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 586:21] - node _T_4675 = mux(_T_4651, _T_4653, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4676 = mux(_T_4655, _T_4657, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4677 = mux(_T_4660, _T_4665, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4678 = mux(_T_4668, _T_4673, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4679 = mux(_T_4674, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4680 = or(_T_4675, _T_4676) @[Mux.scala 27:72] - node _T_4681 = or(_T_4680, _T_4677) @[Mux.scala 27:72] - node _T_4682 = or(_T_4681, _T_4678) @[Mux.scala 27:72] - node _T_4683 = or(_T_4682, _T_4679) @[Mux.scala 27:72] - wire _T_4684 : UInt<64> @[Mux.scala 27:72] - _T_4684 <= _T_4683 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4684 @[el2_lsu_bus_buffer.scala 582:29] - node _T_4685 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 587:62] - node _T_4686 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 587:89] - node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 587:73] - node _T_4688 = and(_T_4687, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 587:93] - node _T_4689 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 587:62] - node _T_4690 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 587:89] - node _T_4691 = and(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 587:73] - node _T_4692 = and(_T_4691, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 587:93] - node _T_4693 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 587:62] - node _T_4694 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 587:89] - node _T_4695 = and(_T_4693, _T_4694) @[el2_lsu_bus_buffer.scala 587:73] - node _T_4696 = and(_T_4695, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 587:93] - node _T_4697 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 587:62] - node _T_4698 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 587:89] - node _T_4699 = and(_T_4697, _T_4698) @[el2_lsu_bus_buffer.scala 587:73] - node _T_4700 = and(_T_4699, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 587:93] - node _T_4701 = or(_T_4688, _T_4692) @[el2_lsu_bus_buffer.scala 587:141] - node _T_4702 = or(_T_4701, _T_4696) @[el2_lsu_bus_buffer.scala 587:141] - node _T_4703 = or(_T_4702, _T_4700) @[el2_lsu_bus_buffer.scala 587:141] - bus_sideeffect_pend <= _T_4703 @[el2_lsu_bus_buffer.scala 587:23] - node _T_4704 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 588:71] - node _T_4705 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 589:25] - node _T_4706 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:50] - node _T_4707 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 589:70] - node _T_4708 = eq(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 589:56] - node _T_4709 = and(_T_4705, _T_4708) @[el2_lsu_bus_buffer.scala 589:38] - node _T_4710 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4711 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:126] - node _T_4712 = and(obuf_merge, _T_4711) @[el2_lsu_bus_buffer.scala 589:114] - node _T_4713 = or(_T_4710, _T_4712) @[el2_lsu_bus_buffer.scala 589:100] - node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:80] - node _T_4715 = and(_T_4709, _T_4714) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4716 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 588:71] - node _T_4717 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 589:25] - node _T_4718 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:50] - node _T_4719 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 589:70] - node _T_4720 = eq(_T_4718, _T_4719) @[el2_lsu_bus_buffer.scala 589:56] - node _T_4721 = and(_T_4717, _T_4720) @[el2_lsu_bus_buffer.scala 589:38] - node _T_4722 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4723 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 589:126] - node _T_4724 = and(obuf_merge, _T_4723) @[el2_lsu_bus_buffer.scala 589:114] - node _T_4725 = or(_T_4722, _T_4724) @[el2_lsu_bus_buffer.scala 589:100] - node _T_4726 = eq(_T_4725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:80] - node _T_4727 = and(_T_4721, _T_4726) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4728 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 588:71] - node _T_4729 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 589:25] - node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:50] - node _T_4731 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 589:70] - node _T_4732 = eq(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 589:56] - node _T_4733 = and(_T_4729, _T_4732) @[el2_lsu_bus_buffer.scala 589:38] - node _T_4734 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4735 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 589:126] - node _T_4736 = and(obuf_merge, _T_4735) @[el2_lsu_bus_buffer.scala 589:114] - node _T_4737 = or(_T_4734, _T_4736) @[el2_lsu_bus_buffer.scala 589:100] - node _T_4738 = eq(_T_4737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:80] - node _T_4739 = and(_T_4733, _T_4738) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4740 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 588:71] - node _T_4741 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 589:25] - node _T_4742 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:50] - node _T_4743 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 589:70] - node _T_4744 = eq(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 589:56] - node _T_4745 = and(_T_4741, _T_4744) @[el2_lsu_bus_buffer.scala 589:38] - node _T_4746 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4747 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 589:126] - node _T_4748 = and(obuf_merge, _T_4747) @[el2_lsu_bus_buffer.scala 589:114] - node _T_4749 = or(_T_4746, _T_4748) @[el2_lsu_bus_buffer.scala 589:100] - node _T_4750 = eq(_T_4749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:80] - node _T_4751 = and(_T_4745, _T_4750) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4752 = mux(_T_4704, _T_4715, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4753 = mux(_T_4716, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4754 = mux(_T_4728, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4755 = mux(_T_4740, _T_4751, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4756 = or(_T_4752, _T_4753) @[Mux.scala 27:72] - node _T_4757 = or(_T_4756, _T_4754) @[Mux.scala 27:72] - node _T_4758 = or(_T_4757, _T_4755) @[Mux.scala 27:72] - wire _T_4759 : UInt<1> @[Mux.scala 27:72] - _T_4759 <= _T_4758 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4759 @[el2_lsu_bus_buffer.scala 588:26] - node _T_4760 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 591:54] - node _T_4761 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 591:75] - node _T_4762 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 591:150] - node _T_4763 = mux(_T_4760, _T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 591:39] - node _T_4764 = mux(obuf_write, _T_4763, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 591:23] - bus_cmd_ready <= _T_4764 @[el2_lsu_bus_buffer.scala 591:17] - node _T_4765 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 592:39] - bus_wcmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 592:17] - node _T_4766 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 593:39] - bus_wdata_sent <= _T_4766 @[el2_lsu_bus_buffer.scala 593:18] - node _T_4767 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 594:35] - node _T_4768 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 594:70] - node _T_4769 = and(_T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 594:52] - node _T_4770 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 594:111] - node _T_4771 = or(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 594:89] - bus_cmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 594:16] - node _T_4772 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 595:37] - bus_rsp_read <= _T_4772 @[el2_lsu_bus_buffer.scala 595:16] - node _T_4773 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 596:38] - bus_rsp_write <= _T_4773 @[el2_lsu_bus_buffer.scala 596:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 597:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 598:21] - node _T_4774 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:60] - node _T_4775 = and(bus_rsp_write, _T_4774) @[el2_lsu_bus_buffer.scala 599:40] - bus_rsp_write_error <= _T_4775 @[el2_lsu_bus_buffer.scala 599:23] - node _T_4776 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:58] - node _T_4777 = and(bus_rsp_read, _T_4776) @[el2_lsu_bus_buffer.scala 600:38] - bus_rsp_read_error <= _T_4777 @[el2_lsu_bus_buffer.scala 600:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 601:17] - node _T_4778 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 604:36] - node _T_4779 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:51] - node _T_4780 = and(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 604:49] - node _T_4781 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:68] - node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 604:66] - io.lsu_axi_awvalid <= _T_4782 @[el2_lsu_bus_buffer.scala 604:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 605:19] - node _T_4783 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:69] - node _T_4784 = cat(_T_4783, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4785 = mux(obuf_sideeffect, obuf_addr, _T_4784) @[el2_lsu_bus_buffer.scala 606:27] - io.lsu_axi_awaddr <= _T_4785 @[el2_lsu_bus_buffer.scala 606:21] - node _T_4786 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4787 = mux(obuf_sideeffect, _T_4786, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:27] - io.lsu_axi_awsize <= _T_4787 @[el2_lsu_bus_buffer.scala 607:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:21] - node _T_4788 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 609:28] - io.lsu_axi_awcache <= _T_4788 @[el2_lsu_bus_buffer.scala 609:22] - node _T_4789 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 610:35] - io.lsu_axi_awregion <= _T_4789 @[el2_lsu_bus_buffer.scala 610:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 612:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 613:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 614:21] - node _T_4790 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 616:35] - node _T_4791 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:50] - node _T_4792 = and(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 616:48] - node _T_4793 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:68] - node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 616:66] - io.lsu_axi_wvalid <= _T_4794 @[el2_lsu_bus_buffer.scala 616:21] - node _T_4795 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4796 = mux(_T_4795, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4797 = and(obuf_byteen, _T_4796) @[el2_lsu_bus_buffer.scala 617:35] - io.lsu_axi_wstrb <= _T_4797 @[el2_lsu_bus_buffer.scala 617:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 618:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 619:20] - node _T_4798 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:38] - node _T_4799 = and(obuf_valid, _T_4798) @[el2_lsu_bus_buffer.scala 621:36] - node _T_4800 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:52] - node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 621:50] - node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:67] - node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 621:65] - io.lsu_axi_arvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 621:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 622:19] - node _T_4804 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 623:69] - node _T_4805 = cat(_T_4804, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4806 = mux(obuf_sideeffect, obuf_addr, _T_4805) @[el2_lsu_bus_buffer.scala 623:27] - io.lsu_axi_araddr <= _T_4806 @[el2_lsu_bus_buffer.scala 623:21] - node _T_4807 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4808 = mux(obuf_sideeffect, _T_4807, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 624:27] - io.lsu_axi_arsize <= _T_4808 @[el2_lsu_bus_buffer.scala 624:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:21] - node _T_4809 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 626:28] - io.lsu_axi_arcache <= _T_4809 @[el2_lsu_bus_buffer.scala 626:22] - node _T_4810 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 627:35] - io.lsu_axi_arregion <= _T_4810 @[el2_lsu_bus_buffer.scala 627:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 629:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 632:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 633:21] - node _T_4811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 634:81] - node _T_4812 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 634:125] - node _T_4813 = and(io.lsu_bus_clk_en_q, _T_4812) @[el2_lsu_bus_buffer.scala 634:114] - node _T_4814 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 634:140] - node _T_4815 = and(_T_4813, _T_4814) @[el2_lsu_bus_buffer.scala 634:129] - node _T_4816 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 634:81] - node _T_4817 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 634:125] - node _T_4818 = and(io.lsu_bus_clk_en_q, _T_4817) @[el2_lsu_bus_buffer.scala 634:114] - node _T_4819 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 634:140] - node _T_4820 = and(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 634:129] - node _T_4821 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 634:81] - node _T_4822 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 634:125] - node _T_4823 = and(io.lsu_bus_clk_en_q, _T_4822) @[el2_lsu_bus_buffer.scala 634:114] - node _T_4824 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 634:140] - node _T_4825 = and(_T_4823, _T_4824) @[el2_lsu_bus_buffer.scala 634:129] - node _T_4826 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 634:81] - node _T_4827 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 634:125] - node _T_4828 = and(io.lsu_bus_clk_en_q, _T_4827) @[el2_lsu_bus_buffer.scala 634:114] - node _T_4829 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 634:140] - node _T_4830 = and(_T_4828, _T_4829) @[el2_lsu_bus_buffer.scala 634:129] - node _T_4831 = mux(_T_4811, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4832 = mux(_T_4816, _T_4820, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4833 = mux(_T_4821, _T_4825, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4834 = mux(_T_4826, _T_4830, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4835 = or(_T_4831, _T_4832) @[Mux.scala 27:72] - node _T_4836 = or(_T_4835, _T_4833) @[Mux.scala 27:72] - node _T_4837 = or(_T_4836, _T_4834) @[Mux.scala 27:72] - wire _T_4838 : UInt<1> @[Mux.scala 27:72] - _T_4838 <= _T_4837 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4838 @[el2_lsu_bus_buffer.scala 634:36] - node _T_4839 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 635:87] - node _T_4840 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 635:109] - node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 635:98] - node _T_4842 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 635:124] - node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 635:113] - node _T_4844 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 635:87] - node _T_4845 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 635:109] - node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 635:98] - node _T_4847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 635:124] - node _T_4848 = and(_T_4846, _T_4847) @[el2_lsu_bus_buffer.scala 635:113] - node _T_4849 = mux(_T_4843, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4850 = mux(_T_4848, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4851 = or(_T_4849, _T_4850) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4721 @[Mux.scala 27:72] + node _T_4722 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4723 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 594:121] + node lsu_nonblock_data_unalgn = dshr(_T_4722, _T_4723) @[el2_lsu_bus_buffer.scala 594:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 595:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 596:14] + node _T_4724 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:69] + node _T_4725 = and(lsu_nonblock_load_data_ready, _T_4724) @[el2_lsu_bus_buffer.scala 597:67] + io.lsu_nonblock_load_data_valid <= _T_4725 @[el2_lsu_bus_buffer.scala 597:35] + node _T_4726 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:81] + node _T_4727 = and(lsu_nonblock_unsign, _T_4726) @[el2_lsu_bus_buffer.scala 598:63] + node _T_4728 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 598:131] + node _T_4729 = cat(UInt<24>("h00"), _T_4728) @[Cat.scala 29:58] + node _T_4730 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 599:45] + node _T_4731 = and(lsu_nonblock_unsign, _T_4730) @[el2_lsu_bus_buffer.scala 599:26] + node _T_4732 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 599:95] + node _T_4733 = cat(UInt<16>("h00"), _T_4732) @[Cat.scala 29:58] + node _T_4734 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:6] + node _T_4735 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:45] + node _T_4736 = and(_T_4734, _T_4735) @[el2_lsu_bus_buffer.scala 600:27] + node _T_4737 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 600:93] + node _T_4738 = bits(_T_4737, 0, 0) @[Bitwise.scala 72:15] + node _T_4739 = mux(_T_4738, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4740 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 600:123] + node _T_4741 = cat(_T_4739, _T_4740) @[Cat.scala 29:58] + node _T_4742 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:6] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 601:45] + node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 601:27] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 601:93] + node _T_4746 = bits(_T_4745, 0, 0) @[Bitwise.scala 72:15] + node _T_4747 = mux(_T_4746, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4748 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 601:124] + node _T_4749 = cat(_T_4747, _T_4748) @[Cat.scala 29:58] + node _T_4750 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 602:21] + node _T_4751 = mux(_T_4727, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4752 = mux(_T_4731, _T_4733, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4753 = mux(_T_4736, _T_4741, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4754 = mux(_T_4744, _T_4749, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4755 = mux(_T_4750, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4756 = or(_T_4751, _T_4752) @[Mux.scala 27:72] + node _T_4757 = or(_T_4756, _T_4753) @[Mux.scala 27:72] + node _T_4758 = or(_T_4757, _T_4754) @[Mux.scala 27:72] + node _T_4759 = or(_T_4758, _T_4755) @[Mux.scala 27:72] + wire _T_4760 : UInt<64> @[Mux.scala 27:72] + _T_4760 <= _T_4759 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4760 @[el2_lsu_bus_buffer.scala 598:29] + node _T_4761 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] + node _T_4762 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 603:89] + node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 603:73] + node _T_4764 = and(_T_4763, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4765 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] + node _T_4766 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 603:89] + node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 603:73] + node _T_4768 = and(_T_4767, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4769 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] + node _T_4770 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 603:89] + node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 603:73] + node _T_4772 = and(_T_4771, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4773 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] + node _T_4774 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 603:89] + node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 603:73] + node _T_4776 = and(_T_4775, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4777 = or(_T_4764, _T_4768) @[el2_lsu_bus_buffer.scala 603:141] + node _T_4778 = or(_T_4777, _T_4772) @[el2_lsu_bus_buffer.scala 603:141] + node _T_4779 = or(_T_4778, _T_4776) @[el2_lsu_bus_buffer.scala 603:141] + bus_sideeffect_pend <= _T_4779 @[el2_lsu_bus_buffer.scala 603:23] + node _T_4780 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] + node _T_4781 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] + node _T_4782 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] + node _T_4783 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] + node _T_4784 = eq(_T_4782, _T_4783) @[el2_lsu_bus_buffer.scala 605:56] + node _T_4785 = and(_T_4781, _T_4784) @[el2_lsu_bus_buffer.scala 605:38] + node _T_4786 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:92] + node _T_4787 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:126] + node _T_4788 = and(obuf_merge, _T_4787) @[el2_lsu_bus_buffer.scala 605:114] + node _T_4789 = or(_T_4786, _T_4788) @[el2_lsu_bus_buffer.scala 605:100] + node _T_4790 = eq(_T_4789, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] + node _T_4791 = and(_T_4785, _T_4790) @[el2_lsu_bus_buffer.scala 605:78] + node _T_4792 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] + node _T_4793 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] + node _T_4794 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] + node _T_4795 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] + node _T_4796 = eq(_T_4794, _T_4795) @[el2_lsu_bus_buffer.scala 605:56] + node _T_4797 = and(_T_4793, _T_4796) @[el2_lsu_bus_buffer.scala 605:38] + node _T_4798 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 605:92] + node _T_4799 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 605:126] + node _T_4800 = and(obuf_merge, _T_4799) @[el2_lsu_bus_buffer.scala 605:114] + node _T_4801 = or(_T_4798, _T_4800) @[el2_lsu_bus_buffer.scala 605:100] + node _T_4802 = eq(_T_4801, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] + node _T_4803 = and(_T_4797, _T_4802) @[el2_lsu_bus_buffer.scala 605:78] + node _T_4804 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] + node _T_4805 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] + node _T_4806 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] + node _T_4807 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] + node _T_4808 = eq(_T_4806, _T_4807) @[el2_lsu_bus_buffer.scala 605:56] + node _T_4809 = and(_T_4805, _T_4808) @[el2_lsu_bus_buffer.scala 605:38] + node _T_4810 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:92] + node _T_4811 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:126] + node _T_4812 = and(obuf_merge, _T_4811) @[el2_lsu_bus_buffer.scala 605:114] + node _T_4813 = or(_T_4810, _T_4812) @[el2_lsu_bus_buffer.scala 605:100] + node _T_4814 = eq(_T_4813, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] + node _T_4815 = and(_T_4809, _T_4814) @[el2_lsu_bus_buffer.scala 605:78] + node _T_4816 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] + node _T_4817 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] + node _T_4818 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] + node _T_4819 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] + node _T_4820 = eq(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 605:56] + node _T_4821 = and(_T_4817, _T_4820) @[el2_lsu_bus_buffer.scala 605:38] + node _T_4822 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 605:92] + node _T_4823 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 605:126] + node _T_4824 = and(obuf_merge, _T_4823) @[el2_lsu_bus_buffer.scala 605:114] + node _T_4825 = or(_T_4822, _T_4824) @[el2_lsu_bus_buffer.scala 605:100] + node _T_4826 = eq(_T_4825, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] + node _T_4827 = and(_T_4821, _T_4826) @[el2_lsu_bus_buffer.scala 605:78] + node _T_4828 = mux(_T_4780, _T_4791, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4829 = mux(_T_4792, _T_4803, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4830 = mux(_T_4804, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4831 = mux(_T_4816, _T_4827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4832 = or(_T_4828, _T_4829) @[Mux.scala 27:72] + node _T_4833 = or(_T_4832, _T_4830) @[Mux.scala 27:72] + node _T_4834 = or(_T_4833, _T_4831) @[Mux.scala 27:72] + wire _T_4835 : UInt<1> @[Mux.scala 27:72] + _T_4835 <= _T_4834 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4835 @[el2_lsu_bus_buffer.scala 604:26] + node _T_4836 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 607:54] + node _T_4837 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 607:75] + node _T_4838 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 607:150] + node _T_4839 = mux(_T_4836, _T_4837, _T_4838) @[el2_lsu_bus_buffer.scala 607:39] + node _T_4840 = mux(obuf_write, _T_4839, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 607:23] + bus_cmd_ready <= _T_4840 @[el2_lsu_bus_buffer.scala 607:17] + node _T_4841 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:39] + bus_wcmd_sent <= _T_4841 @[el2_lsu_bus_buffer.scala 608:17] + node _T_4842 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 609:39] + bus_wdata_sent <= _T_4842 @[el2_lsu_bus_buffer.scala 609:18] + node _T_4843 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 610:35] + node _T_4844 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 610:70] + node _T_4845 = and(_T_4843, _T_4844) @[el2_lsu_bus_buffer.scala 610:52] + node _T_4846 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 610:111] + node _T_4847 = or(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 610:89] + bus_cmd_sent <= _T_4847 @[el2_lsu_bus_buffer.scala 610:16] + node _T_4848 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 611:37] + bus_rsp_read <= _T_4848 @[el2_lsu_bus_buffer.scala 611:16] + node _T_4849 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 612:38] + bus_rsp_write <= _T_4849 @[el2_lsu_bus_buffer.scala 612:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 613:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 614:21] + node _T_4850 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:60] + node _T_4851 = and(bus_rsp_write, _T_4850) @[el2_lsu_bus_buffer.scala 615:40] + bus_rsp_write_error <= _T_4851 @[el2_lsu_bus_buffer.scala 615:23] + node _T_4852 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:58] + node _T_4853 = and(bus_rsp_read, _T_4852) @[el2_lsu_bus_buffer.scala 616:38] + bus_rsp_read_error <= _T_4853 @[el2_lsu_bus_buffer.scala 616:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 617:17] + node _T_4854 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 620:36] + node _T_4855 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:51] + node _T_4856 = and(_T_4854, _T_4855) @[el2_lsu_bus_buffer.scala 620:49] + node _T_4857 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:68] + node _T_4858 = and(_T_4856, _T_4857) @[el2_lsu_bus_buffer.scala 620:66] + io.lsu_axi_awvalid <= _T_4858 @[el2_lsu_bus_buffer.scala 620:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 621:19] + node _T_4859 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 622:69] + node _T_4860 = cat(_T_4859, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4861 = mux(obuf_sideeffect, obuf_addr, _T_4860) @[el2_lsu_bus_buffer.scala 622:27] + io.lsu_axi_awaddr <= _T_4861 @[el2_lsu_bus_buffer.scala 622:21] + node _T_4862 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4863 = mux(obuf_sideeffect, _T_4862, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 623:27] + io.lsu_axi_awsize <= _T_4863 @[el2_lsu_bus_buffer.scala 623:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 624:21] + node _T_4864 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 625:28] + io.lsu_axi_awcache <= _T_4864 @[el2_lsu_bus_buffer.scala 625:22] + node _T_4865 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 626:35] + io.lsu_axi_awregion <= _T_4865 @[el2_lsu_bus_buffer.scala 626:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 628:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 629:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:21] + node _T_4866 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 632:35] + node _T_4867 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:50] + node _T_4868 = and(_T_4866, _T_4867) @[el2_lsu_bus_buffer.scala 632:48] + node _T_4869 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:68] + node _T_4870 = and(_T_4868, _T_4869) @[el2_lsu_bus_buffer.scala 632:66] + io.lsu_axi_wvalid <= _T_4870 @[el2_lsu_bus_buffer.scala 632:21] + node _T_4871 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4872 = mux(_T_4871, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4873 = and(obuf_byteen, _T_4872) @[el2_lsu_bus_buffer.scala 633:35] + io.lsu_axi_wstrb <= _T_4873 @[el2_lsu_bus_buffer.scala 633:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 634:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 635:20] + node _T_4874 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:38] + node _T_4875 = and(obuf_valid, _T_4874) @[el2_lsu_bus_buffer.scala 637:36] + node _T_4876 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:52] + node _T_4877 = and(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 637:50] + node _T_4878 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:67] + node _T_4879 = and(_T_4877, _T_4878) @[el2_lsu_bus_buffer.scala 637:65] + io.lsu_axi_arvalid <= _T_4879 @[el2_lsu_bus_buffer.scala 637:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 638:19] + node _T_4880 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 639:69] + node _T_4881 = cat(_T_4880, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4882 = mux(obuf_sideeffect, obuf_addr, _T_4881) @[el2_lsu_bus_buffer.scala 639:27] + io.lsu_axi_araddr <= _T_4882 @[el2_lsu_bus_buffer.scala 639:21] + node _T_4883 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4884 = mux(obuf_sideeffect, _T_4883, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 640:27] + io.lsu_axi_arsize <= _T_4884 @[el2_lsu_bus_buffer.scala 640:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 641:21] + node _T_4885 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 642:28] + io.lsu_axi_arcache <= _T_4885 @[el2_lsu_bus_buffer.scala 642:22] + node _T_4886 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 643:35] + io.lsu_axi_arregion <= _T_4886 @[el2_lsu_bus_buffer.scala 643:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 644:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 645:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 646:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 647:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 648:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 649:21] + node _T_4887 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] + node _T_4888 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 650:125] + node _T_4889 = and(io.lsu_bus_clk_en_q, _T_4888) @[el2_lsu_bus_buffer.scala 650:114] + node _T_4890 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 650:140] + node _T_4891 = and(_T_4889, _T_4890) @[el2_lsu_bus_buffer.scala 650:129] + node _T_4892 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] + node _T_4893 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 650:125] + node _T_4894 = and(io.lsu_bus_clk_en_q, _T_4893) @[el2_lsu_bus_buffer.scala 650:114] + node _T_4895 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 650:140] + node _T_4896 = and(_T_4894, _T_4895) @[el2_lsu_bus_buffer.scala 650:129] + node _T_4897 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] + node _T_4898 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 650:125] + node _T_4899 = and(io.lsu_bus_clk_en_q, _T_4898) @[el2_lsu_bus_buffer.scala 650:114] + node _T_4900 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 650:140] + node _T_4901 = and(_T_4899, _T_4900) @[el2_lsu_bus_buffer.scala 650:129] + node _T_4902 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] + node _T_4903 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 650:125] + node _T_4904 = and(io.lsu_bus_clk_en_q, _T_4903) @[el2_lsu_bus_buffer.scala 650:114] + node _T_4905 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 650:140] + node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 650:129] + node _T_4907 = mux(_T_4887, _T_4891, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4908 = mux(_T_4892, _T_4896, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4909 = mux(_T_4897, _T_4901, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4910 = mux(_T_4902, _T_4906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4911 = or(_T_4907, _T_4908) @[Mux.scala 27:72] + node _T_4912 = or(_T_4911, _T_4909) @[Mux.scala 27:72] + node _T_4913 = or(_T_4912, _T_4910) @[Mux.scala 27:72] + wire _T_4914 : UInt<1> @[Mux.scala 27:72] + _T_4914 <= _T_4913 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4914 @[el2_lsu_bus_buffer.scala 650:36] + node _T_4915 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:87] + node _T_4916 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 651:109] + node _T_4917 = and(_T_4915, _T_4916) @[el2_lsu_bus_buffer.scala 651:98] + node _T_4918 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 651:124] + node _T_4919 = and(_T_4917, _T_4918) @[el2_lsu_bus_buffer.scala 651:113] + node _T_4920 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:87] + node _T_4921 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 651:109] + node _T_4922 = and(_T_4920, _T_4921) @[el2_lsu_bus_buffer.scala 651:98] + node _T_4923 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 651:124] + node _T_4924 = and(_T_4922, _T_4923) @[el2_lsu_bus_buffer.scala 651:113] + node _T_4925 = mux(_T_4919, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4926 = mux(_T_4924, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4927 = or(_T_4925, _T_4926) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4851 @[Mux.scala 27:72] - node _T_4852 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:72] - node _T_4853 = and(io.lsu_nonblock_load_data_error, _T_4852) @[el2_lsu_bus_buffer.scala 637:70] - io.lsu_imprecise_error_load_any <= _T_4853 @[el2_lsu_bus_buffer.scala 637:35] - node _T_4854 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4855 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4856 = mux(_T_4854, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4857 = mux(_T_4855, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4858 = or(_T_4856, _T_4857) @[Mux.scala 27:72] - wire _T_4859 : UInt<32> @[Mux.scala 27:72] - _T_4859 <= _T_4858 @[Mux.scala 27:72] - node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4861 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4862 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4864 = mux(_T_4860, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4865 = mux(_T_4861, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4866 = mux(_T_4862, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4867 = mux(_T_4863, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4868 = or(_T_4864, _T_4865) @[Mux.scala 27:72] - node _T_4869 = or(_T_4868, _T_4866) @[Mux.scala 27:72] - node _T_4870 = or(_T_4869, _T_4867) @[Mux.scala 27:72] - wire _T_4871 : UInt<32> @[Mux.scala 27:72] - _T_4871 <= _T_4870 @[Mux.scala 27:72] - node _T_4872 = mux(io.lsu_imprecise_error_store_any, _T_4859, _T_4871) @[el2_lsu_bus_buffer.scala 638:41] - io.lsu_imprecise_error_addr_any <= _T_4872 @[el2_lsu_bus_buffer.scala 638:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 641:23] - node _T_4873 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 644:46] - node _T_4874 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 644:89] - node _T_4875 = or(_T_4873, _T_4874) @[el2_lsu_bus_buffer.scala 644:68] - node _T_4876 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 644:132] - node _T_4877 = or(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 644:110] - io.lsu_pmu_bus_trxn <= _T_4877 @[el2_lsu_bus_buffer.scala 644:23] - node _T_4878 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 645:48] - node _T_4879 = and(_T_4878, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 645:65] - io.lsu_pmu_bus_misaligned <= _T_4879 @[el2_lsu_bus_buffer.scala 645:29] - node _T_4880 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 646:59] - io.lsu_pmu_bus_error <= _T_4880 @[el2_lsu_bus_buffer.scala 646:24] - node _T_4881 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:48] - node _T_4882 = and(io.lsu_axi_awvalid, _T_4881) @[el2_lsu_bus_buffer.scala 648:46] - node _T_4883 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:92] - node _T_4884 = and(io.lsu_axi_wvalid, _T_4883) @[el2_lsu_bus_buffer.scala 648:90] - node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 648:69] - node _T_4886 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:136] - node _T_4887 = and(io.lsu_axi_arvalid, _T_4886) @[el2_lsu_bus_buffer.scala 648:134] - node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 648:112] - io.lsu_pmu_bus_busy <= _T_4888 @[el2_lsu_bus_buffer.scala 648:23] - reg _T_4889 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 650:49] - _T_4889 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 650:49] - WrPtr0_r <= _T_4889 @[el2_lsu_bus_buffer.scala 650:12] - reg _T_4890 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 651:49] - _T_4890 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 651:49] - WrPtr1_r <= _T_4890 @[el2_lsu_bus_buffer.scala 651:12] - node _T_4891 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 652:75] - node _T_4892 = and(io.lsu_busreq_m, _T_4891) @[el2_lsu_bus_buffer.scala 652:73] - node _T_4893 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 652:89] - node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 652:87] - reg _T_4895 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 652:56] - _T_4895 <= _T_4894 @[el2_lsu_bus_buffer.scala 652:56] - io.lsu_busreq_r <= _T_4895 @[el2_lsu_bus_buffer.scala 652:19] - reg _T_4896 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 653:66] - _T_4896 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 653:66] - lsu_nonblock_load_valid_r <= _T_4896 @[el2_lsu_bus_buffer.scala 653:29] + lsu_imprecise_error_store_tag <= _T_4927 @[Mux.scala 27:72] + node _T_4928 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 653:72] + node _T_4929 = and(io.lsu_nonblock_load_data_error, _T_4928) @[el2_lsu_bus_buffer.scala 653:70] + io.lsu_imprecise_error_load_any <= _T_4929 @[el2_lsu_bus_buffer.scala 653:35] + node _T_4930 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4931 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4932 = mux(_T_4930, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4933 = mux(_T_4931, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4934 = or(_T_4932, _T_4933) @[Mux.scala 27:72] + wire _T_4935 : UInt<32> @[Mux.scala 27:72] + _T_4935 <= _T_4934 @[Mux.scala 27:72] + node _T_4936 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4937 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4938 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4939 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4940 = mux(_T_4936, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4941 = mux(_T_4937, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4942 = mux(_T_4938, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4943 = mux(_T_4939, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4944 = or(_T_4940, _T_4941) @[Mux.scala 27:72] + node _T_4945 = or(_T_4944, _T_4942) @[Mux.scala 27:72] + node _T_4946 = or(_T_4945, _T_4943) @[Mux.scala 27:72] + wire _T_4947 : UInt<32> @[Mux.scala 27:72] + _T_4947 <= _T_4946 @[Mux.scala 27:72] + node _T_4948 = mux(io.lsu_imprecise_error_store_any, _T_4935, _T_4947) @[el2_lsu_bus_buffer.scala 654:41] + io.lsu_imprecise_error_addr_any <= _T_4948 @[el2_lsu_bus_buffer.scala 654:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 657:23] + node _T_4949 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 660:46] + node _T_4950 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 660:89] + node _T_4951 = or(_T_4949, _T_4950) @[el2_lsu_bus_buffer.scala 660:68] + node _T_4952 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 660:132] + node _T_4953 = or(_T_4951, _T_4952) @[el2_lsu_bus_buffer.scala 660:110] + io.lsu_pmu_bus_trxn <= _T_4953 @[el2_lsu_bus_buffer.scala 660:23] + node _T_4954 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 661:48] + node _T_4955 = and(_T_4954, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 661:65] + io.lsu_pmu_bus_misaligned <= _T_4955 @[el2_lsu_bus_buffer.scala 661:29] + node _T_4956 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 662:59] + io.lsu_pmu_bus_error <= _T_4956 @[el2_lsu_bus_buffer.scala 662:24] + node _T_4957 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:48] + node _T_4958 = and(io.lsu_axi_awvalid, _T_4957) @[el2_lsu_bus_buffer.scala 664:46] + node _T_4959 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:92] + node _T_4960 = and(io.lsu_axi_wvalid, _T_4959) @[el2_lsu_bus_buffer.scala 664:90] + node _T_4961 = or(_T_4958, _T_4960) @[el2_lsu_bus_buffer.scala 664:69] + node _T_4962 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:136] + node _T_4963 = and(io.lsu_axi_arvalid, _T_4962) @[el2_lsu_bus_buffer.scala 664:134] + node _T_4964 = or(_T_4961, _T_4963) @[el2_lsu_bus_buffer.scala 664:112] + io.lsu_pmu_bus_busy <= _T_4964 @[el2_lsu_bus_buffer.scala 664:23] + reg _T_4965 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 666:49] + _T_4965 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 666:49] + WrPtr0_r <= _T_4965 @[el2_lsu_bus_buffer.scala 666:12] + reg _T_4966 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 667:49] + _T_4966 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 667:49] + WrPtr1_r <= _T_4966 @[el2_lsu_bus_buffer.scala 667:12] + node _T_4967 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 668:75] + node _T_4968 = and(io.lsu_busreq_m, _T_4967) @[el2_lsu_bus_buffer.scala 668:73] + node _T_4969 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 668:89] + node _T_4970 = and(_T_4968, _T_4969) @[el2_lsu_bus_buffer.scala 668:87] + reg _T_4971 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:56] + _T_4971 <= _T_4970 @[el2_lsu_bus_buffer.scala 668:56] + io.lsu_busreq_r <= _T_4971 @[el2_lsu_bus_buffer.scala 668:19] + reg _T_4972 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:66] + _T_4972 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 669:66] + lsu_nonblock_load_valid_r <= _T_4972 @[el2_lsu_bus_buffer.scala 669:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 206bb168..98a2bec3 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -20,136 +20,136 @@ module rvclkhdr( assign clkhdr_SE = io_scan_mode; // @[el2_lib.scala 469:18] endmodule module el2_lsu_bus_buffer( - input clock, - input reset, - input io_scan_mode, - input io_dec_tlu_external_ldfwd_disable, - input io_dec_tlu_wb_coalescing_disable, - input io_dec_tlu_sideeffect_posted_disable, - input io_dec_tlu_force_halt, - input io_lsu_c2_r_clk, - input io_lsu_bus_ibuf_c1_clk, - input io_lsu_bus_obuf_c1_clk, - input io_lsu_bus_buf_c1_clk, - input io_lsu_free_c2_clk, - input io_lsu_busm_clk, - input io_dec_lsu_valid_raw_d, - input io_lsu_pkt_m_fast_int, - input io_lsu_pkt_m_by, - input io_lsu_pkt_m_half, - input io_lsu_pkt_m_word, - input io_lsu_pkt_m_dword, - input io_lsu_pkt_m_load, - input io_lsu_pkt_m_store, - input io_lsu_pkt_m_unsign, - input io_lsu_pkt_m_dma, - input io_lsu_pkt_m_store_data_bypass_d, - input io_lsu_pkt_m_load_ldst_bypass_d, - input io_lsu_pkt_m_store_data_bypass_m, - input io_lsu_pkt_m_valid, - input io_lsu_pkt_r_fast_int, - input io_lsu_pkt_r_by, - input io_lsu_pkt_r_half, - input io_lsu_pkt_r_word, - input io_lsu_pkt_r_dword, - input io_lsu_pkt_r_load, - input io_lsu_pkt_r_store, - input io_lsu_pkt_r_unsign, - input io_lsu_pkt_r_dma, - input io_lsu_pkt_r_store_data_bypass_d, - input io_lsu_pkt_r_load_ldst_bypass_d, - input io_lsu_pkt_r_store_data_bypass_m, - input io_lsu_pkt_r_valid, - input [31:0] io_lsu_addr_m, - input [31:0] io_end_addr_m, - input [31:0] io_lsu_addr_r, - input [31:0] io_end_addr_r, - input [31:0] io_store_data_r, - input io_no_word_merge_r, - input io_no_dword_merge_r, - input io_lsu_busreq_m, - input io_ld_full_hit_m, - input io_flush_m_up, - input io_flush_r, - input io_lsu_commit_r, - input io_is_sideeffects_r, - input io_ldst_dual_d, - input io_ldst_dual_m, - input io_ldst_dual_r, - input [7:0] io_ldst_byteen_ext_m, - input io_lsu_axi_awready, - input io_lsu_axi_wready, - input io_lsu_axi_bvalid, - input [1:0] io_lsu_axi_bresp, - input [2:0] io_lsu_axi_bid, - input io_lsu_axi_arready, - input io_lsu_axi_rvalid, - input [2:0] io_lsu_axi_rid, - input [63:0] io_lsu_axi_rdata, - input [1:0] io_lsu_axi_rresp, - input io_lsu_bus_clk_en, - input io_lsu_bus_clk_en_q, - output io_lsu_busreq_r, - output io_lsu_bus_buffer_pend_any, - output io_lsu_bus_buffer_full_any, - output io_lsu_bus_buffer_empty_any, - output io_lsu_bus_idle_any, - output [3:0] io_ld_byte_hit_buf_lo, - output [3:0] io_ld_byte_hit_buf_hi, - output [31:0] io_ld_fwddata_buf_lo, - output [31:0] io_ld_fwddata_buf_hi, - output io_lsu_imprecise_error_load_any, - output io_lsu_imprecise_error_store_any, - output [31:0] io_lsu_imprecise_error_addr_any, - output io_lsu_nonblock_load_valid_m, - output [1:0] io_lsu_nonblock_load_tag_m, - output io_lsu_nonblock_load_inv_r, - output [1:0] io_lsu_nonblock_load_inv_tag_r, - output io_lsu_nonblock_load_data_valid, - output io_lsu_nonblock_load_data_error, - output [1:0] io_lsu_nonblock_load_data_tag, - output [31:0] io_lsu_nonblock_load_data, - output io_lsu_pmu_bus_trxn, - output io_lsu_pmu_bus_misaligned, - output io_lsu_pmu_bus_error, - output io_lsu_pmu_bus_busy, - output io_lsu_axi_awvalid, - output [2:0] io_lsu_axi_awid, - output [31:0] io_lsu_axi_awaddr, - output [3:0] io_lsu_axi_awregion, - output [7:0] io_lsu_axi_awlen, - output [2:0] io_lsu_axi_awsize, - output [1:0] io_lsu_axi_awburst, - output io_lsu_axi_awlock, - output [3:0] io_lsu_axi_awcache, - output [2:0] io_lsu_axi_awprot, - output [3:0] io_lsu_axi_awqos, - output io_lsu_axi_wvalid, - output [63:0] io_lsu_axi_wdata, - output [7:0] io_lsu_axi_wstrb, - output io_lsu_axi_wlast, - output io_lsu_axi_bready, - output io_lsu_axi_arvalid, - output [2:0] io_lsu_axi_arid, - output [31:0] io_lsu_axi_araddr, - output [3:0] io_lsu_axi_arregion, - output [7:0] io_lsu_axi_arlen, - output [2:0] io_lsu_axi_arsize, - output [1:0] io_lsu_axi_arburst, - output io_lsu_axi_arlock, - output [3:0] io_lsu_axi_arcache, - output [2:0] io_lsu_axi_arprot, - output [3:0] io_lsu_axi_arqos, - output io_lsu_axi_rready, - output [127:0] io_test, - output [31:0] io_data_hi, - output [31:0] io_data_lo, - output [3:0] io_data_en, - output [1:0] io_Cmdptr0, - output [1:0] io_Cmdptr1, - output [1:0] io_WrPtr1_r, - output [1:0] io_WrPtr1_m, - output [63:0] io_wdata_in + input clock, + input reset, + input io_scan_mode, + input io_dec_tlu_external_ldfwd_disable, + input io_dec_tlu_wb_coalescing_disable, + input io_dec_tlu_sideeffect_posted_disable, + input io_dec_tlu_force_halt, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_busm_clk, + input io_dec_lsu_valid_raw_d, + input io_lsu_pkt_m_fast_int, + input io_lsu_pkt_m_by, + input io_lsu_pkt_m_half, + input io_lsu_pkt_m_word, + input io_lsu_pkt_m_dword, + input io_lsu_pkt_m_load, + input io_lsu_pkt_m_store, + input io_lsu_pkt_m_unsign, + input io_lsu_pkt_m_dma, + input io_lsu_pkt_m_store_data_bypass_d, + input io_lsu_pkt_m_load_ldst_bypass_d, + input io_lsu_pkt_m_store_data_bypass_m, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_r_fast_int, + input io_lsu_pkt_r_by, + input io_lsu_pkt_r_half, + input io_lsu_pkt_r_word, + input io_lsu_pkt_r_dword, + input io_lsu_pkt_r_load, + input io_lsu_pkt_r_store, + input io_lsu_pkt_r_unsign, + input io_lsu_pkt_r_dma, + input io_lsu_pkt_r_store_data_bypass_d, + input io_lsu_pkt_r_load_ldst_bypass_d, + input io_lsu_pkt_r_store_data_bypass_m, + input io_lsu_pkt_r_valid, + input [31:0] io_lsu_addr_m, + input [31:0] io_end_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_no_word_merge_r, + input io_no_dword_merge_r, + input io_lsu_busreq_m, + input io_ld_full_hit_m, + input io_flush_m_up, + input io_flush_r, + input io_lsu_commit_r, + input io_is_sideeffects_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [7:0] io_ldst_byteen_ext_m, + input io_lsu_axi_awready, + input io_lsu_axi_wready, + input io_lsu_axi_bvalid, + input [1:0] io_lsu_axi_bresp, + input [2:0] io_lsu_axi_bid, + input io_lsu_axi_arready, + input io_lsu_axi_rvalid, + input [2:0] io_lsu_axi_rid, + input [63:0] io_lsu_axi_rdata, + input [1:0] io_lsu_axi_rresp, + input io_lsu_bus_clk_en, + input io_lsu_bus_clk_en_q, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output io_lsu_bus_idle_any, + output [3:0] io_ld_byte_hit_buf_lo, + output [3:0] io_ld_byte_hit_buf_hi, + output [31:0] io_ld_fwddata_buf_lo, + output [31:0] io_ld_fwddata_buf_hi, + output io_lsu_imprecise_error_load_any, + output io_lsu_imprecise_error_store_any, + output [31:0] io_lsu_imprecise_error_addr_any, + output io_lsu_nonblock_load_valid_m, + output [1:0] io_lsu_nonblock_load_tag_m, + output io_lsu_nonblock_load_inv_r, + output [1:0] io_lsu_nonblock_load_inv_tag_r, + output io_lsu_nonblock_load_data_valid, + output io_lsu_nonblock_load_data_error, + output [1:0] io_lsu_nonblock_load_data_tag, + output [31:0] io_lsu_nonblock_load_data, + output io_lsu_pmu_bus_trxn, + output io_lsu_pmu_bus_misaligned, + output io_lsu_pmu_bus_error, + output io_lsu_pmu_bus_busy, + output io_lsu_axi_awvalid, + output [2:0] io_lsu_axi_awid, + output [31:0] io_lsu_axi_awaddr, + output [3:0] io_lsu_axi_awregion, + output [7:0] io_lsu_axi_awlen, + output [2:0] io_lsu_axi_awsize, + output [1:0] io_lsu_axi_awburst, + output io_lsu_axi_awlock, + output [3:0] io_lsu_axi_awcache, + output [2:0] io_lsu_axi_awprot, + output [3:0] io_lsu_axi_awqos, + output io_lsu_axi_wvalid, + output [63:0] io_lsu_axi_wdata, + output [7:0] io_lsu_axi_wstrb, + output io_lsu_axi_wlast, + output io_lsu_axi_bready, + output io_lsu_axi_arvalid, + output [2:0] io_lsu_axi_arid, + output [31:0] io_lsu_axi_araddr, + output [3:0] io_lsu_axi_arregion, + output [7:0] io_lsu_axi_arlen, + output [2:0] io_lsu_axi_arsize, + output [1:0] io_lsu_axi_arburst, + output io_lsu_axi_arlock, + output [3:0] io_lsu_axi_arcache, + output [2:0] io_lsu_axi_arprot, + output [3:0] io_lsu_axi_arqos, + output io_lsu_axi_rready, + output [3:0] io_test, + output [31:0] io_data_hi, + output [31:0] io_data_lo, + output [3:0] io_data_en, + output [1:0] io_Cmdptr0, + output [1:0] io_Cmdptr1, + output [1:0] io_WrPtr1_r, + output [1:0] io_WrPtr1_m, + output [63:0] io_wdata_in ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -312,11 +312,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 134:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] - reg _T_4269; // @[Reg.scala 27:20] - reg _T_4266; // @[Reg.scala 27:20] - reg _T_4263; // @[Reg.scala 27:20] - reg _T_4260; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4269,_T_4266,_T_4263,_T_4260}; // @[Cat.scala 29:58] + reg _T_4348; // @[Reg.scala 27:20] + reg _T_4345; // @[Reg.scala 27:20] + reg _T_4342; // @[Reg.scala 27:20] + reg _T_4339; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4348,_T_4345,_T_4342,_T_4339}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 136:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] @@ -359,99 +359,99 @@ module el2_lsu_bus_buffer( wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 137:98] wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 137:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] - reg [2:0] _T_4296; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 550:16] + reg [2:0] _T_4375; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4375}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4294; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 550:16] + reg [2:0] _T_4373; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4373}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4292; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 550:16] + reg [2:0] _T_4371; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4371}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4290; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 550:16] + reg [2:0] _T_4369; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4369}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 534:60] - wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 447:94] - wire _T_4016 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4039 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4043 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] - reg [1:0] _T_1774; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 392:13] - wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 489:48] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 550:60] + wire _T_2610 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] + wire _T_4095 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4118 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4122 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1853; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1853}; // @[el2_lsu_bus_buffer.scala 408:13] + wire _T_4129 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 505:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 489:104] - wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 489:104] - wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 489:91] - wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 489:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 386:54] - wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 489:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 385:55] - wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 489:148] - wire _GEN_280 = _T_4043 & _T_4055; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4039 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 447:104] - wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 447:94] - wire _T_3823 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3846 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3850 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 489:48] - wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 489:104] - wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 489:91] - wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 489:77] - wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 489:135] - wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 489:148] - wire _GEN_204 = _T_3850 & _T_3862; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3846 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 447:104] - wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 447:94] - wire _T_3630 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3653 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3657 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 489:48] - wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 489:104] - wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 489:91] - wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 489:77] - wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 489:135] - wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 489:148] - wire _GEN_128 = _T_3657 & _T_3669; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3653 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 447:104] - wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 447:94] - wire _T_3437 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3460 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3464 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 489:48] - wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 489:104] - wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 489:91] - wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 489:77] - wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 489:135] - wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 489:148] - wire _GEN_52 = _T_3464 & _T_3476; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3460 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 447:104] - wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 447:78] - wire [3:0] buf_age_3 = {_T_2533,_T_2529,_T_2525,_T_2521}; // @[Cat.scala 29:58] - wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 448:106] - wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 448:106] - wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 448:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2634,_T_2628,_T_2622}; // @[Cat.scala 29:58] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 505:104] + wire _T_4130 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 505:104] + wire _T_4131 = obuf_merge & _T_4130; // @[el2_lsu_bus_buffer.scala 505:91] + wire _T_4132 = _T_4129 | _T_4131; // @[el2_lsu_bus_buffer.scala 505:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 402:54] + wire _T_4133 = _T_4132 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 401:55] + wire _T_4134 = _T_4133 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] + wire _GEN_280 = _T_4122 & _T_4134; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4118 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4095 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2611 = _T_2610 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 463:104] + wire _T_2612 = buf_ageQ_3[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2606 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] + wire _T_3902 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3925 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3929 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3936 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 505:48] + wire _T_3937 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 505:104] + wire _T_3938 = obuf_merge & _T_3937; // @[el2_lsu_bus_buffer.scala 505:91] + wire _T_3939 = _T_3936 | _T_3938; // @[el2_lsu_bus_buffer.scala 505:77] + wire _T_3940 = _T_3939 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] + wire _T_3941 = _T_3940 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] + wire _GEN_204 = _T_3929 & _T_3941; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3925 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3902 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 463:104] + wire _T_2608 = buf_ageQ_3[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2602 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] + wire _T_3709 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3732 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3736 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3743 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 505:48] + wire _T_3744 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 505:104] + wire _T_3745 = obuf_merge & _T_3744; // @[el2_lsu_bus_buffer.scala 505:91] + wire _T_3746 = _T_3743 | _T_3745; // @[el2_lsu_bus_buffer.scala 505:77] + wire _T_3747 = _T_3746 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] + wire _T_3748 = _T_3747 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] + wire _GEN_128 = _T_3736 & _T_3748; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3732 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3709 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2603 = _T_2602 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 463:104] + wire _T_2604 = buf_ageQ_3[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2598 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] + wire _T_3516 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3539 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3543 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3550 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 505:48] + wire _T_3551 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 505:104] + wire _T_3552 = obuf_merge & _T_3551; // @[el2_lsu_bus_buffer.scala 505:91] + wire _T_3553 = _T_3550 | _T_3552; // @[el2_lsu_bus_buffer.scala 505:77] + wire _T_3554 = _T_3553 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] + wire _T_3555 = _T_3554 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] + wire _GEN_52 = _T_3543 & _T_3555; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3539 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3516 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2599 = _T_2598 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 463:104] + wire _T_2600 = buf_ageQ_3[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] + wire [3:0] buf_age_3 = {_T_2612,_T_2608,_T_2604,_T_2600}; // @[Cat.scala 29:58] + wire _T_2711 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2713 = _T_2711 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2705 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2707 = _T_2705 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2699 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2701 = _T_2699 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2713,_T_2707,_T_2701}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 204:99] @@ -460,7 +460,7 @@ module el2_lsu_bus_buffer( wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 210:51] reg ibuf_write; // @[Reg.scala 27:20] wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 210:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 278:24] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 294:24] wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 210:86] wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 210:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] @@ -469,55 +469,55 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 204:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 534:60] - wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 447:78] - wire [3:0] buf_age_2 = {_T_2514,_T_2510,_T_2506,_T_2502}; // @[Cat.scala 29:58] - wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 448:106] - wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 448:106] - wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 448:106] - wire [3:0] buf_age_younger_2 = {_T_2613,1'h0,_T_2601,_T_2595}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 550:60] + wire _T_2593 = buf_ageQ_2[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2589 = buf_ageQ_2[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2585 = buf_ageQ_2[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2581 = buf_ageQ_2[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] + wire [3:0] buf_age_2 = {_T_2593,_T_2589,_T_2585,_T_2581}; // @[Cat.scala 29:58] + wire _T_2690 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2692 = _T_2690 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2678 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2680 = _T_2678 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2672 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2674 = _T_2672 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] + wire [3:0] buf_age_younger_2 = {_T_2692,1'h0,_T_2680,_T_2674}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 534:60] - wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 447:78] - wire [3:0] buf_age_1 = {_T_2495,_T_2491,_T_2487,_T_2483}; // @[Cat.scala 29:58] - wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 448:106] - wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 448:106] - wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 448:106] - wire [3:0] buf_age_younger_1 = {_T_2586,_T_2580,1'h0,_T_2568}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 550:60] + wire _T_2574 = buf_ageQ_1[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2570 = buf_ageQ_1[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2566 = buf_ageQ_1[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2562 = buf_ageQ_1[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] + wire [3:0] buf_age_1 = {_T_2574,_T_2570,_T_2566,_T_2562}; // @[Cat.scala 29:58] + wire _T_2663 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2665 = _T_2663 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2657 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2659 = _T_2657 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2645 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2647 = _T_2645 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] + wire [3:0] buf_age_younger_1 = {_T_2665,_T_2659,1'h0,_T_2647}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 534:60] - wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 447:78] - wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 447:78] - wire [3:0] buf_age_0 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 448:106] - wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 448:106] - wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 448:91] - wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 448:106] - wire [3:0] buf_age_younger_0 = {_T_2559,_T_2553,_T_2547,1'h0}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 550:60] + wire _T_2555 = buf_ageQ_0[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2551 = buf_ageQ_0[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2547 = buf_ageQ_0[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2543 = buf_ageQ_0[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] + wire [3:0] buf_age_0 = {_T_2555,_T_2551,_T_2547,_T_2543}; // @[Cat.scala 29:58] + wire _T_2636 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2638 = _T_2636 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2630 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2632 = _T_2630 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2624 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2626 = _T_2624 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] + wire [3:0] buf_age_younger_0 = {_T_2638,_T_2632,_T_2626,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 204:99] @@ -873,1642 +873,1636 @@ module el2_lsu_bus_buffer( wire [3:0] _T_744 = io_lsu_pkt_r_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_745 = _T_742 | _T_743; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_745 | _T_744; // @[Mux.scala 27:72] - wire [7:0] _T_747 = {4'h0,ldst_byteen_r}; // @[Cat.scala 29:58] - wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 236:45] - wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 236:45] - wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 237:32] - wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 238:32] - wire [63:0] _T_749 = {32'h0,io_store_data_r}; // @[Cat.scala 29:58] - wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 239:58] - wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 239:58] - wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 239:52] - wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 239:52] - wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 240:35] - wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 241:35] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 242:40] - wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 243:74] - wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 244:26] - wire _T_758 = io_lsu_pkt_r_word & _T_755; // @[Mux.scala 27:72] - wire _T_759 = io_lsu_pkt_r_half & _T_757; // @[Mux.scala 27:72] - wire _T_761 = _T_758 | _T_759; // @[Mux.scala 27:72] - wire is_aligned_r = _T_761 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 246:55] - wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 246:34] - wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 246:79] - wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 246:77] - wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 247:36] - wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 247:56] - wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 247:54] - wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 249:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 291:59] - wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 255:62] - wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 255:48] - wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 273:54] - wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 273:75] - wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 273:88] - wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 273:124] - wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 273:101] - wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 273:147] - wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 273:145] - wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 273:170] - wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 273:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 274:20] - wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 255:98] - wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 255:82] - wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 255:80] - wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 256:5] - wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 250:44] - wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 250:42] - wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 250:61] - wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 250:115] - wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 250:95] - wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 250:74] - wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 256:16] + wire _T_748 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 237:55] + wire _T_750 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 238:55] + wire [3:0] _T_752 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_754 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 239:55] + wire [3:0] _T_756 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 240:55] + wire [3:0] _T_760 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_762 = _T_750 ? _T_752 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_763 = _T_754 ? _T_756 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_764 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_766 = _T_762 | _T_763; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_766 | _T_764; // @[Mux.scala 27:72] + wire [3:0] _T_773 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_777 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_781 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_782 = _T_748 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_783 = _T_750 ? _T_773 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_784 = _T_754 ? _T_777 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_785 = _T_758 ? _T_781 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_786 = _T_782 | _T_783; // @[Mux.scala 27:72] + wire [3:0] _T_787 = _T_786 | _T_784; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_787 | _T_785; // @[Mux.scala 27:72] + wire [31:0] _T_794 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_798 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_802 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_804 = _T_750 ? _T_794 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_805 = _T_754 ? _T_798 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_806 = _T_758 ? _T_802 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_808 = _T_804 | _T_805; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_808 | _T_806; // @[Mux.scala 27:72] + wire [31:0] _T_815 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_819 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_823 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_824 = _T_748 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_825 = _T_750 ? _T_815 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_826 = _T_754 ? _T_819 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_827 = _T_758 ? _T_823 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_828 = _T_824 | _T_825; // @[Mux.scala 27:72] + wire [31:0] _T_829 = _T_828 | _T_826; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_829 | _T_827; // @[Mux.scala 27:72] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 258:40] + wire _T_836 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 260:26] + wire _T_837 = io_lsu_pkt_r_word & _T_748; // @[Mux.scala 27:72] + wire _T_838 = io_lsu_pkt_r_half & _T_836; // @[Mux.scala 27:72] + wire _T_840 = _T_837 | _T_838; // @[Mux.scala 27:72] + wire is_aligned_r = _T_840 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] + wire _T_842 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 262:55] + wire _T_843 = io_lsu_busreq_r & _T_842; // @[el2_lsu_bus_buffer.scala 262:34] + wire _T_844 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 262:79] + wire ibuf_byp = _T_843 & _T_844; // @[el2_lsu_bus_buffer.scala 262:77] + wire _T_845 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 263:36] + wire _T_846 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 263:56] + wire ibuf_wr_en = _T_845 & _T_846; // @[el2_lsu_bus_buffer.scala 263:54] + wire _T_847 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 265:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 307:59] + wire _T_856 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 271:62] + wire _T_857 = ibuf_wr_en | _T_856; // @[el2_lsu_bus_buffer.scala 271:48] + wire _T_913 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 289:54] + wire _T_914 = _T_913 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 289:75] + wire _T_915 = _T_914 & ibuf_write; // @[el2_lsu_bus_buffer.scala 289:88] + wire _T_918 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 289:124] + wire _T_919 = _T_915 & _T_918; // @[el2_lsu_bus_buffer.scala 289:101] + wire _T_920 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 289:147] + wire _T_921 = _T_919 & _T_920; // @[el2_lsu_bus_buffer.scala 289:145] + wire _T_922 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 289:170] + wire ibuf_merge_en = _T_921 & _T_922; // @[el2_lsu_bus_buffer.scala 289:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 290:20] + wire _T_858 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 271:98] + wire _T_859 = ~_T_858; // @[el2_lsu_bus_buffer.scala 271:82] + wire _T_860 = _T_857 & _T_859; // @[el2_lsu_bus_buffer.scala 271:80] + wire _T_861 = _T_860 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 272:5] + wire _T_849 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 266:44] + wire _T_850 = io_lsu_busreq_m & _T_849; // @[el2_lsu_bus_buffer.scala 266:42] + wire _T_851 = _T_850 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 266:61] + wire _T_854 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 266:115] + wire _T_855 = io_lsu_pkt_m_load | _T_854; // @[el2_lsu_bus_buffer.scala 266:95] + wire ibuf_force_drain = _T_851 & _T_855; // @[el2_lsu_bus_buffer.scala 266:74] + wire _T_862 = _T_861 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 272:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 256:35] - wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 256:55] - wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 256:53] - wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 256:67] - wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 255:32] - wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 249:34] - wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 249:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 651:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 650:49] + wire _T_863 = _T_862 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 272:35] + wire _T_864 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 272:55] + wire _T_865 = _T_863 | _T_864; // @[el2_lsu_bus_buffer.scala 272:53] + wire _T_866 = _T_865 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 272:67] + wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 271:32] + wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 265:34] + wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 265:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 667:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 666:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 265:77] + wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 281:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 270:8] - wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 269:46] - wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 270:8] - wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 269:46] - wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 270:8] - wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 269:46] - wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 270:8] - wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 269:46] - wire [23:0] _T_827 = {_T_825,_T_818,_T_811}; // @[Cat.scala 29:58] - wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 271:59] - wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 271:93] - wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 275:65] - wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 275:63] - wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 275:96] - wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 275:48] - wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 275:96] - wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 275:48] - wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 275:96] - wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 275:48] - wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 275:96] - wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 275:48] - wire [3:0] ibuf_byteen_out = {_T_873,_T_866,_T_859,_T_852}; // @[Cat.scala 29:58] - wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 276:45] - wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 276:45] - wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 276:45] - wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 276:45] - wire [31:0] ibuf_data_out = {_T_907,_T_899,_T_891,_T_883}; // @[Cat.scala 29:58] - wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 278:28] - wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 278:63] - wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 279:89] + wire [7:0] _T_881 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_883 = _T_858 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_888 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_890 = _T_858 ? _T_888 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_895 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_897 = _T_858 ? _T_895 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_902 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_904 = _T_858 ? _T_902 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 285:46] + wire [23:0] _T_906 = {_T_904,_T_897,_T_890}; // @[Cat.scala 29:58] + wire _T_907 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 287:59] + wire [2:0] _T_910 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 287:93] + wire _T_925 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 291:65] + wire _T_926 = ibuf_merge_en & _T_925; // @[el2_lsu_bus_buffer.scala 291:63] + wire _T_929 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 291:96] + wire _T_931 = _T_926 ? _T_929 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 291:48] + wire _T_936 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 291:96] + wire _T_938 = _T_926 ? _T_936 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 291:48] + wire _T_943 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 291:96] + wire _T_945 = _T_926 ? _T_943 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 291:48] + wire _T_950 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 291:96] + wire _T_952 = _T_926 ? _T_950 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 291:48] + wire [3:0] ibuf_byteen_out = {_T_952,_T_945,_T_938,_T_931}; // @[Cat.scala 29:58] + wire [7:0] _T_962 = _T_926 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 292:45] + wire [7:0] _T_970 = _T_926 ? _T_888 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 292:45] + wire [7:0] _T_978 = _T_926 ? _T_895 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 292:45] + wire [7:0] _T_986 = _T_926 ? _T_902 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 292:45] + wire [31:0] ibuf_data_out = {_T_986,_T_978,_T_970,_T_962}; // @[Cat.scala 29:58] + wire _T_989 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 294:28] + wire _T_990 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 294:63] + wire _T_995 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 295:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4353 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 556:64] - wire _T_4354 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 556:91] - wire _T_4355 = _T_4353 & _T_4354; // @[el2_lsu_bus_buffer.scala 556:89] - wire _T_4348 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 556:64] - wire _T_4349 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 556:91] - wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 556:89] - wire [1:0] _T_4356 = _T_4355 + _T_4350; // @[el2_lsu_bus_buffer.scala 556:142] - wire _T_4343 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 556:64] - wire _T_4344 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 556:91] - wire _T_4345 = _T_4343 & _T_4344; // @[el2_lsu_bus_buffer.scala 556:89] - wire [1:0] _GEN_365 = {{1'd0}, _T_4345}; // @[el2_lsu_bus_buffer.scala 556:142] - wire [2:0] _T_4357 = _T_4356 + _GEN_365; // @[el2_lsu_bus_buffer.scala 556:142] - wire _T_4338 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 556:64] - wire _T_4339 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 556:91] - wire _T_4340 = _T_4338 & _T_4339; // @[el2_lsu_bus_buffer.scala 556:89] - wire [2:0] _GEN_366 = {{2'd0}, _T_4340}; // @[el2_lsu_bus_buffer.scala 556:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4357 + _GEN_366; // @[el2_lsu_bus_buffer.scala 556:142] - wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 302:43] - wire _T_4370 = _T_2531 & _T_4354; // @[el2_lsu_bus_buffer.scala 557:73] - wire _T_4367 = _T_2527 & _T_4349; // @[el2_lsu_bus_buffer.scala 557:73] - wire [1:0] _T_4371 = _T_4370 + _T_4367; // @[el2_lsu_bus_buffer.scala 557:126] - wire _T_4364 = _T_2523 & _T_4344; // @[el2_lsu_bus_buffer.scala 557:73] - wire [1:0] _GEN_367 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 557:126] - wire [2:0] _T_4372 = _T_4371 + _GEN_367; // @[el2_lsu_bus_buffer.scala 557:126] - wire _T_4361 = _T_2519 & _T_4339; // @[el2_lsu_bus_buffer.scala 557:73] - wire [2:0] _GEN_368 = {{2'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 557:126] - wire [3:0] buf_numvld_cmd_any = _T_4372 + _GEN_368; // @[el2_lsu_bus_buffer.scala 557:126] - wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 302:72] - wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 302:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 401:54] - wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 302:97] - wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 302:80] - wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 302:114] + wire _T_4429 = buf_write[3] & _T_2610; // @[el2_lsu_bus_buffer.scala 572:64] + wire _T_4430 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 572:91] + wire _T_4431 = _T_4429 & _T_4430; // @[el2_lsu_bus_buffer.scala 572:89] + wire _T_4424 = buf_write[2] & _T_2606; // @[el2_lsu_bus_buffer.scala 572:64] + wire _T_4425 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 572:91] + wire _T_4426 = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 572:89] + wire [1:0] _T_4432 = _T_4431 + _T_4426; // @[el2_lsu_bus_buffer.scala 572:142] + wire _T_4419 = buf_write[1] & _T_2602; // @[el2_lsu_bus_buffer.scala 572:64] + wire _T_4420 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 572:91] + wire _T_4421 = _T_4419 & _T_4420; // @[el2_lsu_bus_buffer.scala 572:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4421}; // @[el2_lsu_bus_buffer.scala 572:142] + wire [2:0] _T_4433 = _T_4432 + _GEN_362; // @[el2_lsu_bus_buffer.scala 572:142] + wire _T_4414 = buf_write[0] & _T_2598; // @[el2_lsu_bus_buffer.scala 572:64] + wire _T_4415 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 572:91] + wire _T_4416 = _T_4414 & _T_4415; // @[el2_lsu_bus_buffer.scala 572:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4416}; // @[el2_lsu_bus_buffer.scala 572:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4433 + _GEN_363; // @[el2_lsu_bus_buffer.scala 572:142] + wire _T_1021 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 318:43] + wire _T_4446 = _T_2610 & _T_4430; // @[el2_lsu_bus_buffer.scala 573:73] + wire _T_4443 = _T_2606 & _T_4425; // @[el2_lsu_bus_buffer.scala 573:73] + wire [1:0] _T_4447 = _T_4446 + _T_4443; // @[el2_lsu_bus_buffer.scala 573:126] + wire _T_4440 = _T_2602 & _T_4420; // @[el2_lsu_bus_buffer.scala 573:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4440}; // @[el2_lsu_bus_buffer.scala 573:126] + wire [2:0] _T_4448 = _T_4447 + _GEN_364; // @[el2_lsu_bus_buffer.scala 573:126] + wire _T_4437 = _T_2598 & _T_4415; // @[el2_lsu_bus_buffer.scala 573:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4437}; // @[el2_lsu_bus_buffer.scala 573:126] + wire [3:0] buf_numvld_cmd_any = _T_4448 + _GEN_365; // @[el2_lsu_bus_buffer.scala 573:126] + wire _T_1022 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 318:72] + wire _T_1023 = _T_1021 & _T_1022; // @[el2_lsu_bus_buffer.scala 318:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 417:54] + wire _T_1024 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 318:97] + wire _T_1025 = _T_1023 & _T_1024; // @[el2_lsu_bus_buffer.scala 318:80] + wire _T_1027 = _T_1025 & _T_922; // @[el2_lsu_bus_buffer.scala 318:114] reg buf_nomerge_0; // @[Reg.scala 27:20] reg buf_nomerge_1; // @[Reg.scala 27:20] reg buf_nomerge_2; // @[Reg.scala 27:20] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 303:31] - wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 303:29] - reg _T_4239; // @[Reg.scala 27:20] - reg _T_4236; // @[Reg.scala 27:20] - reg _T_4233; // @[Reg.scala 27:20] - reg _T_4230; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4239,_T_4236,_T_4233,_T_4230}; // @[Cat.scala 29:58] - wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 304:5] - wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 303:140] - wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 306:58] - wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 306:72] - wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 306:123] - wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 306:101] - wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 304:119] - wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 304:117] - wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 305:75] - wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 305:95] - wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 305:79] - wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 305:121] - wire _T_4389 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 558:63] - wire _T_4393 = _T_4389 | _T_4370; // @[el2_lsu_bus_buffer.scala 558:74] - wire _T_4384 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 558:63] - wire _T_4388 = _T_4384 | _T_4367; // @[el2_lsu_bus_buffer.scala 558:74] - wire [1:0] _T_4394 = _T_4393 + _T_4388; // @[el2_lsu_bus_buffer.scala 558:154] - wire _T_4379 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 558:63] - wire _T_4383 = _T_4379 | _T_4364; // @[el2_lsu_bus_buffer.scala 558:74] - wire [1:0] _GEN_369 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 558:154] - wire [2:0] _T_4395 = _T_4394 + _GEN_369; // @[el2_lsu_bus_buffer.scala 558:154] - wire _T_4374 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 558:63] - wire _T_4378 = _T_4374 | _T_4361; // @[el2_lsu_bus_buffer.scala 558:74] - wire [2:0] _GEN_370 = {{2'd0}, _T_4378}; // @[el2_lsu_bus_buffer.scala 558:154] - wire [3:0] buf_numvld_pend_any = _T_4395 + _GEN_370; // @[el2_lsu_bus_buffer.scala 558:154] - wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 308:53] - wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 308:31] - wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 308:64] - wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 308:84] - wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 308:61] - wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 323:32] - wire _T_4685 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 587:62] - wire _T_4687 = _T_4685 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 587:73] - wire _T_4688 = _T_4687 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 587:93] - wire _T_4689 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 587:62] - wire _T_4691 = _T_4689 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 587:73] - wire _T_4692 = _T_4691 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 587:93] - wire _T_4701 = _T_4688 | _T_4692; // @[el2_lsu_bus_buffer.scala 587:141] - wire _T_4693 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 587:62] - wire _T_4695 = _T_4693 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 587:73] - wire _T_4696 = _T_4695 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 587:93] - wire _T_4702 = _T_4701 | _T_4696; // @[el2_lsu_bus_buffer.scala 587:141] - wire _T_4697 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 587:62] - wire _T_4699 = _T_4697 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 587:73] - wire _T_4700 = _T_4699 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 587:93] - wire bus_sideeffect_pend = _T_4702 | _T_4700; // @[el2_lsu_bus_buffer.scala 587:141] - wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 323:74] - wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 323:52] - wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 323:50] - wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 413:58] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 413:45] - wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 413:63] - wire _T_1909 = _T_1907 & _T_4354; // @[el2_lsu_bus_buffer.scala 413:88] - wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 413:58] - wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 413:45] - wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 413:63] - wire _T_1903 = _T_1901 & _T_4349; // @[el2_lsu_bus_buffer.scala 413:88] - wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 413:58] - wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 413:45] - wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 413:63] - wire _T_1897 = _T_1895 & _T_4344; // @[el2_lsu_bus_buffer.scala 413:88] - wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 413:58] - wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 413:45] - wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 413:63] - wire _T_1891 = _T_1889 & _T_4339; // @[el2_lsu_bus_buffer.scala 413:88] - wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 418:31] - wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 324:47] - wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 325:23] - wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 325:21] - wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 325:141] - wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 325:105] - wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 325:103] + wire _T_1040 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 319:31] + wire _T_1041 = _T_1027 & _T_1040; // @[el2_lsu_bus_buffer.scala 319:29] + reg _T_4318; // @[Reg.scala 27:20] + reg _T_4315; // @[Reg.scala 27:20] + reg _T_4312; // @[Reg.scala 27:20] + reg _T_4309; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4318,_T_4315,_T_4312,_T_4309}; // @[Cat.scala 29:58] + wire _T_1058 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 320:5] + wire _T_1059 = _T_1041 & _T_1058; // @[el2_lsu_bus_buffer.scala 319:140] + wire _T_1070 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 322:58] + wire _T_1072 = _T_1070 & _T_1022; // @[el2_lsu_bus_buffer.scala 322:72] + wire _T_1090 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 322:123] + wire obuf_force_wr_en = _T_1072 & _T_1090; // @[el2_lsu_bus_buffer.scala 322:101] + wire _T_1060 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 320:119] + wire obuf_wr_wait = _T_1059 & _T_1060; // @[el2_lsu_bus_buffer.scala 320:117] + wire _T_1061 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 321:75] + wire _T_1062 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 321:95] + wire _T_1063 = _T_1061 & _T_1062; // @[el2_lsu_bus_buffer.scala 321:79] + wire [2:0] _T_1065 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 321:121] + wire _T_4465 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] + wire _T_4469 = _T_4465 | _T_4446; // @[el2_lsu_bus_buffer.scala 574:74] + wire _T_4460 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] + wire _T_4464 = _T_4460 | _T_4443; // @[el2_lsu_bus_buffer.scala 574:74] + wire [1:0] _T_4470 = _T_4469 + _T_4464; // @[el2_lsu_bus_buffer.scala 574:154] + wire _T_4455 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] + wire _T_4459 = _T_4455 | _T_4440; // @[el2_lsu_bus_buffer.scala 574:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4459}; // @[el2_lsu_bus_buffer.scala 574:154] + wire [2:0] _T_4471 = _T_4470 + _GEN_366; // @[el2_lsu_bus_buffer.scala 574:154] + wire _T_4450 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] + wire _T_4454 = _T_4450 | _T_4437; // @[el2_lsu_bus_buffer.scala 574:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4454}; // @[el2_lsu_bus_buffer.scala 574:154] + wire [3:0] buf_numvld_pend_any = _T_4471 + _GEN_367; // @[el2_lsu_bus_buffer.scala 574:154] + wire _T_1092 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 324:53] + wire _T_1093 = ibuf_byp & _T_1092; // @[el2_lsu_bus_buffer.scala 324:31] + wire _T_1094 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 324:64] + wire _T_1095 = _T_1094 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 324:84] + wire ibuf_buf_byp = _T_1093 & _T_1095; // @[el2_lsu_bus_buffer.scala 324:61] + wire _T_1096 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 339:32] + wire _T_4761 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] + wire _T_4763 = _T_4761 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 603:73] + wire _T_4764 = _T_4763 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] + wire _T_4765 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] + wire _T_4767 = _T_4765 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 603:73] + wire _T_4768 = _T_4767 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] + wire _T_4777 = _T_4764 | _T_4768; // @[el2_lsu_bus_buffer.scala 603:141] + wire _T_4769 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] + wire _T_4771 = _T_4769 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 603:73] + wire _T_4772 = _T_4771 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] + wire _T_4778 = _T_4777 | _T_4772; // @[el2_lsu_bus_buffer.scala 603:141] + wire _T_4773 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] + wire _T_4775 = _T_4773 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 603:73] + wire _T_4776 = _T_4775 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] + wire bus_sideeffect_pend = _T_4778 | _T_4776; // @[el2_lsu_bus_buffer.scala 603:141] + wire _T_1097 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 339:74] + wire _T_1098 = ~_T_1097; // @[el2_lsu_bus_buffer.scala 339:52] + wire _T_1099 = _T_1096 & _T_1098; // @[el2_lsu_bus_buffer.scala 339:50] + wire _T_1983 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 429:58] + wire _T_1984 = ~_T_1983; // @[el2_lsu_bus_buffer.scala 429:45] + wire _T_1986 = _T_1984 & _T_2610; // @[el2_lsu_bus_buffer.scala 429:63] + wire _T_1988 = _T_1986 & _T_4430; // @[el2_lsu_bus_buffer.scala 429:88] + wire _T_1977 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 429:58] + wire _T_1978 = ~_T_1977; // @[el2_lsu_bus_buffer.scala 429:45] + wire _T_1980 = _T_1978 & _T_2606; // @[el2_lsu_bus_buffer.scala 429:63] + wire _T_1982 = _T_1980 & _T_4425; // @[el2_lsu_bus_buffer.scala 429:88] + wire _T_1971 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 429:58] + wire _T_1972 = ~_T_1971; // @[el2_lsu_bus_buffer.scala 429:45] + wire _T_1974 = _T_1972 & _T_2602; // @[el2_lsu_bus_buffer.scala 429:63] + wire _T_1976 = _T_1974 & _T_4420; // @[el2_lsu_bus_buffer.scala 429:88] + wire _T_1965 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 429:58] + wire _T_1966 = ~_T_1965; // @[el2_lsu_bus_buffer.scala 429:45] + wire _T_1968 = _T_1966 & _T_2598; // @[el2_lsu_bus_buffer.scala 429:63] + wire _T_1970 = _T_1968 & _T_4415; // @[el2_lsu_bus_buffer.scala 429:88] + wire [3:0] CmdPtr0Dec = {_T_1988,_T_1982,_T_1976,_T_1970}; // @[Cat.scala 29:58] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 434:31] + wire _T_1113 = _T_2598 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 340:47] + wire [3:0] _T_1116 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1133 = ~_T_1116[0]; // @[el2_lsu_bus_buffer.scala 341:23] + wire _T_1134 = _T_1113 & _T_1133; // @[el2_lsu_bus_buffer.scala 341:21] + wire _T_1151 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 341:141] + wire _T_1152 = ~_T_1151; // @[el2_lsu_bus_buffer.scala 341:105] + wire _T_1153 = _T_1134 & _T_1152; // @[el2_lsu_bus_buffer.scala 341:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] reg buf_dual_0; // @[Reg.scala 27:20] - wire [3:0] _T_1077 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire [3:0] _T_1156 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] reg buf_samedw_3; // @[Reg.scala 27:20] reg buf_samedw_2; // @[Reg.scala 27:20] reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] - wire [3:0] _T_1096 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 326:77] - wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 326:150] - wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 326:148] - wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 326:8] - wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 414:62] - wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 414:59] - wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 414:76] - wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 414:45] - wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 414:83] - wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 414:81] - wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 414:98] - wire _T_1955 = _T_1953 & _T_4354; // @[el2_lsu_bus_buffer.scala 414:123] - wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 414:59] - wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 414:76] - wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 414:45] - wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 414:83] - wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 414:81] - wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 414:98] - wire _T_1944 = _T_1942 & _T_4349; // @[el2_lsu_bus_buffer.scala 414:123] - wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 414:59] - wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 414:76] - wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 414:45] - wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 414:83] - wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 414:81] - wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 414:98] - wire _T_1933 = _T_1931 & _T_4344; // @[el2_lsu_bus_buffer.scala 414:123] - wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 414:59] - wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 414:76] - wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 414:45] - wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 414:83] - wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 414:81] - wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 414:98] - wire _T_1922 = _T_1920 & _T_4339; // @[el2_lsu_bus_buffer.scala 414:123] - wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 419:31] - wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 326:181] - wire [3:0] _T_1136 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 326:197] - wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 326:269] - wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 325:164] - wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 323:98] + wire [3:0] _T_1175 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1192 = _T_1156[0] & _T_1175[0]; // @[el2_lsu_bus_buffer.scala 342:77] + wire _T_1209 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 342:150] + wire _T_1210 = _T_1192 & _T_1209; // @[el2_lsu_bus_buffer.scala 342:148] + wire _T_1211 = ~_T_1210; // @[el2_lsu_bus_buffer.scala 342:8] + wire [3:0] _T_2024 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 430:62] + wire [3:0] _T_2025 = buf_age_3 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] + wire _T_2026 = |_T_2025; // @[el2_lsu_bus_buffer.scala 430:76] + wire _T_2027 = ~_T_2026; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_2029 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 430:83] + wire _T_2030 = _T_2027 & _T_2029; // @[el2_lsu_bus_buffer.scala 430:81] + wire _T_2032 = _T_2030 & _T_2610; // @[el2_lsu_bus_buffer.scala 430:98] + wire _T_2034 = _T_2032 & _T_4430; // @[el2_lsu_bus_buffer.scala 430:123] + wire [3:0] _T_2014 = buf_age_2 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] + wire _T_2015 = |_T_2014; // @[el2_lsu_bus_buffer.scala 430:76] + wire _T_2016 = ~_T_2015; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_2018 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 430:83] + wire _T_2019 = _T_2016 & _T_2018; // @[el2_lsu_bus_buffer.scala 430:81] + wire _T_2021 = _T_2019 & _T_2606; // @[el2_lsu_bus_buffer.scala 430:98] + wire _T_2023 = _T_2021 & _T_4425; // @[el2_lsu_bus_buffer.scala 430:123] + wire [3:0] _T_2003 = buf_age_1 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] + wire _T_2004 = |_T_2003; // @[el2_lsu_bus_buffer.scala 430:76] + wire _T_2005 = ~_T_2004; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_2007 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 430:83] + wire _T_2008 = _T_2005 & _T_2007; // @[el2_lsu_bus_buffer.scala 430:81] + wire _T_2010 = _T_2008 & _T_2602; // @[el2_lsu_bus_buffer.scala 430:98] + wire _T_2012 = _T_2010 & _T_4420; // @[el2_lsu_bus_buffer.scala 430:123] + wire [3:0] _T_1992 = buf_age_0 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] + wire _T_1993 = |_T_1992; // @[el2_lsu_bus_buffer.scala 430:76] + wire _T_1994 = ~_T_1993; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_1996 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 430:83] + wire _T_1997 = _T_1994 & _T_1996; // @[el2_lsu_bus_buffer.scala 430:81] + wire _T_1999 = _T_1997 & _T_2598; // @[el2_lsu_bus_buffer.scala 430:98] + wire _T_2001 = _T_1999 & _T_4415; // @[el2_lsu_bus_buffer.scala 430:123] + wire [3:0] CmdPtr1Dec = {_T_2034,_T_2023,_T_2012,_T_2001}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 435:31] + wire _T_1212 = _T_1211 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 342:181] + wire [3:0] _T_1215 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1232 = _T_1212 | _T_1215[0]; // @[el2_lsu_bus_buffer.scala 342:197] + wire _T_1233 = _T_1232 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 342:269] + wire _T_1234 = _T_1153 & _T_1233; // @[el2_lsu_bus_buffer.scala 341:164] + wire _T_1235 = _T_1099 | _T_1234; // @[el2_lsu_bus_buffer.scala 339:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 388:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 389:55] - wire _T_4760 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 591:54] - wire _T_4761 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 591:75] - wire _T_4763 = _T_4760 ? _T_4761 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 591:39] - wire bus_cmd_ready = obuf_write ? _T_4763 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 591:23] - wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 327:48] - wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 327:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 404:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 405:55] + wire _T_4836 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 607:54] + wire _T_4837 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 607:75] + wire _T_4839 = _T_4836 ? _T_4837 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 607:39] + wire bus_cmd_ready = obuf_write ? _T_4839 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 607:23] + wire _T_1236 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 343:48] + wire _T_1237 = bus_cmd_ready | _T_1236; // @[el2_lsu_bus_buffer.scala 343:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 327:60] - wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 327:29] - wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 327:77] - wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 327:75] + wire _T_1238 = _T_1237 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 343:60] + wire _T_1239 = _T_1235 & _T_1238; // @[el2_lsu_bus_buffer.scala 343:29] + wire _T_1240 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 343:77] + wire _T_1241 = _T_1239 & _T_1240; // @[el2_lsu_bus_buffer.scala 343:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4708 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 589:56] - wire _T_4709 = obuf_valid & _T_4708; // @[el2_lsu_bus_buffer.scala 589:38] - wire _T_4711 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 589:126] - wire _T_4712 = obuf_merge & _T_4711; // @[el2_lsu_bus_buffer.scala 589:114] - wire _T_4713 = _T_3471 | _T_4712; // @[el2_lsu_bus_buffer.scala 589:100] - wire _T_4714 = ~_T_4713; // @[el2_lsu_bus_buffer.scala 589:80] - wire _T_4715 = _T_4709 & _T_4714; // @[el2_lsu_bus_buffer.scala 589:78] - wire _T_4752 = _T_4685 & _T_4715; // @[Mux.scala 27:72] - wire _T_4720 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 589:56] - wire _T_4721 = obuf_valid & _T_4720; // @[el2_lsu_bus_buffer.scala 589:38] - wire _T_4723 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 589:126] - wire _T_4724 = obuf_merge & _T_4723; // @[el2_lsu_bus_buffer.scala 589:114] - wire _T_4725 = _T_3664 | _T_4724; // @[el2_lsu_bus_buffer.scala 589:100] - wire _T_4726 = ~_T_4725; // @[el2_lsu_bus_buffer.scala 589:80] - wire _T_4727 = _T_4721 & _T_4726; // @[el2_lsu_bus_buffer.scala 589:78] - wire _T_4753 = _T_4689 & _T_4727; // @[Mux.scala 27:72] - wire _T_4756 = _T_4752 | _T_4753; // @[Mux.scala 27:72] - wire _T_4732 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 589:56] - wire _T_4733 = obuf_valid & _T_4732; // @[el2_lsu_bus_buffer.scala 589:38] - wire _T_4735 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 589:126] - wire _T_4736 = obuf_merge & _T_4735; // @[el2_lsu_bus_buffer.scala 589:114] - wire _T_4737 = _T_3857 | _T_4736; // @[el2_lsu_bus_buffer.scala 589:100] - wire _T_4738 = ~_T_4737; // @[el2_lsu_bus_buffer.scala 589:80] - wire _T_4739 = _T_4733 & _T_4738; // @[el2_lsu_bus_buffer.scala 589:78] - wire _T_4754 = _T_4693 & _T_4739; // @[Mux.scala 27:72] - wire _T_4757 = _T_4756 | _T_4754; // @[Mux.scala 27:72] - wire _T_4744 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 589:56] - wire _T_4745 = obuf_valid & _T_4744; // @[el2_lsu_bus_buffer.scala 589:38] - wire _T_4747 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 589:126] - wire _T_4748 = obuf_merge & _T_4747; // @[el2_lsu_bus_buffer.scala 589:114] - wire _T_4749 = _T_4050 | _T_4748; // @[el2_lsu_bus_buffer.scala 589:100] - wire _T_4750 = ~_T_4749; // @[el2_lsu_bus_buffer.scala 589:80] - wire _T_4751 = _T_4745 & _T_4750; // @[el2_lsu_bus_buffer.scala 589:78] - wire _T_4755 = _T_4697 & _T_4751; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4757 | _T_4755; // @[Mux.scala 27:72] - wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 327:118] - wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 327:116] - wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 327:142] - wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 329:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 592:39] - wire _T_4767 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 594:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 593:39] - wire _T_4768 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 594:70] - wire _T_4769 = _T_4767 & _T_4768; // @[el2_lsu_bus_buffer.scala 594:52] - wire _T_4770 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 594:111] - wire bus_cmd_sent = _T_4769 | _T_4770; // @[el2_lsu_bus_buffer.scala 594:89] - wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 329:33] - wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 329:65] - wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 329:63] - wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 329:77] - wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 329:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 330:26] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 332:25] + wire _T_4784 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] + wire _T_4785 = obuf_valid & _T_4784; // @[el2_lsu_bus_buffer.scala 605:38] + wire _T_4787 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 605:126] + wire _T_4788 = obuf_merge & _T_4787; // @[el2_lsu_bus_buffer.scala 605:114] + wire _T_4789 = _T_3550 | _T_4788; // @[el2_lsu_bus_buffer.scala 605:100] + wire _T_4790 = ~_T_4789; // @[el2_lsu_bus_buffer.scala 605:80] + wire _T_4791 = _T_4785 & _T_4790; // @[el2_lsu_bus_buffer.scala 605:78] + wire _T_4828 = _T_4761 & _T_4791; // @[Mux.scala 27:72] + wire _T_4796 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] + wire _T_4797 = obuf_valid & _T_4796; // @[el2_lsu_bus_buffer.scala 605:38] + wire _T_4799 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 605:126] + wire _T_4800 = obuf_merge & _T_4799; // @[el2_lsu_bus_buffer.scala 605:114] + wire _T_4801 = _T_3743 | _T_4800; // @[el2_lsu_bus_buffer.scala 605:100] + wire _T_4802 = ~_T_4801; // @[el2_lsu_bus_buffer.scala 605:80] + wire _T_4803 = _T_4797 & _T_4802; // @[el2_lsu_bus_buffer.scala 605:78] + wire _T_4829 = _T_4765 & _T_4803; // @[Mux.scala 27:72] + wire _T_4832 = _T_4828 | _T_4829; // @[Mux.scala 27:72] + wire _T_4808 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] + wire _T_4809 = obuf_valid & _T_4808; // @[el2_lsu_bus_buffer.scala 605:38] + wire _T_4811 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 605:126] + wire _T_4812 = obuf_merge & _T_4811; // @[el2_lsu_bus_buffer.scala 605:114] + wire _T_4813 = _T_3936 | _T_4812; // @[el2_lsu_bus_buffer.scala 605:100] + wire _T_4814 = ~_T_4813; // @[el2_lsu_bus_buffer.scala 605:80] + wire _T_4815 = _T_4809 & _T_4814; // @[el2_lsu_bus_buffer.scala 605:78] + wire _T_4830 = _T_4769 & _T_4815; // @[Mux.scala 27:72] + wire _T_4833 = _T_4832 | _T_4830; // @[Mux.scala 27:72] + wire _T_4820 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] + wire _T_4821 = obuf_valid & _T_4820; // @[el2_lsu_bus_buffer.scala 605:38] + wire _T_4823 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 605:126] + wire _T_4824 = obuf_merge & _T_4823; // @[el2_lsu_bus_buffer.scala 605:114] + wire _T_4825 = _T_4129 | _T_4824; // @[el2_lsu_bus_buffer.scala 605:100] + wire _T_4826 = ~_T_4825; // @[el2_lsu_bus_buffer.scala 605:80] + wire _T_4827 = _T_4821 & _T_4826; // @[el2_lsu_bus_buffer.scala 605:78] + wire _T_4831 = _T_4773 & _T_4827; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4833 | _T_4831; // @[Mux.scala 27:72] + wire _T_1244 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 343:118] + wire _T_1245 = _T_1241 & _T_1244; // @[el2_lsu_bus_buffer.scala 343:116] + wire obuf_wr_en = _T_1245 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 343:142] + wire _T_1247 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 345:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:39] + wire _T_4843 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 610:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 609:39] + wire _T_4844 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 610:70] + wire _T_4845 = _T_4843 & _T_4844; // @[el2_lsu_bus_buffer.scala 610:52] + wire _T_4846 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 610:111] + wire bus_cmd_sent = _T_4845 | _T_4846; // @[el2_lsu_bus_buffer.scala 610:89] + wire _T_1248 = bus_cmd_sent | _T_1247; // @[el2_lsu_bus_buffer.scala 345:33] + wire _T_1249 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 345:65] + wire _T_1250 = _T_1248 & _T_1249; // @[el2_lsu_bus_buffer.scala 345:63] + wire _T_1251 = _T_1250 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 345:77] + wire obuf_rst = _T_1251 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 345:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 346:26] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 348:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 335:23] - wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 344:39] - wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 344:26] - wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 348:72] - wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 348:98] - wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 348:96] - wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 348:79] - wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 348:153] - wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 348:134] - wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 348:132] - wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 348:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 348:28] - wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 362:40] - wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 362:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 351:23] + wire _T_1309 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 360:39] + wire _T_1310 = ~_T_1309; // @[el2_lsu_bus_buffer.scala 360:26] + wire _T_1316 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 364:72] + wire _T_1319 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 364:98] + wire _T_1320 = obuf_sz_in[0] & _T_1319; // @[el2_lsu_bus_buffer.scala 364:96] + wire _T_1321 = _T_1316 | _T_1320; // @[el2_lsu_bus_buffer.scala 364:79] + wire _T_1324 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 364:153] + wire _T_1325 = ~_T_1324; // @[el2_lsu_bus_buffer.scala 364:134] + wire _T_1326 = obuf_sz_in[1] & _T_1325; // @[el2_lsu_bus_buffer.scala 364:132] + wire _T_1327 = _T_1321 | _T_1326; // @[el2_lsu_bus_buffer.scala 364:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1327; // @[el2_lsu_bus_buffer.scala 364:28] + wire _T_1344 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 378:40] + wire _T_1345 = _T_1344 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 378:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 362:80] - wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 362:78] - wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 362:99] - wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 362:97] - wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 362:113] - wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 362:111] - wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 362:130] - wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 362:128] - wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 363:20] - wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 363:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 390:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 595:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 391:55] - wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 363:90] - wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 363:70] - wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 363:55] - wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 363:53] - wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 363:34] - wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 362:165] - wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 356:44] - wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 356:42] - wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 356:29] - wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 356:61] - wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 356:79] - wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 357:20] - wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 357:37] - wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 357:35] - wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 359:44] - wire [7:0] _T_1284 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1285 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 364:46] - wire [7:0] _T_1313 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1326 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 365:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 364:28] - wire [7:0] _T_1329 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1330 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 366:46] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 366:28] - wire [63:0] _T_1374 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1375 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1376 = io_lsu_addr_r[2] ? _T_1374 : _T_1375; // @[el2_lsu_bus_buffer.scala 369:44] - wire [63:0] _T_1403 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1416 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 370:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1376 : _T_1417; // @[el2_lsu_bus_buffer.scala 369:26] - wire [63:0] _T_1419 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1420 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 371:44] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 371:26] + wire _T_1346 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 378:80] + wire _T_1347 = _T_1345 & _T_1346; // @[el2_lsu_bus_buffer.scala 378:78] + wire _T_1348 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 378:99] + wire _T_1349 = _T_1347 & _T_1348; // @[el2_lsu_bus_buffer.scala 378:97] + wire _T_1350 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 378:113] + wire _T_1351 = _T_1349 & _T_1350; // @[el2_lsu_bus_buffer.scala 378:111] + wire _T_1352 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 378:130] + wire _T_1353 = _T_1351 & _T_1352; // @[el2_lsu_bus_buffer.scala 378:128] + wire _T_1354 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 379:20] + wire _T_1355 = obuf_valid & _T_1354; // @[el2_lsu_bus_buffer.scala 379:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 406:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 611:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 407:55] + wire _T_1356 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 379:90] + wire _T_1357 = bus_rsp_read & _T_1356; // @[el2_lsu_bus_buffer.scala 379:70] + wire _T_1358 = ~_T_1357; // @[el2_lsu_bus_buffer.scala 379:55] + wire _T_1359 = obuf_rdrsp_pend & _T_1358; // @[el2_lsu_bus_buffer.scala 379:53] + wire _T_1360 = _T_1355 | _T_1359; // @[el2_lsu_bus_buffer.scala 379:34] + wire obuf_nosend_in = _T_1353 & _T_1360; // @[el2_lsu_bus_buffer.scala 378:165] + wire _T_1328 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 372:44] + wire _T_1329 = obuf_wr_en & _T_1328; // @[el2_lsu_bus_buffer.scala 372:42] + wire _T_1330 = ~_T_1329; // @[el2_lsu_bus_buffer.scala 372:29] + wire _T_1331 = _T_1330 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 372:61] + wire _T_1335 = _T_1331 & _T_1358; // @[el2_lsu_bus_buffer.scala 372:79] + wire _T_1337 = bus_cmd_sent & _T_1348; // @[el2_lsu_bus_buffer.scala 373:20] + wire _T_1338 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 373:37] + wire _T_1339 = _T_1337 & _T_1338; // @[el2_lsu_bus_buffer.scala 373:35] + wire _T_1341 = bus_cmd_sent | _T_1348; // @[el2_lsu_bus_buffer.scala 375:44] + wire [7:0] _T_1363 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1364 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1365 = io_lsu_addr_r[2] ? _T_1363 : _T_1364; // @[el2_lsu_bus_buffer.scala 380:46] + wire [7:0] _T_1392 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1405 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] + wire [7:0] _T_1406 = buf_addr_0[2] ? _T_1392 : _T_1405; // @[el2_lsu_bus_buffer.scala 381:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1365 : _T_1406; // @[el2_lsu_bus_buffer.scala 380:28] + wire [7:0] _T_1408 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1409 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1410 = io_end_addr_r[2] ? _T_1408 : _T_1409; // @[el2_lsu_bus_buffer.scala 382:46] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1410 : _T_1406; // @[el2_lsu_bus_buffer.scala 382:28] + wire [63:0] _T_1453 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1454 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1455 = io_lsu_addr_r[2] ? _T_1453 : _T_1454; // @[el2_lsu_bus_buffer.scala 385:44] + wire [63:0] _T_1482 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1495 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] + wire [63:0] _T_1496 = buf_addr_0[2] ? _T_1482 : _T_1495; // @[el2_lsu_bus_buffer.scala 386:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1455 : _T_1496; // @[el2_lsu_bus_buffer.scala 385:26] + wire [63:0] _T_1498 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1499 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1500 = io_lsu_addr_r[2] ? _T_1498 : _T_1499; // @[el2_lsu_bus_buffer.scala 387:44] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1500 : _T_1496; // @[el2_lsu_bus_buffer.scala 387:26] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 383:19] - wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 383:35] - wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 373:80] - wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 373:63] - wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 373:80] - wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 373:63] - wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 373:80] - wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 373:63] - wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 373:80] - wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 373:63] - wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 373:80] - wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 373:63] - wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 373:80] - wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 373:63] - wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 373:80] - wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 373:63] - wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 373:80] - wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 373:63] - wire [7:0] obuf_byteen_in = {_T_1494,_T_1490,_T_1486,_T_1482,_T_1478,_T_1474,_T_1470,_T_1466}; // @[Cat.scala 29:58] - wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 374:44] - wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 374:44] - wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 374:44] - wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 374:44] - wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 374:44] - wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 374:44] - wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 374:44] - wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 374:44] - wire [55:0] _T_1546 = {_T_1540,_T_1535,_T_1530,_T_1525,_T_1520,_T_1515,_T_1510}; // @[Cat.scala 29:58] - wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 386:58] - wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 386:93] + wire _T_1841 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 399:19] + wire obuf_merge_en = _T_1841 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 399:35] + wire _T_1544 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 389:80] + wire _T_1545 = obuf_byteen0_in[0] | _T_1544; // @[el2_lsu_bus_buffer.scala 389:63] + wire _T_1548 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 389:80] + wire _T_1549 = obuf_byteen0_in[1] | _T_1548; // @[el2_lsu_bus_buffer.scala 389:63] + wire _T_1552 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 389:80] + wire _T_1553 = obuf_byteen0_in[2] | _T_1552; // @[el2_lsu_bus_buffer.scala 389:63] + wire _T_1556 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 389:80] + wire _T_1557 = obuf_byteen0_in[3] | _T_1556; // @[el2_lsu_bus_buffer.scala 389:63] + wire _T_1560 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 389:80] + wire _T_1561 = obuf_byteen0_in[4] | _T_1560; // @[el2_lsu_bus_buffer.scala 389:63] + wire _T_1564 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 389:80] + wire _T_1565 = obuf_byteen0_in[5] | _T_1564; // @[el2_lsu_bus_buffer.scala 389:63] + wire _T_1568 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 389:80] + wire _T_1569 = obuf_byteen0_in[6] | _T_1568; // @[el2_lsu_bus_buffer.scala 389:63] + wire _T_1572 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 389:80] + wire _T_1573 = obuf_byteen0_in[7] | _T_1572; // @[el2_lsu_bus_buffer.scala 389:63] + wire [7:0] obuf_byteen_in = {_T_1573,_T_1569,_T_1565,_T_1561,_T_1557,_T_1553,_T_1549,_T_1545}; // @[Cat.scala 29:58] + wire [7:0] _T_1584 = _T_1544 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 390:44] + wire [7:0] _T_1589 = _T_1548 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 390:44] + wire [7:0] _T_1594 = _T_1552 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 390:44] + wire [7:0] _T_1599 = _T_1556 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 390:44] + wire [7:0] _T_1604 = _T_1560 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 390:44] + wire [7:0] _T_1609 = _T_1564 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 390:44] + wire [7:0] _T_1614 = _T_1568 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 390:44] + wire [7:0] _T_1619 = _T_1572 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 390:44] + wire [55:0] _T_1625 = {_T_1619,_T_1614,_T_1609,_T_1604,_T_1599,_T_1594,_T_1589}; // @[Cat.scala 29:58] + wire _T_1844 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 402:58] + wire _T_1845 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 402:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 403:59] - wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 403:97] - wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 403:86] - wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 404:33] - wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 404:22] - wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 403:106] - wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 404:72] - wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 404:60] - wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 404:42] - wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 403:72] - wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 403:70] - wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 403:59] - wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 403:97] - wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 403:86] - wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 404:33] - wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 404:22] - wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 403:106] - wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 404:72] - wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 404:60] - wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 404:42] - wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 403:72] - wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 403:70] - wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 403:59] - wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 403:97] - wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 403:86] - wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 404:33] - wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 404:22] - wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 403:106] - wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 404:72] - wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 404:60] - wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 404:42] - wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 403:72] - wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 403:70] - wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 403:59] - wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 403:97] - wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 403:86] - wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 404:33] - wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 404:22] - wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 403:106] - wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 404:72] - wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 404:60] - wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 404:42] - wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 403:72] - wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 403:70] - wire [1:0] _T_1823 = _T_1822 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1824 = _T_1811 ? 2'h2 : _T_1823; // @[Mux.scala 98:16] - wire [1:0] _T_1825 = _T_1800 ? 2'h1 : _T_1824; // @[Mux.scala 98:16] - wire [1:0] WrPtr0_m = _T_1789 ? 2'h0 : _T_1825; // @[Mux.scala 98:16] - wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 407:33] - wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 407:22] - wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 406:106] - wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 407:42] - wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 407:83] - wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 406:72] - wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 406:70] - wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 407:33] - wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 407:22] - wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 406:106] - wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 407:42] - wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 407:83] - wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 406:72] - wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 406:70] - wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 407:33] - wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 407:22] - wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 406:106] - wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 407:42] - wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 407:83] - wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 406:72] - wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 406:70] - wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 407:33] - wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 407:22] - wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 406:106] - wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 407:42] - wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 407:83] - wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 406:72] - wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 406:70] - wire [1:0] _T_1883 = _T_1882 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1884 = _T_1868 ? 2'h2 : _T_1883; // @[Mux.scala 98:16] - wire [1:0] _T_1885 = _T_1854 ? 2'h1 : _T_1884; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 535:63] - wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 449:104] - wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 449:104] - wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 449:104] - wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 449:104] - wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 449:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2656,_T_2653,_T_2650,_T_2647}; // @[Cat.scala 29:58] - wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 417:65] - wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 417:44] - wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 417:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 535:63] - wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 449:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2671,_T_2668,_T_2665,_T_2662}; // @[Cat.scala 29:58] - wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 417:65] - wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 417:44] - wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 417:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 535:63] - wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 449:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2686,_T_2683,_T_2680,_T_2677}; // @[Cat.scala 29:58] - wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 417:65] - wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 417:44] - wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 417:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 535:63] - wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 449:89] - wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 449:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2701,_T_2698,_T_2695,_T_2692}; // @[Cat.scala 29:58] - wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 417:65] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 417:44] - wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 417:70] - wire [7:0] _T_2029 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 421:42] - wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 421:48] - wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 421:54] - wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 421:67] - wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 421:73] - wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 421:79] - wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 421:92] - wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 421:98] - wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 421:104] - wire [2:0] _T_2052 = {_T_2036,_T_2043,_T_2050}; // @[Cat.scala 29:58] - wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 478:77] - wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 478:97] - wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 478:95] - wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 478:117] - wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 478:144] - wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 478:166] - wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 478:161] - wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 478:132] - wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 478:63] - wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 478:206] - wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 478:201] - wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 478:183] - wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 485:46] - wire _T_3498 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 596:38] - wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 503:73] - wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 503:52] - wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 504:46] - reg _T_4216; // @[Reg.scala 27:20] - reg _T_4214; // @[Reg.scala 27:20] - reg _T_4212; // @[Reg.scala 27:20] - reg _T_4210; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4216,_T_4214,_T_4212,_T_4210}; // @[Cat.scala 29:58] + wire _T_1858 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] + wire _T_1859 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 419:97] + wire _T_1860 = ibuf_valid & _T_1859; // @[el2_lsu_bus_buffer.scala 419:86] + wire _T_1861 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 420:33] + wire _T_1862 = io_lsu_busreq_r & _T_1861; // @[el2_lsu_bus_buffer.scala 420:22] + wire _T_1863 = _T_1860 | _T_1862; // @[el2_lsu_bus_buffer.scala 419:106] + wire _T_1864 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1865 = io_ldst_dual_r & _T_1864; // @[el2_lsu_bus_buffer.scala 420:60] + wire _T_1866 = _T_1863 | _T_1865; // @[el2_lsu_bus_buffer.scala 420:42] + wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 419:72] + wire _T_1868 = _T_1858 & _T_1867; // @[el2_lsu_bus_buffer.scala 419:70] + wire _T_1869 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] + wire _T_1870 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 419:97] + wire _T_1871 = ibuf_valid & _T_1870; // @[el2_lsu_bus_buffer.scala 419:86] + wire _T_1872 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 420:33] + wire _T_1873 = io_lsu_busreq_r & _T_1872; // @[el2_lsu_bus_buffer.scala 420:22] + wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 419:106] + wire _T_1875 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1876 = io_ldst_dual_r & _T_1875; // @[el2_lsu_bus_buffer.scala 420:60] + wire _T_1877 = _T_1874 | _T_1876; // @[el2_lsu_bus_buffer.scala 420:42] + wire _T_1878 = ~_T_1877; // @[el2_lsu_bus_buffer.scala 419:72] + wire _T_1879 = _T_1869 & _T_1878; // @[el2_lsu_bus_buffer.scala 419:70] + wire _T_1880 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] + wire _T_1881 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 419:97] + wire _T_1882 = ibuf_valid & _T_1881; // @[el2_lsu_bus_buffer.scala 419:86] + wire _T_1883 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 420:33] + wire _T_1884 = io_lsu_busreq_r & _T_1883; // @[el2_lsu_bus_buffer.scala 420:22] + wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 419:106] + wire _T_1886 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1887 = io_ldst_dual_r & _T_1886; // @[el2_lsu_bus_buffer.scala 420:60] + wire _T_1888 = _T_1885 | _T_1887; // @[el2_lsu_bus_buffer.scala 420:42] + wire _T_1889 = ~_T_1888; // @[el2_lsu_bus_buffer.scala 419:72] + wire _T_1890 = _T_1880 & _T_1889; // @[el2_lsu_bus_buffer.scala 419:70] + wire _T_1891 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] + wire _T_1892 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 419:97] + wire _T_1893 = ibuf_valid & _T_1892; // @[el2_lsu_bus_buffer.scala 419:86] + wire _T_1894 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 420:33] + wire _T_1895 = io_lsu_busreq_r & _T_1894; // @[el2_lsu_bus_buffer.scala 420:22] + wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 419:106] + wire _T_1897 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1898 = io_ldst_dual_r & _T_1897; // @[el2_lsu_bus_buffer.scala 420:60] + wire _T_1899 = _T_1896 | _T_1898; // @[el2_lsu_bus_buffer.scala 420:42] + wire _T_1900 = ~_T_1899; // @[el2_lsu_bus_buffer.scala 419:72] + wire _T_1901 = _T_1891 & _T_1900; // @[el2_lsu_bus_buffer.scala 419:70] + wire [1:0] _T_1902 = _T_1901 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1903 = _T_1890 ? 2'h2 : _T_1902; // @[Mux.scala 98:16] + wire [1:0] _T_1904 = _T_1879 ? 2'h1 : _T_1903; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1868 ? 2'h0 : _T_1904; // @[Mux.scala 98:16] + wire _T_1909 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 423:33] + wire _T_1910 = io_lsu_busreq_m & _T_1909; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1911 = _T_1860 | _T_1910; // @[el2_lsu_bus_buffer.scala 422:106] + wire _T_1914 = _T_1911 | _T_1862; // @[el2_lsu_bus_buffer.scala 423:42] + wire _T_1917 = _T_1914 | _T_1865; // @[el2_lsu_bus_buffer.scala 423:83] + wire _T_1918 = ~_T_1917; // @[el2_lsu_bus_buffer.scala 422:72] + wire _T_1919 = _T_1858 & _T_1918; // @[el2_lsu_bus_buffer.scala 422:70] + wire _T_1923 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 423:33] + wire _T_1924 = io_lsu_busreq_m & _T_1923; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1925 = _T_1871 | _T_1924; // @[el2_lsu_bus_buffer.scala 422:106] + wire _T_1928 = _T_1925 | _T_1873; // @[el2_lsu_bus_buffer.scala 423:42] + wire _T_1931 = _T_1928 | _T_1876; // @[el2_lsu_bus_buffer.scala 423:83] + wire _T_1932 = ~_T_1931; // @[el2_lsu_bus_buffer.scala 422:72] + wire _T_1933 = _T_1869 & _T_1932; // @[el2_lsu_bus_buffer.scala 422:70] + wire _T_1937 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 423:33] + wire _T_1938 = io_lsu_busreq_m & _T_1937; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1939 = _T_1882 | _T_1938; // @[el2_lsu_bus_buffer.scala 422:106] + wire _T_1942 = _T_1939 | _T_1884; // @[el2_lsu_bus_buffer.scala 423:42] + wire _T_1945 = _T_1942 | _T_1887; // @[el2_lsu_bus_buffer.scala 423:83] + wire _T_1946 = ~_T_1945; // @[el2_lsu_bus_buffer.scala 422:72] + wire _T_1947 = _T_1880 & _T_1946; // @[el2_lsu_bus_buffer.scala 422:70] + wire _T_1951 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 423:33] + wire _T_1952 = io_lsu_busreq_m & _T_1951; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1953 = _T_1893 | _T_1952; // @[el2_lsu_bus_buffer.scala 422:106] + wire _T_1956 = _T_1953 | _T_1895; // @[el2_lsu_bus_buffer.scala 423:42] + wire _T_1959 = _T_1956 | _T_1898; // @[el2_lsu_bus_buffer.scala 423:83] + wire _T_1960 = ~_T_1959; // @[el2_lsu_bus_buffer.scala 422:72] + wire _T_1961 = _T_1891 & _T_1960; // @[el2_lsu_bus_buffer.scala 422:70] + wire [1:0] _T_1962 = _T_1961 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1963 = _T_1947 ? 2'h2 : _T_1962; // @[Mux.scala 98:16] + wire [1:0] _T_1964 = _T_1933 ? 2'h1 : _T_1963; // @[Mux.scala 98:16] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 551:63] + wire _T_2734 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] + wire _T_2735 = buf_rspageQ_0[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2731 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] + wire _T_2732 = buf_rspageQ_0[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2728 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] + wire _T_2729 = buf_rspageQ_0[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2725 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] + wire _T_2726 = buf_rspageQ_0[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2735,_T_2732,_T_2729,_T_2726}; // @[Cat.scala 29:58] + wire _T_2037 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 433:65] + wire _T_2038 = ~_T_2037; // @[el2_lsu_bus_buffer.scala 433:44] + wire _T_2040 = _T_2038 & _T_2725; // @[el2_lsu_bus_buffer.scala 433:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 551:63] + wire _T_2750 = buf_rspageQ_1[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2747 = buf_rspageQ_1[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2744 = buf_rspageQ_1[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2741 = buf_rspageQ_1[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2750,_T_2747,_T_2744,_T_2741}; // @[Cat.scala 29:58] + wire _T_2041 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 433:65] + wire _T_2042 = ~_T_2041; // @[el2_lsu_bus_buffer.scala 433:44] + wire _T_2044 = _T_2042 & _T_2728; // @[el2_lsu_bus_buffer.scala 433:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 551:63] + wire _T_2765 = buf_rspageQ_2[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2762 = buf_rspageQ_2[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2759 = buf_rspageQ_2[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2756 = buf_rspageQ_2[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2765,_T_2762,_T_2759,_T_2756}; // @[Cat.scala 29:58] + wire _T_2045 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 433:65] + wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 433:44] + wire _T_2048 = _T_2046 & _T_2731; // @[el2_lsu_bus_buffer.scala 433:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 551:63] + wire _T_2780 = buf_rspageQ_3[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2777 = buf_rspageQ_3[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2774 = buf_rspageQ_3[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2771 = buf_rspageQ_3[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2780,_T_2777,_T_2774,_T_2771}; // @[Cat.scala 29:58] + wire _T_2049 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 433:65] + wire _T_2050 = ~_T_2049; // @[el2_lsu_bus_buffer.scala 433:44] + wire _T_2052 = _T_2050 & _T_2734; // @[el2_lsu_bus_buffer.scala 433:70] + wire [7:0] _T_2108 = {4'h0,_T_2052,_T_2048,_T_2044,_T_2040}; // @[Cat.scala 29:58] + wire _T_2111 = _T_2108[4] | _T_2108[5]; // @[el2_lsu_bus_buffer.scala 437:42] + wire _T_2113 = _T_2111 | _T_2108[6]; // @[el2_lsu_bus_buffer.scala 437:48] + wire _T_2115 = _T_2113 | _T_2108[7]; // @[el2_lsu_bus_buffer.scala 437:54] + wire _T_2118 = _T_2108[2] | _T_2108[3]; // @[el2_lsu_bus_buffer.scala 437:67] + wire _T_2120 = _T_2118 | _T_2108[6]; // @[el2_lsu_bus_buffer.scala 437:73] + wire _T_2122 = _T_2120 | _T_2108[7]; // @[el2_lsu_bus_buffer.scala 437:79] + wire _T_2125 = _T_2108[1] | _T_2108[3]; // @[el2_lsu_bus_buffer.scala 437:92] + wire _T_2127 = _T_2125 | _T_2108[5]; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2129 = _T_2127 | _T_2108[7]; // @[el2_lsu_bus_buffer.scala 437:104] + wire [2:0] _T_2131 = {_T_2115,_T_2122,_T_2129}; // @[Cat.scala 29:58] + wire _T_3520 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 494:77] + wire _T_3521 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 494:97] + wire _T_3522 = _T_3520 & _T_3521; // @[el2_lsu_bus_buffer.scala 494:95] + wire _T_3523 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] + wire _T_3524 = _T_3522 & _T_3523; // @[el2_lsu_bus_buffer.scala 494:112] + wire _T_3525 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 494:144] + wire _T_3526 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] + wire _T_3527 = _T_3525 & _T_3526; // @[el2_lsu_bus_buffer.scala 494:161] + wire _T_3528 = _T_3524 | _T_3527; // @[el2_lsu_bus_buffer.scala 494:132] + wire _T_3529 = _T_845 & _T_3528; // @[el2_lsu_bus_buffer.scala 494:63] + wire _T_3530 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] + wire _T_3531 = ibuf_drain_vld & _T_3530; // @[el2_lsu_bus_buffer.scala 494:201] + wire _T_3532 = _T_3529 | _T_3531; // @[el2_lsu_bus_buffer.scala 494:183] + wire _T_3542 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 501:46] + wire _T_3577 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 612:38] + wire _T_3622 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 519:73] + wire _T_3623 = bus_rsp_write & _T_3622; // @[el2_lsu_bus_buffer.scala 519:52] + wire _T_3624 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 520:46] + reg _T_4295; // @[Reg.scala 27:20] + reg _T_4293; // @[Reg.scala 27:20] + reg _T_4291; // @[Reg.scala 27:20] + reg _T_4289; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4295,_T_4293,_T_4291,_T_4289}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 505:47] - wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 505:47] - wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 505:27] - wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 504:77] - wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 506:26] - wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 506:42] - wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 521:47] + wire _T_3626 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 521:47] + wire _T_3627 = buf_ldfwd[0] & _T_3626; // @[el2_lsu_bus_buffer.scala 521:27] + wire _T_3628 = _T_3624 | _T_3627; // @[el2_lsu_bus_buffer.scala 520:77] + wire _T_3629 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 522:26] + wire _T_3632 = _T_3629 & _T_1209; // @[el2_lsu_bus_buffer.scala 522:42] + wire _T_3633 = _T_3632 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 522:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 506:94] - wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 506:94] - wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 506:74] - wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 505:71] - wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 504:25] - wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 503:105] - wire _GEN_42 = _T_3498 & _T_3559; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3464 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3460 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3585 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 518:21] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 522:94] + wire _T_3634 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 522:94] + wire _T_3635 = _T_3633 & _T_3634; // @[el2_lsu_bus_buffer.scala 522:74] + wire _T_3636 = _T_3628 | _T_3635; // @[el2_lsu_bus_buffer.scala 521:71] + wire _T_3637 = bus_rsp_read & _T_3636; // @[el2_lsu_bus_buffer.scala 520:25] + wire _T_3638 = _T_3623 | _T_3637; // @[el2_lsu_bus_buffer.scala 519:105] + wire _GEN_42 = _T_3577 & _T_3638; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3543 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3539 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3516 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3664 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3674 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 534:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 518:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 518:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 518:58] - wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 518:58] - wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 518:58] - wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 518:38] - wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 517:95] - wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 517:45] - wire _GEN_36 = _T_3585 & _T_3600; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3498 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3464 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3460 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:49] - wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 491:70] - wire _T_3603 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 429:10] - wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 523:37] - wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 523:98] - wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 523:80] - wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 523:65] - wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 523:112] - wire _T_3611 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3603 ? _T_3610 : _T_3611; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3585 ? _T_3478 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3498 ? _T_3478 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3464 ? _T_3478 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3460 ? _T_3463 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3437 ? _T_3453 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 441:94] - wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 443:23] - wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 443:41] - wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 443:71] - wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2067 = _T_4378 | _T_2066; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 444:17] - wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 444:35] - wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 444:52] - wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2092 = _T_4383 | _T_2091; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2117 = _T_4388 | _T_2116; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2142 = _T_4393 | _T_2141; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 444:97] - wire [2:0] _T_2154 = {_T_2152,_T_2127,_T_2102}; // @[Cat.scala 29:58] - wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 478:117] - wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 478:166] - wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 478:161] - wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 478:132] - wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 478:63] - wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 478:206] - wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 478:201] - wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 478:183] - wire _T_3691 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 503:73] - wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 503:52] - wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 504:46] - wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 505:47] - wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 505:47] - wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 505:27] - wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 504:77] - wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 506:26] - wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 506:44] - wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 506:42] - wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 506:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 534:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 534:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 534:58] + wire _T_3676 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 534:58] + wire _T_3677 = _T_3674[0] & _T_3676; // @[el2_lsu_bus_buffer.scala 534:38] + wire _T_3678 = _T_3634 | _T_3677; // @[el2_lsu_bus_buffer.scala 533:95] + wire _T_3679 = bus_rsp_read & _T_3678; // @[el2_lsu_bus_buffer.scala 533:45] + wire _GEN_36 = _T_3664 & _T_3679; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3577 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3543 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3539 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3516 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3556 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] + wire _T_3557 = _T_3556 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] + wire _T_3682 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2131[1:0]; // @[el2_lsu_bus_buffer.scala 445:10] + wire _T_3685 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 539:37] + wire _T_3686 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] + wire _T_3687 = buf_dual_0 & _T_3686; // @[el2_lsu_bus_buffer.scala 539:80] + wire _T_3688 = _T_3685 | _T_3687; // @[el2_lsu_bus_buffer.scala 539:65] + wire _T_3689 = _T_3688 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] + wire _T_3690 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3682 ? _T_3689 : _T_3690; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3664 ? _T_3557 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3577 ? _T_3557 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3543 ? _T_3557 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3539 ? _T_3542 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3516 ? _T_3532 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2133 = _T_1858 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 457:94] + wire _T_2139 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 459:23] + wire _T_2141 = _T_2139 & _T_3520; // @[el2_lsu_bus_buffer.scala 459:41] + wire _T_2143 = _T_2141 & _T_1861; // @[el2_lsu_bus_buffer.scala 459:71] + wire _T_2145 = _T_2143 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2146 = _T_4454 | _T_2145; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2147 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 460:17] + wire _T_2148 = _T_2147 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:35] + wire _T_2150 = _T_2148 & _T_1864; // @[el2_lsu_bus_buffer.scala 460:52] + wire _T_2152 = _T_2150 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2153 = _T_2146 | _T_2152; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2154 = _T_2133 & _T_2153; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2156 = _T_2154 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2170 = _T_2143 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2171 = _T_4459 | _T_2170; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2177 = _T_2150 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2178 = _T_2171 | _T_2177; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2179 = _T_2133 & _T_2178; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2181 = _T_2179 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2195 = _T_2143 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2196 = _T_4464 | _T_2195; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2202 = _T_2150 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2203 = _T_2196 | _T_2202; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2204 = _T_2133 & _T_2203; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2206 = _T_2204 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2220 = _T_2143 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2221 = _T_4469 | _T_2220; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2227 = _T_2150 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2228 = _T_2221 | _T_2227; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2229 = _T_2133 & _T_2228; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2231 = _T_2229 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 460:97] + wire [2:0] _T_2233 = {_T_2231,_T_2206,_T_2181}; // @[Cat.scala 29:58] + wire _T_3716 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] + wire _T_3717 = _T_3522 & _T_3716; // @[el2_lsu_bus_buffer.scala 494:112] + wire _T_3719 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] + wire _T_3720 = _T_3525 & _T_3719; // @[el2_lsu_bus_buffer.scala 494:161] + wire _T_3721 = _T_3717 | _T_3720; // @[el2_lsu_bus_buffer.scala 494:132] + wire _T_3722 = _T_845 & _T_3721; // @[el2_lsu_bus_buffer.scala 494:63] + wire _T_3723 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] + wire _T_3724 = ibuf_drain_vld & _T_3723; // @[el2_lsu_bus_buffer.scala 494:201] + wire _T_3725 = _T_3722 | _T_3724; // @[el2_lsu_bus_buffer.scala 494:183] + wire _T_3770 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3815 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 519:73] + wire _T_3816 = bus_rsp_write & _T_3815; // @[el2_lsu_bus_buffer.scala 519:52] + wire _T_3817 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 520:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 521:47] + wire _T_3819 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 521:47] + wire _T_3820 = buf_ldfwd[1] & _T_3819; // @[el2_lsu_bus_buffer.scala 521:27] + wire _T_3821 = _T_3817 | _T_3820; // @[el2_lsu_bus_buffer.scala 520:77] + wire _T_3822 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 522:26] + wire _T_3824 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 522:44] + wire _T_3825 = _T_3822 & _T_3824; // @[el2_lsu_bus_buffer.scala 522:42] + wire _T_3826 = _T_3825 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 522:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 506:94] - wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 506:94] - wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 506:74] - wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 505:71] - wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 504:25] - wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 503:105] - wire _GEN_118 = _T_3691 & _T_3752; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3657 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3653 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3778 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 518:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 518:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 518:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 518:58] - wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 518:58] - wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 518:58] - wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 518:38] - wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 517:95] - wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 517:45] - wire _GEN_112 = _T_3778 & _T_3793; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3691 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3657 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3653 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:49] - wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 491:70] - wire _T_3796 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 523:37] - wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 523:98] - wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 523:80] - wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 523:65] - wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 523:112] - wire _T_3804 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3796 ? _T_3803 : _T_3804; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3778 ? _T_3671 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3691 ? _T_3671 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3657 ? _T_3671 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3653 ? _T_3463 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3630 ? _T_3646 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 441:94] - wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 443:71] - wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2169 = _T_4378 | _T_2168; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 444:52] - wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2194 = _T_4383 | _T_2193; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2219 = _T_4388 | _T_2218; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2244 = _T_4393 | _T_2243; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 444:97] - wire [2:0] _T_2256 = {_T_2254,_T_2229,_T_2204}; // @[Cat.scala 29:58] - wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 478:117] - wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 478:166] - wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 478:161] - wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 478:132] - wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 478:63] - wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 478:206] - wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 478:201] - wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 478:183] - wire _T_3884 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 503:73] - wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 503:52] - wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 504:46] - wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 505:47] - wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 505:47] - wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 505:27] - wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 504:77] - wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 506:26] - wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 506:44] - wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 506:42] - wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 522:94] + wire _T_3827 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 522:94] + wire _T_3828 = _T_3826 & _T_3827; // @[el2_lsu_bus_buffer.scala 522:74] + wire _T_3829 = _T_3821 | _T_3828; // @[el2_lsu_bus_buffer.scala 521:71] + wire _T_3830 = bus_rsp_read & _T_3829; // @[el2_lsu_bus_buffer.scala 520:25] + wire _T_3831 = _T_3816 | _T_3830; // @[el2_lsu_bus_buffer.scala 519:105] + wire _GEN_118 = _T_3770 & _T_3831; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3736 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3732 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3709 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3857 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3867 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 534:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 534:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 534:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 534:58] + wire _T_3869 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 534:58] + wire _T_3870 = _T_3867[0] & _T_3869; // @[el2_lsu_bus_buffer.scala 534:38] + wire _T_3871 = _T_3827 | _T_3870; // @[el2_lsu_bus_buffer.scala 533:95] + wire _T_3872 = bus_rsp_read & _T_3871; // @[el2_lsu_bus_buffer.scala 533:45] + wire _GEN_112 = _T_3857 & _T_3872; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3770 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3736 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3732 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3709 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3749 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] + wire _T_3750 = _T_3749 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] + wire _T_3875 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3878 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 539:37] + wire _T_3879 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] + wire _T_3880 = buf_dual_1 & _T_3879; // @[el2_lsu_bus_buffer.scala 539:80] + wire _T_3881 = _T_3878 | _T_3880; // @[el2_lsu_bus_buffer.scala 539:65] + wire _T_3882 = _T_3881 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] + wire _T_3883 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3875 ? _T_3882 : _T_3883; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3857 ? _T_3750 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3770 ? _T_3750 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3736 ? _T_3750 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3732 ? _T_3542 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3709 ? _T_3725 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2235 = _T_1869 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 457:94] + wire _T_2245 = _T_2141 & _T_1872; // @[el2_lsu_bus_buffer.scala 459:71] + wire _T_2247 = _T_2245 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2248 = _T_4454 | _T_2247; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2252 = _T_2148 & _T_1875; // @[el2_lsu_bus_buffer.scala 460:52] + wire _T_2254 = _T_2252 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2255 = _T_2248 | _T_2254; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2256 = _T_2235 & _T_2255; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2258 = _T_2256 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2272 = _T_2245 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2273 = _T_4459 | _T_2272; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2279 = _T_2252 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2280 = _T_2273 | _T_2279; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2281 = _T_2235 & _T_2280; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2283 = _T_2281 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2297 = _T_2245 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2298 = _T_4464 | _T_2297; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2304 = _T_2252 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2305 = _T_2298 | _T_2304; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2306 = _T_2235 & _T_2305; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2308 = _T_2306 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2322 = _T_2245 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2323 = _T_4469 | _T_2322; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2329 = _T_2252 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2330 = _T_2323 | _T_2329; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2331 = _T_2235 & _T_2330; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2333 = _T_2331 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 460:97] + wire [2:0] _T_2335 = {_T_2333,_T_2308,_T_2283}; // @[Cat.scala 29:58] + wire _T_3909 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] + wire _T_3910 = _T_3522 & _T_3909; // @[el2_lsu_bus_buffer.scala 494:112] + wire _T_3912 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] + wire _T_3913 = _T_3525 & _T_3912; // @[el2_lsu_bus_buffer.scala 494:161] + wire _T_3914 = _T_3910 | _T_3913; // @[el2_lsu_bus_buffer.scala 494:132] + wire _T_3915 = _T_845 & _T_3914; // @[el2_lsu_bus_buffer.scala 494:63] + wire _T_3916 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] + wire _T_3917 = ibuf_drain_vld & _T_3916; // @[el2_lsu_bus_buffer.scala 494:201] + wire _T_3918 = _T_3915 | _T_3917; // @[el2_lsu_bus_buffer.scala 494:183] + wire _T_3963 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4008 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 519:73] + wire _T_4009 = bus_rsp_write & _T_4008; // @[el2_lsu_bus_buffer.scala 519:52] + wire _T_4010 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 520:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 521:47] + wire _T_4012 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 521:47] + wire _T_4013 = buf_ldfwd[2] & _T_4012; // @[el2_lsu_bus_buffer.scala 521:27] + wire _T_4014 = _T_4010 | _T_4013; // @[el2_lsu_bus_buffer.scala 520:77] + wire _T_4015 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 522:26] + wire _T_4017 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 522:44] + wire _T_4018 = _T_4015 & _T_4017; // @[el2_lsu_bus_buffer.scala 522:42] + wire _T_4019 = _T_4018 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 522:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 506:94] - wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 506:94] - wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 506:74] - wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 505:71] - wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 504:25] - wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 503:105] - wire _GEN_194 = _T_3884 & _T_3945; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3850 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3846 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_3971 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 518:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 518:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 518:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 518:58] - wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 518:58] - wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 518:58] - wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 518:38] - wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 517:95] - wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 517:45] - wire _GEN_188 = _T_3971 & _T_3986; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3884 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3850 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3846 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:49] - wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 491:70] - wire _T_3989 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 523:37] - wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 523:98] - wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 523:80] - wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 523:65] - wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 523:112] - wire _T_3997 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_3989 ? _T_3996 : _T_3997; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_3971 ? _T_3864 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3884 ? _T_3864 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3850 ? _T_3864 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3846 ? _T_3463 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3823 ? _T_3839 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 441:94] - wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 443:71] - wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2271 = _T_4378 | _T_2270; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 444:52] - wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2296 = _T_4383 | _T_2295; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2321 = _T_4388 | _T_2320; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2346 = _T_4393 | _T_2345; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 444:97] - wire [2:0] _T_2358 = {_T_2356,_T_2331,_T_2306}; // @[Cat.scala 29:58] - wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 478:117] - wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 478:112] - wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 478:166] - wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 478:161] - wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 478:132] - wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 478:63] - wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 478:206] - wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 478:201] - wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 478:183] - wire _T_4077 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 503:73] - wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 503:52] - wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 504:46] - wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 505:47] - wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 505:47] - wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 505:27] - wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 504:77] - wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 506:26] - wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 506:44] - wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 506:42] - wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 506:58] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 522:94] + wire _T_4020 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 522:94] + wire _T_4021 = _T_4019 & _T_4020; // @[el2_lsu_bus_buffer.scala 522:74] + wire _T_4022 = _T_4014 | _T_4021; // @[el2_lsu_bus_buffer.scala 521:71] + wire _T_4023 = bus_rsp_read & _T_4022; // @[el2_lsu_bus_buffer.scala 520:25] + wire _T_4024 = _T_4009 | _T_4023; // @[el2_lsu_bus_buffer.scala 519:105] + wire _GEN_194 = _T_3963 & _T_4024; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3929 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3925 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3902 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4050 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4060 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 534:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 534:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 534:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 534:58] + wire _T_4062 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 534:58] + wire _T_4063 = _T_4060[0] & _T_4062; // @[el2_lsu_bus_buffer.scala 534:38] + wire _T_4064 = _T_4020 | _T_4063; // @[el2_lsu_bus_buffer.scala 533:95] + wire _T_4065 = bus_rsp_read & _T_4064; // @[el2_lsu_bus_buffer.scala 533:45] + wire _GEN_188 = _T_4050 & _T_4065; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3963 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3929 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3925 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3902 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3942 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] + wire _T_3943 = _T_3942 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] + wire _T_4068 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4071 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 539:37] + wire _T_4072 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] + wire _T_4073 = buf_dual_2 & _T_4072; // @[el2_lsu_bus_buffer.scala 539:80] + wire _T_4074 = _T_4071 | _T_4073; // @[el2_lsu_bus_buffer.scala 539:65] + wire _T_4075 = _T_4074 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] + wire _T_4076 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4068 ? _T_4075 : _T_4076; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4050 ? _T_3943 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3963 ? _T_3943 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3929 ? _T_3943 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3925 ? _T_3542 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3902 ? _T_3918 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2337 = _T_1880 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 457:94] + wire _T_2347 = _T_2141 & _T_1883; // @[el2_lsu_bus_buffer.scala 459:71] + wire _T_2349 = _T_2347 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2350 = _T_4454 | _T_2349; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2354 = _T_2148 & _T_1886; // @[el2_lsu_bus_buffer.scala 460:52] + wire _T_2356 = _T_2354 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2357 = _T_2350 | _T_2356; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2358 = _T_2337 & _T_2357; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2360 = _T_2358 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2374 = _T_2347 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2375 = _T_4459 | _T_2374; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2381 = _T_2354 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2382 = _T_2375 | _T_2381; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2383 = _T_2337 & _T_2382; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2385 = _T_2383 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2399 = _T_2347 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2400 = _T_4464 | _T_2399; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2406 = _T_2354 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2407 = _T_2400 | _T_2406; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2408 = _T_2337 & _T_2407; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2410 = _T_2408 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2424 = _T_2347 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2425 = _T_4469 | _T_2424; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2431 = _T_2354 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2432 = _T_2425 | _T_2431; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2433 = _T_2337 & _T_2432; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2435 = _T_2433 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 460:97] + wire [2:0] _T_2437 = {_T_2435,_T_2410,_T_2385}; // @[Cat.scala 29:58] + wire _T_4102 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] + wire _T_4103 = _T_3522 & _T_4102; // @[el2_lsu_bus_buffer.scala 494:112] + wire _T_4105 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] + wire _T_4106 = _T_3525 & _T_4105; // @[el2_lsu_bus_buffer.scala 494:161] + wire _T_4107 = _T_4103 | _T_4106; // @[el2_lsu_bus_buffer.scala 494:132] + wire _T_4108 = _T_845 & _T_4107; // @[el2_lsu_bus_buffer.scala 494:63] + wire _T_4109 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] + wire _T_4110 = ibuf_drain_vld & _T_4109; // @[el2_lsu_bus_buffer.scala 494:201] + wire _T_4111 = _T_4108 | _T_4110; // @[el2_lsu_bus_buffer.scala 494:183] + wire _T_4156 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4201 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 519:73] + wire _T_4202 = bus_rsp_write & _T_4201; // @[el2_lsu_bus_buffer.scala 519:52] + wire _T_4203 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 520:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 521:47] + wire _T_4205 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 521:47] + wire _T_4206 = buf_ldfwd[3] & _T_4205; // @[el2_lsu_bus_buffer.scala 521:27] + wire _T_4207 = _T_4203 | _T_4206; // @[el2_lsu_bus_buffer.scala 520:77] + wire _T_4208 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 522:26] + wire _T_4210 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 522:44] + wire _T_4211 = _T_4208 & _T_4210; // @[el2_lsu_bus_buffer.scala 522:42] + wire _T_4212 = _T_4211 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 522:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 506:94] - wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 506:94] - wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 506:74] - wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 505:71] - wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 504:25] - wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 503:105] - wire _GEN_270 = _T_4077 & _T_4138; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4043 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4039 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4164 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 518:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 518:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 518:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 518:58] - wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 518:58] - wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 518:58] - wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 518:38] - wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 517:95] - wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 517:45] - wire _GEN_264 = _T_4164 & _T_4179; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4077 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4043 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4039 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:49] - wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 491:70] - wire _T_4182 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 523:37] - wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 523:98] - wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 523:80] - wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 523:65] - wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 523:112] - wire _T_4190 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4182 ? _T_4189 : _T_4190; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4164 ? _T_4057 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4077 ? _T_4057 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4043 ? _T_4057 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4039 ? _T_3463 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4016 ? _T_4032 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 441:94] - wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 443:71] - wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2373 = _T_4378 | _T_2372; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 444:52] - wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2398 = _T_4383 | _T_2397; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2423 = _T_4388 | _T_2422; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 444:97] - wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 443:92] - wire _T_2448 = _T_4393 | _T_2447; // @[el2_lsu_bus_buffer.scala 442:86] - wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 444:73] - wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 441:113] - wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 444:97] - wire [2:0] _T_2460 = {_T_2458,_T_2433,_T_2408}; // @[Cat.scala 29:58] - wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 452:34] - wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 452:8] - wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 452:34] - wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 452:8] - wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 452:34] - wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 452:8] - wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 452:34] - wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 452:8] - wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 451:114] - wire [3:0] buf_rspage_set_0 = {_T_2792,_T_2770,_T_2748,_T_2726}; // @[Cat.scala 29:58] - wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 451:114] - wire [3:0] buf_rspage_set_1 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] - wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 451:114] - wire [3:0] buf_rspage_set_2 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] - wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 451:114] - wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 452:61] - wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 451:114] - wire [3:0] buf_rspage_set_3 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] - wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 456:112] - wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 456:112] - wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 456:112] - wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 456:112] - wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 456:84] - wire [3:0] buf_rspage_0 = {_T_3152,_T_3146,_T_3140,_T_3134}; // @[Cat.scala 29:58] - wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 455:90] - wire [2:0] _T_3082 = {_T_3080,_T_3077,_T_3074}; // @[Cat.scala 29:58] - wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 456:84] - wire [3:0] buf_rspage_1 = {_T_3179,_T_3173,_T_3167,_T_3161}; // @[Cat.scala 29:58] - wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 455:90] - wire [2:0] _T_3097 = {_T_3095,_T_3092,_T_3089}; // @[Cat.scala 29:58] - wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 456:84] - wire [3:0] buf_rspage_2 = {_T_3206,_T_3200,_T_3194,_T_3188}; // @[Cat.scala 29:58] - wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 455:90] - wire [2:0] _T_3112 = {_T_3110,_T_3107,_T_3104}; // @[Cat.scala 29:58] - wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 456:84] - wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 456:84] - wire [3:0] buf_rspage_3 = {_T_3233,_T_3227,_T_3221,_T_3215}; // @[Cat.scala 29:58] - wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 455:90] - wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 455:90] - wire [2:0] _T_3127 = {_T_3125,_T_3122,_T_3119}; // @[Cat.scala 29:58] - wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 461:65] - wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 461:65] - wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 461:65] - wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 461:65] - wire [3:0] ibuf_drainvec_vld = {_T_3244,_T_3242,_T_3240,_T_3238}; // @[Cat.scala 29:58] - wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 462:123] - wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 462:96] - wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 462:48] - wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 462:123] - wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 462:96] - wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 462:48] - wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 462:123] - wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 462:96] - wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 462:48] - wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 462:123] - wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 462:96] - wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 462:48] - wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:47] - wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:47] - wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:47] - wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:47] - wire [3:0] buf_dual_in = {_T_3315,_T_3313,_T_3311,_T_3309}; // @[Cat.scala 29:58] - wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 465:49] - wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 465:49] - wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 465:49] - wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 465:49] - wire [3:0] buf_samedw_in = {_T_3326,_T_3324,_T_3322,_T_3320}; // @[Cat.scala 29:58] - wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 466:86] - wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 466:50] - wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 466:50] - wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 466:50] - wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 466:50] - wire [3:0] buf_nomerge_in = {_T_3341,_T_3338,_T_3335,_T_3332}; // @[Cat.scala 29:58] - wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 467:49] - wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 467:49] - wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 467:49] - wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 467:49] - wire [3:0] buf_dualhi_in = {_T_3364,_T_3359,_T_3354,_T_3349}; // @[Cat.scala 29:58] - wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 469:53] - wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 469:53] - wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 469:53] - wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 469:53] - wire [3:0] buf_sideeffect_in = {_T_3399,_T_3397,_T_3395,_T_3393}; // @[Cat.scala 29:58] - wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 470:49] - wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 470:49] - wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 470:49] - wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 470:49] - wire [3:0] buf_unsign_in = {_T_3410,_T_3408,_T_3406,_T_3404}; // @[Cat.scala 29:58] - wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 472:48] - wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 472:48] - wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 472:48] - wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 472:48] - wire [3:0] buf_write_in = {_T_3433,_T_3431,_T_3429,_T_3427}; // @[Cat.scala 29:58] - wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 481:30] - wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 488:89] - wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 488:104] - wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 493:44] - wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 493:60] - wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 493:74] - wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 495:67] - wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:81] - wire _T_4776 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 600:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4776; // @[el2_lsu_bus_buffer.scala 600:38] - wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 496:82] - wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 497:73] - wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 510:91] - wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 511:31] - wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 511:46] - wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 510:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4776; // @[el2_lsu_bus_buffer.scala 599:40] - wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 512:53] - wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 511:88] - wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 510:68] - wire _GEN_46 = _T_3498 & _T_3576; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3464 ? _T_3491 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3460 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3437 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 497:30] - wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 500:73] - wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 500:71] - wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 500:55] - wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 501:30] - wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 501:28] - wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 501:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 501:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 501:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 501:90] - wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 501:90] - wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 501:61] - wire _T_4401 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 559:93] - wire _T_4402 = _T_4401 | _T_2649; // @[el2_lsu_bus_buffer.scala 559:93] - wire any_done_wait_state = _T_4402 | _T_2646; // @[el2_lsu_bus_buffer.scala 559:93] - wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:31] - wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3527 = _T_3519 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3528 = _T_3521 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3529 = _T_3523 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3530 = _T_3525 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3531 = _T_3527 | _T_3528; // @[Mux.scala 27:72] - wire _T_3532 = _T_3531 | _T_3529; // @[Mux.scala 27:72] - wire _T_3533 = _T_3532 | _T_3530; // @[Mux.scala 27:72] - wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 502:101] - wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 502:167] - wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 502:138] - wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:187] - wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 502:53] - wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 509:47] - wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:62] - wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 513:50] - wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 513:48] - wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 513:30] - wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 516:118] - wire _GEN_29 = _T_3611 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3603 ? 1'h0 : _T_3611; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3603 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3585 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3585 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3498 & _T_3563; // @[Conditional.scala 39:67] - wire [31:0] _GEN_47 = _T_3498 ? _T_3584 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3498 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3498 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3464 ? _T_3484 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3464 ? _T_3488 : _GEN_45; // @[Conditional.scala 39:67] - wire [31:0] _GEN_60 = _T_3464 ? _T_3497 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3464 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3460 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3460 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire [31:0] _GEN_72 = _T_3460 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3460 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3437 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3437 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] - wire [31:0] buf_data_in_0 = _T_3437 ? _T_3459 : _GEN_72; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3437 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3437 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 481:30] - wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 493:44] - wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 493:60] - wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 493:74] - wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 495:67] - wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:81] - wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 496:82] - wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 497:73] - wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 510:91] - wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 511:31] - wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 511:46] - wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 510:143] - wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 512:53] - wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 511:88] - wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 510:68] - wire _GEN_122 = _T_3691 & _T_3769; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3657 ? _T_3684 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3653 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3630 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 497:30] - wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 500:71] - wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 500:55] - wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 501:30] - wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 501:28] - wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 501:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 501:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 501:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 501:90] - wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 501:90] - wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 501:61] - wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:31] - wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3720 = _T_3712 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3721 = _T_3714 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3722 = _T_3716 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3723 = _T_3718 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3724 = _T_3720 | _T_3721; // @[Mux.scala 27:72] - wire _T_3725 = _T_3724 | _T_3722; // @[Mux.scala 27:72] - wire _T_3726 = _T_3725 | _T_3723; // @[Mux.scala 27:72] - wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 502:101] - wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 502:167] - wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 502:138] - wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:187] - wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 502:53] - wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 509:47] - wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:62] - wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 513:50] - wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 513:48] - wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 513:30] - wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 516:118] - wire _GEN_105 = _T_3804 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3796 ? 1'h0 : _T_3804; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3796 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3778 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3778 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3691 & _T_3756; // @[Conditional.scala 39:67] - wire [31:0] _GEN_123 = _T_3691 ? _T_3777 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3691 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3691 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3657 ? _T_3677 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3657 ? _T_3681 : _GEN_121; // @[Conditional.scala 39:67] - wire [31:0] _GEN_136 = _T_3657 ? _T_3690 : _GEN_123; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3657 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3653 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3653 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire [31:0] _GEN_148 = _T_3653 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3653 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3630 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3630 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] - wire [31:0] buf_data_in_1 = _T_3630 ? _T_3652 : _GEN_148; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3630 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3630 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 481:30] - wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 493:44] - wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 493:60] - wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 493:74] - wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 495:67] - wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:81] - wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 496:82] - wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 497:73] - wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 510:91] - wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 511:31] - wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 511:46] - wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 510:143] - wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 512:53] - wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 511:88] - wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 510:68] - wire _GEN_198 = _T_3884 & _T_3962; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3850 ? _T_3877 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3846 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3823 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 497:30] - wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 500:71] - wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 500:55] - wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 501:30] - wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 501:28] - wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 501:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 501:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 501:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 501:90] - wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 501:90] - wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 501:61] - wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:31] - wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3913 = _T_3905 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3914 = _T_3907 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3915 = _T_3909 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3916 = _T_3911 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3917 = _T_3913 | _T_3914; // @[Mux.scala 27:72] - wire _T_3918 = _T_3917 | _T_3915; // @[Mux.scala 27:72] - wire _T_3919 = _T_3918 | _T_3916; // @[Mux.scala 27:72] - wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 502:101] - wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 502:167] - wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 502:138] - wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:187] - wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 502:53] - wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 509:47] - wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:62] - wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 513:50] - wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 513:48] - wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 513:30] - wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 516:118] - wire _GEN_181 = _T_3997 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_3989 ? 1'h0 : _T_3997; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_3989 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_3971 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_3971 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3884 & _T_3949; // @[Conditional.scala 39:67] - wire [31:0] _GEN_199 = _T_3884 ? _T_3970 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3884 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3884 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3850 ? _T_3870 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3850 ? _T_3874 : _GEN_197; // @[Conditional.scala 39:67] - wire [31:0] _GEN_212 = _T_3850 ? _T_3883 : _GEN_199; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3850 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3846 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3846 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire [31:0] _GEN_224 = _T_3846 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3846 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3823 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3823 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] - wire [31:0] buf_data_in_2 = _T_3823 ? _T_3845 : _GEN_224; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3823 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3823 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 481:30] - wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 493:44] - wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 493:60] - wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 493:74] - wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 495:67] - wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:81] - wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 496:82] - wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 497:73] - wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 510:91] - wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 511:31] - wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 511:46] - wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 510:143] - wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 512:53] - wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 511:88] - wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 510:68] - wire _GEN_274 = _T_4077 & _T_4155; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4043 ? _T_4070 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4039 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4016 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 497:30] - wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 500:71] - wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 500:55] - wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 501:30] - wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 501:28] - wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 501:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 501:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 501:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 501:90] - wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 501:90] - wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 501:61] - wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:31] - wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4106 = _T_4098 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4107 = _T_4100 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4108 = _T_4102 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4109 = _T_4104 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4110 = _T_4106 | _T_4107; // @[Mux.scala 27:72] - wire _T_4111 = _T_4110 | _T_4108; // @[Mux.scala 27:72] - wire _T_4112 = _T_4111 | _T_4109; // @[Mux.scala 27:72] - wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 502:101] - wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 502:167] - wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 502:138] - wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:187] - wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 502:53] - wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 509:47] - wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:62] - wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 513:50] - wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 513:48] - wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 513:30] - wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 516:118] - wire _GEN_257 = _T_4190 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4182 ? 1'h0 : _T_4190; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4182 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4164 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4164 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4077 & _T_4142; // @[Conditional.scala 39:67] - wire [31:0] _GEN_275 = _T_4077 ? _T_4163 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4077 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4077 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4043 ? _T_4063 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4043 ? _T_4067 : _GEN_273; // @[Conditional.scala 39:67] - wire [31:0] _GEN_288 = _T_4043 ? _T_4076 : _GEN_275; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4043 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4039 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4039 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire [31:0] _GEN_300 = _T_4039 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4039 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4016 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4016 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] - wire [31:0] buf_data_in_3 = _T_4016 ? _T_4038 : _GEN_300; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4016 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4016 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4245; // @[Reg.scala 27:20] - reg _T_4248; // @[Reg.scala 27:20] - reg _T_4251; // @[Reg.scala 27:20] - reg _T_4254; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4254,_T_4251,_T_4248,_T_4245}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 462:19] - wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 462:19] - wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 462:19] - wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 462:19] - reg _T_4320; // @[el2_lsu_bus_buffer.scala 552:82] - reg _T_4315; // @[el2_lsu_bus_buffer.scala 552:82] - reg _T_4310; // @[el2_lsu_bus_buffer.scala 552:82] - reg _T_4305; // @[el2_lsu_bus_buffer.scala 552:82] - wire [3:0] buf_error = {_T_4320,_T_4315,_T_4310,_T_4305}; // @[Cat.scala 29:58] - wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 552:86] - wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 552:128] - wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 552:86] - wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 552:128] - wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 552:86] - wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 552:128] - wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 552:86] - wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 552:128] - wire [2:0] _T_4325 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [95:0] _T_4328 = {buf_data_in_3,buf_data_in_2,buf_data_in_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4334 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 555:96] - wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 555:96] - wire [2:0] _T_4335 = _T_4334 + _GEN_391; // @[el2_lsu_bus_buffer.scala 555:96] - wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 555:96] - wire [3:0] buf_numvld_any = _T_4335 + _GEN_392; // @[el2_lsu_bus_buffer.scala 555:96] - wire _T_4405 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 561:52] - wire _T_4406 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 561:92] - wire _T_4407 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 561:119] - wire _T_4409 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 562:52] - wire _T_4410 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 562:52] - wire _T_4411 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 562:52] - wire _T_4412 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 562:52] - wire _T_4413 = _T_4409 | _T_4410; // @[el2_lsu_bus_buffer.scala 562:65] - wire _T_4414 = _T_4413 | _T_4411; // @[el2_lsu_bus_buffer.scala 562:65] - wire _T_4415 = _T_4414 | _T_4412; // @[el2_lsu_bus_buffer.scala 562:65] - wire _T_4416 = ~_T_4415; // @[el2_lsu_bus_buffer.scala 562:34] - wire _T_4418 = _T_4416 & _T_765; // @[el2_lsu_bus_buffer.scala 562:70] - wire _T_4421 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 564:51] - wire _T_4422 = _T_4421 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 564:72] - wire _T_4423 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 564:94] - wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 564:92] - wire _T_4425 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 564:111] - wire _T_4427 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 567:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 653:66] - wire _T_4445 = _T_2708 & _T_1130; // @[Mux.scala 27:72] - wire _T_4446 = _T_2730 & _T_3745; // @[Mux.scala 27:72] - wire _T_4447 = _T_2752 & _T_3938; // @[Mux.scala 27:72] - wire _T_4448 = _T_2774 & _T_4131; // @[Mux.scala 27:72] - wire _T_4449 = _T_4445 | _T_4446; // @[Mux.scala 27:72] - wire _T_4450 = _T_4449 | _T_4447; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4450 | _T_4448; // @[Mux.scala 27:72] - wire _T_4456 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 570:108] - wire _T_4461 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 570:108] - wire _T_4466 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 570:108] - wire _T_4471 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 570:108] - wire _T_4472 = _T_2708 & _T_4456; // @[Mux.scala 27:72] - wire _T_4473 = _T_2730 & _T_4461; // @[Mux.scala 27:72] - wire _T_4474 = _T_2752 & _T_4466; // @[Mux.scala 27:72] - wire _T_4475 = _T_2774 & _T_4471; // @[Mux.scala 27:72] - wire _T_4476 = _T_4472 | _T_4473; // @[Mux.scala 27:72] - wire _T_4477 = _T_4476 | _T_4474; // @[Mux.scala 27:72] - wire _T_4484 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 571:109] - wire _T_4485 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 571:124] - wire _T_4486 = _T_4484 | _T_4485; // @[el2_lsu_bus_buffer.scala 571:122] - wire _T_4487 = _T_4445 & _T_4486; // @[el2_lsu_bus_buffer.scala 571:106] - wire _T_4492 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 571:109] - wire _T_4493 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 571:124] - wire _T_4494 = _T_4492 | _T_4493; // @[el2_lsu_bus_buffer.scala 571:122] - wire _T_4495 = _T_4446 & _T_4494; // @[el2_lsu_bus_buffer.scala 571:106] - wire _T_4500 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 571:109] - wire _T_4501 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 571:124] - wire _T_4502 = _T_4500 | _T_4501; // @[el2_lsu_bus_buffer.scala 571:122] - wire _T_4503 = _T_4447 & _T_4502; // @[el2_lsu_bus_buffer.scala 571:106] - wire _T_4508 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 571:109] - wire _T_4509 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 571:124] - wire _T_4510 = _T_4508 | _T_4509; // @[el2_lsu_bus_buffer.scala 571:122] - wire _T_4511 = _T_4448 & _T_4510; // @[el2_lsu_bus_buffer.scala 571:106] - wire [1:0] _T_4514 = _T_4503 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4515 = _T_4511 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_393 = {{1'd0}, _T_4495}; // @[Mux.scala 27:72] - wire [1:0] _T_4517 = _GEN_393 | _T_4514; // @[Mux.scala 27:72] - wire [31:0] _T_4552 = _T_4487 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4553 = _T_4495 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4554 = _T_4503 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4555 = _T_4511 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4556 = _T_4552 | _T_4553; // @[Mux.scala 27:72] - wire [31:0] _T_4557 = _T_4556 | _T_4554; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4557 | _T_4555; // @[Mux.scala 27:72] - wire _T_4563 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 573:120] - wire _T_4564 = _T_4445 & _T_4563; // @[el2_lsu_bus_buffer.scala 573:105] - wire _T_4569 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 573:120] - wire _T_4570 = _T_4446 & _T_4569; // @[el2_lsu_bus_buffer.scala 573:105] - wire _T_4575 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 573:120] - wire _T_4576 = _T_4447 & _T_4575; // @[el2_lsu_bus_buffer.scala 573:105] - wire _T_4581 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 573:120] - wire _T_4582 = _T_4448 & _T_4581; // @[el2_lsu_bus_buffer.scala 573:105] - wire [31:0] _T_4583 = _T_4564 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4584 = _T_4570 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4585 = _T_4576 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4586 = _T_4582 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4587 = _T_4583 | _T_4584; // @[Mux.scala 27:72] - wire [31:0] _T_4588 = _T_4587 | _T_4585; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4588 | _T_4586; // @[Mux.scala 27:72] - wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4591 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4592 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4593 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4594 = _T_4590 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4595 = _T_4591 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4596 = _T_4592 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4597 = _T_4593 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4598 = _T_4594 | _T_4595; // @[Mux.scala 27:72] - wire [31:0] _T_4599 = _T_4598 | _T_4596; // @[Mux.scala 27:72] - wire [31:0] _T_4600 = _T_4599 | _T_4597; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4600[1:0]; // @[el2_lsu_bus_buffer.scala 574:83] - wire [1:0] _T_4606 = _T_4590 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4607 = _T_4591 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4608 = _T_4592 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4609 = _T_4593 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4610 = _T_4606 | _T_4607; // @[Mux.scala 27:72] - wire [1:0] _T_4611 = _T_4610 | _T_4608; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4611 | _T_4609; // @[Mux.scala 27:72] - wire _T_4621 = _T_4590 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4622 = _T_4591 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4623 = _T_4592 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4624 = _T_4593 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4625 = _T_4621 | _T_4622; // @[Mux.scala 27:72] - wire _T_4626 = _T_4625 | _T_4623; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4626 | _T_4624; // @[Mux.scala 27:72] - wire [63:0] _T_4646 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 578:121] - wire [5:0] _T_4647 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 578:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4646 >> _T_4647; // @[el2_lsu_bus_buffer.scala 578:92] - wire _T_4648 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 581:69] - wire _T_4650 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 582:81] - wire _T_4651 = lsu_nonblock_unsign & _T_4650; // @[el2_lsu_bus_buffer.scala 582:63] - wire [31:0] _T_4653 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4654 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 583:45] - wire _T_4655 = lsu_nonblock_unsign & _T_4654; // @[el2_lsu_bus_buffer.scala 583:26] - wire [31:0] _T_4657 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4658 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 584:6] - wire _T_4660 = _T_4658 & _T_4650; // @[el2_lsu_bus_buffer.scala 584:27] - wire [23:0] _T_4663 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4665 = {_T_4663,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4668 = _T_4658 & _T_4654; // @[el2_lsu_bus_buffer.scala 585:27] - wire [15:0] _T_4671 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4673 = {_T_4671,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4674 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 586:21] - wire [31:0] _T_4675 = _T_4651 ? _T_4653 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4676 = _T_4655 ? _T_4657 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4677 = _T_4660 ? _T_4665 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4678 = _T_4668 ? _T_4673 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4679 = _T_4674 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4680 = _T_4675 | _T_4676; // @[Mux.scala 27:72] - wire [31:0] _T_4681 = _T_4680 | _T_4677; // @[Mux.scala 27:72] - wire [31:0] _T_4682 = _T_4681 | _T_4678; // @[Mux.scala 27:72] - wire [63:0] _GEN_395 = {{32'd0}, _T_4682}; // @[Mux.scala 27:72] - wire [63:0] _T_4683 = _GEN_395 | _T_4679; // @[Mux.scala 27:72] - wire _T_4778 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 604:36] - wire _T_4779 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 604:51] - wire _T_4780 = _T_4778 & _T_4779; // @[el2_lsu_bus_buffer.scala 604:49] - wire [31:0] _T_4784 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4786 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4791 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 616:50] - wire _T_4792 = _T_4778 & _T_4791; // @[el2_lsu_bus_buffer.scala 616:48] - wire [7:0] _T_4796 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4799 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 621:36] - wire _T_4801 = _T_4799 & _T_1275; // @[el2_lsu_bus_buffer.scala 621:50] - wire _T_4813 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 634:114] - wire _T_4815 = _T_4813 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 634:129] - wire _T_4818 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 634:114] - wire _T_4820 = _T_4818 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 634:129] - wire _T_4823 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 634:114] - wire _T_4825 = _T_4823 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 634:129] - wire _T_4828 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 634:114] - wire _T_4830 = _T_4828 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 634:129] - wire _T_4831 = _T_2708 & _T_4815; // @[Mux.scala 27:72] - wire _T_4832 = _T_2730 & _T_4820; // @[Mux.scala 27:72] - wire _T_4833 = _T_2752 & _T_4825; // @[Mux.scala 27:72] - wire _T_4834 = _T_2774 & _T_4830; // @[Mux.scala 27:72] - wire _T_4835 = _T_4831 | _T_4832; // @[Mux.scala 27:72] - wire _T_4836 = _T_4835 | _T_4833; // @[Mux.scala 27:72] - wire _T_4846 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 635:98] - wire lsu_imprecise_error_store_tag = _T_4846 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 635:113] - wire _T_4852 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 637:72] - wire _T_4854 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4856 = _T_4854 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4857 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4858 = _T_4856 | _T_4857; // @[Mux.scala 27:72] - wire _T_4875 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 644:68] - wire _T_4878 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 645:48] - wire _T_4881 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 648:48] - wire _T_4882 = io_lsu_axi_awvalid & _T_4881; // @[el2_lsu_bus_buffer.scala 648:46] - wire _T_4883 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 648:92] - wire _T_4884 = io_lsu_axi_wvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 648:90] - wire _T_4885 = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 648:69] - wire _T_4886 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 648:136] - wire _T_4887 = io_lsu_axi_arvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 648:134] - wire _T_4891 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 652:75] - wire _T_4892 = io_lsu_busreq_m & _T_4891; // @[el2_lsu_bus_buffer.scala 652:73] - reg _T_4895; // @[el2_lsu_bus_buffer.scala 652:56] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 522:94] + wire _T_4213 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 522:94] + wire _T_4214 = _T_4212 & _T_4213; // @[el2_lsu_bus_buffer.scala 522:74] + wire _T_4215 = _T_4207 | _T_4214; // @[el2_lsu_bus_buffer.scala 521:71] + wire _T_4216 = bus_rsp_read & _T_4215; // @[el2_lsu_bus_buffer.scala 520:25] + wire _T_4217 = _T_4202 | _T_4216; // @[el2_lsu_bus_buffer.scala 519:105] + wire _GEN_270 = _T_4156 & _T_4217; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4122 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4118 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4095 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4243 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4253 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 534:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 534:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 534:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 534:58] + wire _T_4255 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 534:58] + wire _T_4256 = _T_4253[0] & _T_4255; // @[el2_lsu_bus_buffer.scala 534:38] + wire _T_4257 = _T_4213 | _T_4256; // @[el2_lsu_bus_buffer.scala 533:95] + wire _T_4258 = bus_rsp_read & _T_4257; // @[el2_lsu_bus_buffer.scala 533:45] + wire _GEN_264 = _T_4243 & _T_4258; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4156 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4122 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4118 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4095 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4135 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] + wire _T_4136 = _T_4135 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] + wire _T_4261 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4264 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 539:37] + wire _T_4265 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] + wire _T_4266 = buf_dual_3 & _T_4265; // @[el2_lsu_bus_buffer.scala 539:80] + wire _T_4267 = _T_4264 | _T_4266; // @[el2_lsu_bus_buffer.scala 539:65] + wire _T_4268 = _T_4267 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] + wire _T_4269 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4261 ? _T_4268 : _T_4269; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4243 ? _T_4136 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4156 ? _T_4136 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4122 ? _T_4136 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4118 ? _T_3542 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4095 ? _T_4111 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2439 = _T_1891 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 457:94] + wire _T_2449 = _T_2141 & _T_1894; // @[el2_lsu_bus_buffer.scala 459:71] + wire _T_2451 = _T_2449 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2452 = _T_4454 | _T_2451; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2456 = _T_2148 & _T_1897; // @[el2_lsu_bus_buffer.scala 460:52] + wire _T_2458 = _T_2456 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2459 = _T_2452 | _T_2458; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2460 = _T_2439 & _T_2459; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2462 = _T_2460 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2476 = _T_2449 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2477 = _T_4459 | _T_2476; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2483 = _T_2456 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2484 = _T_2477 | _T_2483; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2485 = _T_2439 & _T_2484; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2487 = _T_2485 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2501 = _T_2449 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2502 = _T_4464 | _T_2501; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2508 = _T_2456 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2509 = _T_2502 | _T_2508; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2510 = _T_2439 & _T_2509; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2512 = _T_2510 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2526 = _T_2449 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2527 = _T_4469 | _T_2526; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2533 = _T_2456 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2534 = _T_2527 | _T_2533; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2535 = _T_2439 & _T_2534; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2537 = _T_2535 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 460:97] + wire [2:0] _T_2539 = {_T_2537,_T_2512,_T_2487}; // @[Cat.scala 29:58] + wire _T_2787 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] + wire _T_2788 = _T_1858 | _T_2787; // @[el2_lsu_bus_buffer.scala 468:34] + wire _T_2789 = ~_T_2788; // @[el2_lsu_bus_buffer.scala 468:8] + wire _T_2797 = _T_2789 | _T_2145; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2804 = _T_2797 | _T_2152; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2805 = _T_2133 & _T_2804; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2809 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] + wire _T_2810 = _T_1869 | _T_2809; // @[el2_lsu_bus_buffer.scala 468:34] + wire _T_2811 = ~_T_2810; // @[el2_lsu_bus_buffer.scala 468:8] + wire _T_2819 = _T_2811 | _T_2170; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2826 = _T_2819 | _T_2177; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2827 = _T_2133 & _T_2826; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2831 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] + wire _T_2832 = _T_1880 | _T_2831; // @[el2_lsu_bus_buffer.scala 468:34] + wire _T_2833 = ~_T_2832; // @[el2_lsu_bus_buffer.scala 468:8] + wire _T_2841 = _T_2833 | _T_2195; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2848 = _T_2841 | _T_2202; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2849 = _T_2133 & _T_2848; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2853 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] + wire _T_2854 = _T_1891 | _T_2853; // @[el2_lsu_bus_buffer.scala 468:34] + wire _T_2855 = ~_T_2854; // @[el2_lsu_bus_buffer.scala 468:8] + wire _T_2863 = _T_2855 | _T_2220; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2870 = _T_2863 | _T_2227; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2871 = _T_2133 & _T_2870; // @[el2_lsu_bus_buffer.scala 467:114] + wire [3:0] buf_rspage_set_0 = {_T_2871,_T_2849,_T_2827,_T_2805}; // @[Cat.scala 29:58] + wire _T_2888 = _T_2789 | _T_2247; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2895 = _T_2888 | _T_2254; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2896 = _T_2235 & _T_2895; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2910 = _T_2811 | _T_2272; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2917 = _T_2910 | _T_2279; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2918 = _T_2235 & _T_2917; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2932 = _T_2833 | _T_2297; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2939 = _T_2932 | _T_2304; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2940 = _T_2235 & _T_2939; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2954 = _T_2855 | _T_2322; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2961 = _T_2954 | _T_2329; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2962 = _T_2235 & _T_2961; // @[el2_lsu_bus_buffer.scala 467:114] + wire [3:0] buf_rspage_set_1 = {_T_2962,_T_2940,_T_2918,_T_2896}; // @[Cat.scala 29:58] + wire _T_2979 = _T_2789 | _T_2349; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2986 = _T_2979 | _T_2356; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2987 = _T_2337 & _T_2986; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3001 = _T_2811 | _T_2374; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3008 = _T_3001 | _T_2381; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3009 = _T_2337 & _T_3008; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3023 = _T_2833 | _T_2399; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3030 = _T_3023 | _T_2406; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3031 = _T_2337 & _T_3030; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3045 = _T_2855 | _T_2424; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3052 = _T_3045 | _T_2431; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3053 = _T_2337 & _T_3052; // @[el2_lsu_bus_buffer.scala 467:114] + wire [3:0] buf_rspage_set_2 = {_T_3053,_T_3031,_T_3009,_T_2987}; // @[Cat.scala 29:58] + wire _T_3070 = _T_2789 | _T_2451; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3077 = _T_3070 | _T_2458; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3078 = _T_2439 & _T_3077; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3092 = _T_2811 | _T_2476; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3099 = _T_3092 | _T_2483; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3100 = _T_2439 & _T_3099; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3114 = _T_2833 | _T_2501; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3121 = _T_3114 | _T_2508; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3122 = _T_2439 & _T_3121; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3136 = _T_2855 | _T_2526; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3143 = _T_3136 | _T_2533; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3144 = _T_2439 & _T_3143; // @[el2_lsu_bus_buffer.scala 467:114] + wire [3:0] buf_rspage_set_3 = {_T_3144,_T_3122,_T_3100,_T_3078}; // @[Cat.scala 29:58] + wire _T_3229 = _T_2853 | _T_1891; // @[el2_lsu_bus_buffer.scala 472:112] + wire _T_3230 = ~_T_3229; // @[el2_lsu_bus_buffer.scala 472:86] + wire _T_3231 = buf_rspageQ_0[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3223 = _T_2831 | _T_1880; // @[el2_lsu_bus_buffer.scala 472:112] + wire _T_3224 = ~_T_3223; // @[el2_lsu_bus_buffer.scala 472:86] + wire _T_3225 = buf_rspageQ_0[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3217 = _T_2809 | _T_1869; // @[el2_lsu_bus_buffer.scala 472:112] + wire _T_3218 = ~_T_3217; // @[el2_lsu_bus_buffer.scala 472:86] + wire _T_3219 = buf_rspageQ_0[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3211 = _T_2787 | _T_1858; // @[el2_lsu_bus_buffer.scala 472:112] + wire _T_3212 = ~_T_3211; // @[el2_lsu_bus_buffer.scala 472:86] + wire _T_3213 = buf_rspageQ_0[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] + wire [3:0] buf_rspage_0 = {_T_3231,_T_3225,_T_3219,_T_3213}; // @[Cat.scala 29:58] + wire _T_3150 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3153 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3156 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3159 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 471:90] + wire [2:0] _T_3161 = {_T_3159,_T_3156,_T_3153}; // @[Cat.scala 29:58] + wire _T_3258 = buf_rspageQ_1[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3252 = buf_rspageQ_1[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3246 = buf_rspageQ_1[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3240 = buf_rspageQ_1[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] + wire [3:0] buf_rspage_1 = {_T_3258,_T_3252,_T_3246,_T_3240}; // @[Cat.scala 29:58] + wire _T_3165 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3168 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3171 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3174 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 471:90] + wire [2:0] _T_3176 = {_T_3174,_T_3171,_T_3168}; // @[Cat.scala 29:58] + wire _T_3285 = buf_rspageQ_2[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3279 = buf_rspageQ_2[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3273 = buf_rspageQ_2[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3267 = buf_rspageQ_2[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] + wire [3:0] buf_rspage_2 = {_T_3285,_T_3279,_T_3273,_T_3267}; // @[Cat.scala 29:58] + wire _T_3180 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3183 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3186 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3189 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 471:90] + wire [2:0] _T_3191 = {_T_3189,_T_3186,_T_3183}; // @[Cat.scala 29:58] + wire _T_3312 = buf_rspageQ_3[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3306 = buf_rspageQ_3[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3300 = buf_rspageQ_3[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3294 = buf_rspageQ_3[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] + wire [3:0] buf_rspage_3 = {_T_3312,_T_3306,_T_3300,_T_3294}; // @[Cat.scala 29:58] + wire _T_3195 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3198 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3201 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3204 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 471:90] + wire [2:0] _T_3206 = {_T_3204,_T_3201,_T_3198}; // @[Cat.scala 29:58] + wire _T_3317 = ibuf_drain_vld & _T_1859; // @[el2_lsu_bus_buffer.scala 477:65] + wire _T_3319 = ibuf_drain_vld & _T_1870; // @[el2_lsu_bus_buffer.scala 477:65] + wire _T_3321 = ibuf_drain_vld & _T_1881; // @[el2_lsu_bus_buffer.scala 477:65] + wire _T_3323 = ibuf_drain_vld & _T_1892; // @[el2_lsu_bus_buffer.scala 477:65] + wire [3:0] ibuf_drainvec_vld = {_T_3323,_T_3321,_T_3319,_T_3317}; // @[Cat.scala 29:58] + wire _T_3331 = _T_3525 & _T_1864; // @[el2_lsu_bus_buffer.scala 478:123] + wire [3:0] _T_3334 = _T_3331 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] + wire [3:0] _T_3335 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3334; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3340 = _T_3525 & _T_1875; // @[el2_lsu_bus_buffer.scala 478:123] + wire [3:0] _T_3343 = _T_3340 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] + wire [3:0] _T_3344 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3343; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3349 = _T_3525 & _T_1886; // @[el2_lsu_bus_buffer.scala 478:123] + wire [3:0] _T_3352 = _T_3349 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] + wire [3:0] _T_3353 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3352; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3358 = _T_3525 & _T_1897; // @[el2_lsu_bus_buffer.scala 478:123] + wire [3:0] _T_3361 = _T_3358 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] + wire [3:0] _T_3362 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3361; // @[el2_lsu_bus_buffer.scala 478:48] + wire _T_3388 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] + wire _T_3390 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] + wire _T_3392 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] + wire _T_3394 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] + wire [3:0] buf_dual_in = {_T_3394,_T_3392,_T_3390,_T_3388}; // @[Cat.scala 29:58] + wire _T_3399 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] + wire _T_3401 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] + wire _T_3403 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] + wire _T_3405 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] + wire [3:0] buf_samedw_in = {_T_3405,_T_3403,_T_3401,_T_3399}; // @[Cat.scala 29:58] + wire _T_3410 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 482:86] + wire _T_3411 = ibuf_drainvec_vld[0] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] + wire _T_3414 = ibuf_drainvec_vld[1] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] + wire _T_3417 = ibuf_drainvec_vld[2] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] + wire _T_3420 = ibuf_drainvec_vld[3] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] + wire [3:0] buf_nomerge_in = {_T_3420,_T_3417,_T_3414,_T_3411}; // @[Cat.scala 29:58] + wire _T_3428 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3331; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3433 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3340; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3438 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3349; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3443 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3358; // @[el2_lsu_bus_buffer.scala 483:49] + wire [3:0] buf_dualhi_in = {_T_3443,_T_3438,_T_3433,_T_3428}; // @[Cat.scala 29:58] + wire _T_3472 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_3474 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_3476 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_3478 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] + wire [3:0] buf_sideeffect_in = {_T_3478,_T_3476,_T_3474,_T_3472}; // @[Cat.scala 29:58] + wire _T_3483 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] + wire _T_3485 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] + wire _T_3487 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] + wire _T_3489 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] + wire [3:0] buf_unsign_in = {_T_3489,_T_3487,_T_3485,_T_3483}; // @[Cat.scala 29:58] + wire _T_3506 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] + wire _T_3508 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] + wire _T_3510 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] + wire _T_3512 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] + wire [3:0] buf_write_in = {_T_3512,_T_3510,_T_3508,_T_3506}; // @[Cat.scala 29:58] + wire _T_3545 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 504:89] + wire _T_3547 = _T_3545 & _T_1356; // @[el2_lsu_bus_buffer.scala 504:104] + wire _T_3560 = buf_state_en_0 & _T_1209; // @[el2_lsu_bus_buffer.scala 509:44] + wire _T_3561 = _T_3560 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] + wire _T_3563 = _T_3561 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] + wire _T_3566 = _T_3556 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] + wire _T_3567 = _T_3566 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] + wire _T_4852 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 616:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4852; // @[el2_lsu_bus_buffer.scala 616:38] + wire _T_3570 = _T_3566 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] + wire _T_3645 = bus_rsp_read_error & _T_3624; // @[el2_lsu_bus_buffer.scala 526:91] + wire _T_3647 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 527:31] + wire _T_3649 = _T_3647 & _T_3626; // @[el2_lsu_bus_buffer.scala 527:46] + wire _T_3650 = _T_3645 | _T_3649; // @[el2_lsu_bus_buffer.scala 526:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4852; // @[el2_lsu_bus_buffer.scala 615:40] + wire _T_3653 = bus_rsp_write_error & _T_3622; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_3654 = _T_3650 | _T_3653; // @[el2_lsu_bus_buffer.scala 527:88] + wire _T_3655 = _T_3556 & _T_3654; // @[el2_lsu_bus_buffer.scala 526:68] + wire _GEN_46 = _T_3577 & _T_3655; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3543 ? _T_3570 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3539 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3516 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3580 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 516:73] + wire _T_3581 = buf_write[0] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] + wire _T_3582 = io_dec_tlu_force_halt | _T_3581; // @[el2_lsu_bus_buffer.scala 516:55] + wire _T_3584 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 517:30] + wire _T_3585 = buf_dual_0 & _T_3584; // @[el2_lsu_bus_buffer.scala 517:28] + wire _T_3588 = _T_3585 & _T_1209; // @[el2_lsu_bus_buffer.scala 517:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 517:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 517:90] + wire _T_3589 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] + wire _T_3590 = _T_3588 & _T_3589; // @[el2_lsu_bus_buffer.scala 517:61] + wire _T_4477 = _T_2734 | _T_2731; // @[el2_lsu_bus_buffer.scala 575:93] + wire _T_4478 = _T_4477 | _T_2728; // @[el2_lsu_bus_buffer.scala 575:93] + wire any_done_wait_state = _T_4478 | _T_2725; // @[el2_lsu_bus_buffer.scala 575:93] + wire _T_3592 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] + wire _T_3598 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3600 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3602 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3604 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3606 = _T_3598 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3607 = _T_3600 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3608 = _T_3602 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3609 = _T_3604 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3610 = _T_3606 | _T_3607; // @[Mux.scala 27:72] + wire _T_3611 = _T_3610 | _T_3608; // @[Mux.scala 27:72] + wire _T_3612 = _T_3611 | _T_3609; // @[Mux.scala 27:72] + wire _T_3614 = _T_3588 & _T_3612; // @[el2_lsu_bus_buffer.scala 518:101] + wire _T_3615 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] + wire _T_3616 = _T_3614 & _T_3615; // @[el2_lsu_bus_buffer.scala 518:138] + wire _T_3617 = _T_3616 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] + wire _T_3618 = _T_3592 | _T_3617; // @[el2_lsu_bus_buffer.scala 518:53] + wire _T_3641 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] + wire _T_3642 = _T_3641 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] + wire _T_3656 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 529:50] + wire _T_3657 = buf_state_en_0 & _T_3656; // @[el2_lsu_bus_buffer.scala 529:48] + wire _T_3669 = buf_ldfwd[0] | _T_3674[0]; // @[el2_lsu_bus_buffer.scala 532:90] + wire _T_3670 = _T_3669 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] + wire _GEN_29 = _T_3690 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3682 ? 1'h0 : _T_3690; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3682 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3664 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3664 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3577 & _T_3642; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3577 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3577 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3543 ? _T_3563 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3543 ? _T_3567 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3543 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3539 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3539 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3539 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3516 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_data_en_0 = _T_3516 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3516 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3516 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3753 = buf_state_en_1 & _T_3824; // @[el2_lsu_bus_buffer.scala 509:44] + wire _T_3754 = _T_3753 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] + wire _T_3756 = _T_3754 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] + wire _T_3759 = _T_3749 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] + wire _T_3760 = _T_3759 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] + wire _T_3763 = _T_3759 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] + wire _T_3838 = bus_rsp_read_error & _T_3817; // @[el2_lsu_bus_buffer.scala 526:91] + wire _T_3840 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 527:31] + wire _T_3842 = _T_3840 & _T_3819; // @[el2_lsu_bus_buffer.scala 527:46] + wire _T_3843 = _T_3838 | _T_3842; // @[el2_lsu_bus_buffer.scala 526:143] + wire _T_3846 = bus_rsp_write_error & _T_3815; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_3847 = _T_3843 | _T_3846; // @[el2_lsu_bus_buffer.scala 527:88] + wire _T_3848 = _T_3749 & _T_3847; // @[el2_lsu_bus_buffer.scala 526:68] + wire _GEN_122 = _T_3770 & _T_3848; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3736 ? _T_3763 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3732 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3709 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3774 = buf_write[1] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] + wire _T_3775 = io_dec_tlu_force_halt | _T_3774; // @[el2_lsu_bus_buffer.scala 516:55] + wire _T_3777 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 517:30] + wire _T_3778 = buf_dual_1 & _T_3777; // @[el2_lsu_bus_buffer.scala 517:28] + wire _T_3781 = _T_3778 & _T_3824; // @[el2_lsu_bus_buffer.scala 517:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 517:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 517:90] + wire _T_3782 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] + wire _T_3783 = _T_3781 & _T_3782; // @[el2_lsu_bus_buffer.scala 517:61] + wire _T_3785 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] + wire _T_3791 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3793 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3795 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3797 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3799 = _T_3791 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3800 = _T_3793 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3801 = _T_3795 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3802 = _T_3797 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3803 = _T_3799 | _T_3800; // @[Mux.scala 27:72] + wire _T_3804 = _T_3803 | _T_3801; // @[Mux.scala 27:72] + wire _T_3805 = _T_3804 | _T_3802; // @[Mux.scala 27:72] + wire _T_3807 = _T_3781 & _T_3805; // @[el2_lsu_bus_buffer.scala 518:101] + wire _T_3808 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] + wire _T_3809 = _T_3807 & _T_3808; // @[el2_lsu_bus_buffer.scala 518:138] + wire _T_3810 = _T_3809 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] + wire _T_3811 = _T_3785 | _T_3810; // @[el2_lsu_bus_buffer.scala 518:53] + wire _T_3834 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] + wire _T_3835 = _T_3834 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] + wire _T_3849 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 529:50] + wire _T_3850 = buf_state_en_1 & _T_3849; // @[el2_lsu_bus_buffer.scala 529:48] + wire _T_3862 = buf_ldfwd[1] | _T_3867[0]; // @[el2_lsu_bus_buffer.scala 532:90] + wire _T_3863 = _T_3862 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] + wire _GEN_105 = _T_3883 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3875 ? 1'h0 : _T_3883; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3875 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3857 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3857 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3770 & _T_3835; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3770 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3770 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3736 ? _T_3756 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3736 ? _T_3760 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3736 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3732 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3732 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3732 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3709 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_data_en_1 = _T_3709 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3709 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3709 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3946 = buf_state_en_2 & _T_4017; // @[el2_lsu_bus_buffer.scala 509:44] + wire _T_3947 = _T_3946 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] + wire _T_3949 = _T_3947 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] + wire _T_3952 = _T_3942 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] + wire _T_3953 = _T_3952 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] + wire _T_3956 = _T_3952 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] + wire _T_4031 = bus_rsp_read_error & _T_4010; // @[el2_lsu_bus_buffer.scala 526:91] + wire _T_4033 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 527:31] + wire _T_4035 = _T_4033 & _T_4012; // @[el2_lsu_bus_buffer.scala 527:46] + wire _T_4036 = _T_4031 | _T_4035; // @[el2_lsu_bus_buffer.scala 526:143] + wire _T_4039 = bus_rsp_write_error & _T_4008; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_4040 = _T_4036 | _T_4039; // @[el2_lsu_bus_buffer.scala 527:88] + wire _T_4041 = _T_3942 & _T_4040; // @[el2_lsu_bus_buffer.scala 526:68] + wire _GEN_198 = _T_3963 & _T_4041; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3929 ? _T_3956 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3925 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3902 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_3967 = buf_write[2] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] + wire _T_3968 = io_dec_tlu_force_halt | _T_3967; // @[el2_lsu_bus_buffer.scala 516:55] + wire _T_3970 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 517:30] + wire _T_3971 = buf_dual_2 & _T_3970; // @[el2_lsu_bus_buffer.scala 517:28] + wire _T_3974 = _T_3971 & _T_4017; // @[el2_lsu_bus_buffer.scala 517:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 517:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 517:90] + wire _T_3975 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] + wire _T_3976 = _T_3974 & _T_3975; // @[el2_lsu_bus_buffer.scala 517:61] + wire _T_3978 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] + wire _T_3984 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3986 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3988 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3990 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3992 = _T_3984 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3993 = _T_3986 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3994 = _T_3988 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3995 = _T_3990 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3996 = _T_3992 | _T_3993; // @[Mux.scala 27:72] + wire _T_3997 = _T_3996 | _T_3994; // @[Mux.scala 27:72] + wire _T_3998 = _T_3997 | _T_3995; // @[Mux.scala 27:72] + wire _T_4000 = _T_3974 & _T_3998; // @[el2_lsu_bus_buffer.scala 518:101] + wire _T_4001 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] + wire _T_4002 = _T_4000 & _T_4001; // @[el2_lsu_bus_buffer.scala 518:138] + wire _T_4003 = _T_4002 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] + wire _T_4004 = _T_3978 | _T_4003; // @[el2_lsu_bus_buffer.scala 518:53] + wire _T_4027 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] + wire _T_4028 = _T_4027 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] + wire _T_4042 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 529:50] + wire _T_4043 = buf_state_en_2 & _T_4042; // @[el2_lsu_bus_buffer.scala 529:48] + wire _T_4055 = buf_ldfwd[2] | _T_4060[0]; // @[el2_lsu_bus_buffer.scala 532:90] + wire _T_4056 = _T_4055 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] + wire _GEN_181 = _T_4076 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4068 ? 1'h0 : _T_4076; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4068 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4050 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4050 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3963 & _T_4028; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3963 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3963 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3929 ? _T_3949 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3929 ? _T_3953 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3929 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3925 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3925 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3925 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3902 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_data_en_2 = _T_3902 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3902 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3902 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4139 = buf_state_en_3 & _T_4210; // @[el2_lsu_bus_buffer.scala 509:44] + wire _T_4140 = _T_4139 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] + wire _T_4142 = _T_4140 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] + wire _T_4145 = _T_4135 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] + wire _T_4146 = _T_4145 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] + wire _T_4149 = _T_4145 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] + wire _T_4224 = bus_rsp_read_error & _T_4203; // @[el2_lsu_bus_buffer.scala 526:91] + wire _T_4226 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 527:31] + wire _T_4228 = _T_4226 & _T_4205; // @[el2_lsu_bus_buffer.scala 527:46] + wire _T_4229 = _T_4224 | _T_4228; // @[el2_lsu_bus_buffer.scala 526:143] + wire _T_4232 = bus_rsp_write_error & _T_4201; // @[el2_lsu_bus_buffer.scala 528:53] + wire _T_4233 = _T_4229 | _T_4232; // @[el2_lsu_bus_buffer.scala 527:88] + wire _T_4234 = _T_4135 & _T_4233; // @[el2_lsu_bus_buffer.scala 526:68] + wire _GEN_274 = _T_4156 & _T_4234; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4122 ? _T_4149 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4118 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4095 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4160 = buf_write[3] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] + wire _T_4161 = io_dec_tlu_force_halt | _T_4160; // @[el2_lsu_bus_buffer.scala 516:55] + wire _T_4163 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 517:30] + wire _T_4164 = buf_dual_3 & _T_4163; // @[el2_lsu_bus_buffer.scala 517:28] + wire _T_4167 = _T_4164 & _T_4210; // @[el2_lsu_bus_buffer.scala 517:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 517:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 517:90] + wire _T_4168 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] + wire _T_4169 = _T_4167 & _T_4168; // @[el2_lsu_bus_buffer.scala 517:61] + wire _T_4171 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] + wire _T_4177 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4179 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4181 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4183 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4185 = _T_4177 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4186 = _T_4179 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4187 = _T_4181 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4188 = _T_4183 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4189 = _T_4185 | _T_4186; // @[Mux.scala 27:72] + wire _T_4190 = _T_4189 | _T_4187; // @[Mux.scala 27:72] + wire _T_4191 = _T_4190 | _T_4188; // @[Mux.scala 27:72] + wire _T_4193 = _T_4167 & _T_4191; // @[el2_lsu_bus_buffer.scala 518:101] + wire _T_4194 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] + wire _T_4195 = _T_4193 & _T_4194; // @[el2_lsu_bus_buffer.scala 518:138] + wire _T_4196 = _T_4195 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] + wire _T_4197 = _T_4171 | _T_4196; // @[el2_lsu_bus_buffer.scala 518:53] + wire _T_4220 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] + wire _T_4221 = _T_4220 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] + wire _T_4235 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 529:50] + wire _T_4236 = buf_state_en_3 & _T_4235; // @[el2_lsu_bus_buffer.scala 529:48] + wire _T_4248 = buf_ldfwd[3] | _T_4253[0]; // @[el2_lsu_bus_buffer.scala 532:90] + wire _T_4249 = _T_4248 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] + wire _GEN_257 = _T_4269 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4261 ? 1'h0 : _T_4269; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4261 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4243 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4243 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4156 & _T_4221; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4156 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4156 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4122 ? _T_4142 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4122 ? _T_4146 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4122 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4118 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4118 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4118 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4095 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4095 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4095 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4095 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4324; // @[Reg.scala 27:20] + reg _T_4327; // @[Reg.scala 27:20] + reg _T_4330; // @[Reg.scala 27:20] + reg _T_4333; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4333,_T_4330,_T_4327,_T_4324}; // @[Cat.scala 29:58] + wire [2:0] buf_byteen_in_0 = _T_3335[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] + wire [2:0] buf_byteen_in_1 = _T_3344[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] + wire [2:0] buf_byteen_in_2 = _T_3353[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] + wire [2:0] buf_byteen_in_3 = _T_3362[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] + reg _T_4399; // @[el2_lsu_bus_buffer.scala 568:82] + reg _T_4394; // @[el2_lsu_bus_buffer.scala 568:82] + reg _T_4389; // @[el2_lsu_bus_buffer.scala 568:82] + reg _T_4384; // @[el2_lsu_bus_buffer.scala 568:82] + wire [3:0] buf_error = {_T_4399,_T_4394,_T_4389,_T_4384}; // @[Cat.scala 29:58] + wire _T_4381 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 568:86] + wire _T_4382 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 568:128] + wire _T_4386 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 568:86] + wire _T_4387 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 568:128] + wire _T_4391 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 568:86] + wire _T_4392 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 568:128] + wire _T_4396 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 568:86] + wire _T_4397 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 568:128] + wire [2:0] _T_4404 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] + wire [1:0] _T_4410 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 571:96] + wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 571:96] + wire [2:0] _T_4411 = _T_4410 + _GEN_388; // @[el2_lsu_bus_buffer.scala 571:96] + wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 571:96] + wire [3:0] buf_numvld_any = _T_4411 + _GEN_389; // @[el2_lsu_bus_buffer.scala 571:96] + wire _T_4481 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 577:52] + wire _T_4482 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 577:92] + wire _T_4483 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 577:119] + wire _T_4485 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 578:52] + wire _T_4486 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 578:52] + wire _T_4487 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 578:52] + wire _T_4488 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 578:52] + wire _T_4489 = _T_4485 | _T_4486; // @[el2_lsu_bus_buffer.scala 578:65] + wire _T_4490 = _T_4489 | _T_4487; // @[el2_lsu_bus_buffer.scala 578:65] + wire _T_4491 = _T_4490 | _T_4488; // @[el2_lsu_bus_buffer.scala 578:65] + wire _T_4492 = ~_T_4491; // @[el2_lsu_bus_buffer.scala 578:34] + wire _T_4494 = _T_4492 & _T_844; // @[el2_lsu_bus_buffer.scala 578:70] + wire _T_4497 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 580:51] + wire _T_4498 = _T_4497 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 580:72] + wire _T_4499 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 580:94] + wire _T_4500 = _T_4498 & _T_4499; // @[el2_lsu_bus_buffer.scala 580:92] + wire _T_4501 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 580:111] + wire _T_4503 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 583:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 669:66] + wire _T_4521 = _T_2787 & _T_1209; // @[Mux.scala 27:72] + wire _T_4522 = _T_2809 & _T_3824; // @[Mux.scala 27:72] + wire _T_4523 = _T_2831 & _T_4017; // @[Mux.scala 27:72] + wire _T_4524 = _T_2853 & _T_4210; // @[Mux.scala 27:72] + wire _T_4525 = _T_4521 | _T_4522; // @[Mux.scala 27:72] + wire _T_4526 = _T_4525 | _T_4523; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4526 | _T_4524; // @[Mux.scala 27:72] + wire _T_4532 = buf_error[0] & _T_1209; // @[el2_lsu_bus_buffer.scala 586:108] + wire _T_4537 = buf_error[1] & _T_3824; // @[el2_lsu_bus_buffer.scala 586:108] + wire _T_4542 = buf_error[2] & _T_4017; // @[el2_lsu_bus_buffer.scala 586:108] + wire _T_4547 = buf_error[3] & _T_4210; // @[el2_lsu_bus_buffer.scala 586:108] + wire _T_4548 = _T_2787 & _T_4532; // @[Mux.scala 27:72] + wire _T_4549 = _T_2809 & _T_4537; // @[Mux.scala 27:72] + wire _T_4550 = _T_2831 & _T_4542; // @[Mux.scala 27:72] + wire _T_4551 = _T_2853 & _T_4547; // @[Mux.scala 27:72] + wire _T_4552 = _T_4548 | _T_4549; // @[Mux.scala 27:72] + wire _T_4553 = _T_4552 | _T_4550; // @[Mux.scala 27:72] + wire _T_4560 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 587:109] + wire _T_4561 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 587:124] + wire _T_4562 = _T_4560 | _T_4561; // @[el2_lsu_bus_buffer.scala 587:122] + wire _T_4563 = _T_4521 & _T_4562; // @[el2_lsu_bus_buffer.scala 587:106] + wire _T_4568 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 587:109] + wire _T_4569 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 587:124] + wire _T_4570 = _T_4568 | _T_4569; // @[el2_lsu_bus_buffer.scala 587:122] + wire _T_4571 = _T_4522 & _T_4570; // @[el2_lsu_bus_buffer.scala 587:106] + wire _T_4576 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 587:109] + wire _T_4577 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 587:124] + wire _T_4578 = _T_4576 | _T_4577; // @[el2_lsu_bus_buffer.scala 587:122] + wire _T_4579 = _T_4523 & _T_4578; // @[el2_lsu_bus_buffer.scala 587:106] + wire _T_4584 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 587:109] + wire _T_4585 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 587:124] + wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 587:122] + wire _T_4587 = _T_4524 & _T_4586; // @[el2_lsu_bus_buffer.scala 587:106] + wire [1:0] _T_4590 = _T_4579 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4591 = _T_4587 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_390 = {{1'd0}, _T_4571}; // @[Mux.scala 27:72] + wire [1:0] _T_4593 = _GEN_390 | _T_4590; // @[Mux.scala 27:72] + wire [31:0] _T_4628 = _T_4563 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4629 = _T_4571 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4630 = _T_4579 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4631 = _T_4587 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4632 = _T_4628 | _T_4629; // @[Mux.scala 27:72] + wire [31:0] _T_4633 = _T_4632 | _T_4630; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4633 | _T_4631; // @[Mux.scala 27:72] + wire _T_4639 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 589:120] + wire _T_4640 = _T_4521 & _T_4639; // @[el2_lsu_bus_buffer.scala 589:105] + wire _T_4645 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 589:120] + wire _T_4646 = _T_4522 & _T_4645; // @[el2_lsu_bus_buffer.scala 589:105] + wire _T_4651 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 589:120] + wire _T_4652 = _T_4523 & _T_4651; // @[el2_lsu_bus_buffer.scala 589:105] + wire _T_4657 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 589:120] + wire _T_4658 = _T_4524 & _T_4657; // @[el2_lsu_bus_buffer.scala 589:105] + wire [31:0] _T_4659 = _T_4640 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4660 = _T_4646 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4661 = _T_4652 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4662 = _T_4658 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4663 = _T_4659 | _T_4660; // @[Mux.scala 27:72] + wire [31:0] _T_4664 = _T_4663 | _T_4661; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4664 | _T_4662; // @[Mux.scala 27:72] + wire _T_4666 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4667 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4668 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4669 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] + wire [31:0] _T_4670 = _T_4666 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4667 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4668 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4673 = _T_4669 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4674 = _T_4670 | _T_4671; // @[Mux.scala 27:72] + wire [31:0] _T_4675 = _T_4674 | _T_4672; // @[Mux.scala 27:72] + wire [31:0] _T_4676 = _T_4675 | _T_4673; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4676[1:0]; // @[el2_lsu_bus_buffer.scala 590:83] + wire [1:0] _T_4682 = _T_4666 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4683 = _T_4667 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4684 = _T_4668 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4685 = _T_4669 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4686 = _T_4682 | _T_4683; // @[Mux.scala 27:72] + wire [1:0] _T_4687 = _T_4686 | _T_4684; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4687 | _T_4685; // @[Mux.scala 27:72] + wire _T_4697 = _T_4666 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4698 = _T_4667 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4699 = _T_4668 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4700 = _T_4669 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4701 = _T_4697 | _T_4698; // @[Mux.scala 27:72] + wire _T_4702 = _T_4701 | _T_4699; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4702 | _T_4700; // @[Mux.scala 27:72] + wire [63:0] _T_4722 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 594:121] + wire [5:0] _T_4723 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 594:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4722 >> _T_4723; // @[el2_lsu_bus_buffer.scala 594:92] + wire _T_4724 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 597:69] + wire _T_4726 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 598:81] + wire _T_4727 = lsu_nonblock_unsign & _T_4726; // @[el2_lsu_bus_buffer.scala 598:63] + wire [31:0] _T_4729 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4730 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 599:45] + wire _T_4731 = lsu_nonblock_unsign & _T_4730; // @[el2_lsu_bus_buffer.scala 599:26] + wire [31:0] _T_4733 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4734 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 600:6] + wire _T_4736 = _T_4734 & _T_4726; // @[el2_lsu_bus_buffer.scala 600:27] + wire [23:0] _T_4739 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4741 = {_T_4739,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4744 = _T_4734 & _T_4730; // @[el2_lsu_bus_buffer.scala 601:27] + wire [15:0] _T_4747 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4749 = {_T_4747,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4750 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 602:21] + wire [31:0] _T_4751 = _T_4727 ? _T_4729 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4752 = _T_4731 ? _T_4733 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4753 = _T_4736 ? _T_4741 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4754 = _T_4744 ? _T_4749 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4755 = _T_4750 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4756 = _T_4751 | _T_4752; // @[Mux.scala 27:72] + wire [31:0] _T_4757 = _T_4756 | _T_4753; // @[Mux.scala 27:72] + wire [31:0] _T_4758 = _T_4757 | _T_4754; // @[Mux.scala 27:72] + wire [63:0] _GEN_392 = {{32'd0}, _T_4758}; // @[Mux.scala 27:72] + wire [63:0] _T_4759 = _GEN_392 | _T_4755; // @[Mux.scala 27:72] + wire _T_4854 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 620:36] + wire _T_4855 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 620:51] + wire _T_4856 = _T_4854 & _T_4855; // @[el2_lsu_bus_buffer.scala 620:49] + wire [31:0] _T_4860 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4862 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4867 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 632:50] + wire _T_4868 = _T_4854 & _T_4867; // @[el2_lsu_bus_buffer.scala 632:48] + wire [7:0] _T_4872 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4875 = obuf_valid & _T_1348; // @[el2_lsu_bus_buffer.scala 637:36] + wire _T_4877 = _T_4875 & _T_1354; // @[el2_lsu_bus_buffer.scala 637:50] + wire _T_4889 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 650:114] + wire _T_4891 = _T_4889 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 650:129] + wire _T_4894 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 650:114] + wire _T_4896 = _T_4894 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 650:129] + wire _T_4899 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 650:114] + wire _T_4901 = _T_4899 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 650:129] + wire _T_4904 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 650:114] + wire _T_4906 = _T_4904 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 650:129] + wire _T_4907 = _T_2787 & _T_4891; // @[Mux.scala 27:72] + wire _T_4908 = _T_2809 & _T_4896; // @[Mux.scala 27:72] + wire _T_4909 = _T_2831 & _T_4901; // @[Mux.scala 27:72] + wire _T_4910 = _T_2853 & _T_4906; // @[Mux.scala 27:72] + wire _T_4911 = _T_4907 | _T_4908; // @[Mux.scala 27:72] + wire _T_4912 = _T_4911 | _T_4909; // @[Mux.scala 27:72] + wire _T_4922 = _T_2809 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 651:98] + wire lsu_imprecise_error_store_tag = _T_4922 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 651:113] + wire _T_4928 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 653:72] + wire _T_4930 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] + wire [31:0] _T_4932 = _T_4930 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4933 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4934 = _T_4932 | _T_4933; // @[Mux.scala 27:72] + wire _T_4951 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 660:68] + wire _T_4954 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 661:48] + wire _T_4957 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 664:48] + wire _T_4958 = io_lsu_axi_awvalid & _T_4957; // @[el2_lsu_bus_buffer.scala 664:46] + wire _T_4959 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 664:92] + wire _T_4960 = io_lsu_axi_wvalid & _T_4959; // @[el2_lsu_bus_buffer.scala 664:90] + wire _T_4961 = _T_4958 | _T_4960; // @[el2_lsu_bus_buffer.scala 664:69] + wire _T_4962 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 664:136] + wire _T_4963 = io_lsu_axi_arvalid & _T_4962; // @[el2_lsu_bus_buffer.scala 664:134] + wire _T_4967 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 668:75] + wire _T_4968 = io_lsu_busreq_m & _T_4967; // @[el2_lsu_bus_buffer.scala 668:73] + reg _T_4971; // @[el2_lsu_bus_buffer.scala 668:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2581,102 +2575,102 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4895; // @[el2_lsu_bus_buffer.scala 652:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 560:30] - assign io_lsu_bus_buffer_full_any = _T_4405 ? _T_4406 : _T_4407; // @[el2_lsu_bus_buffer.scala 561:30] - assign io_lsu_bus_buffer_empty_any = _T_4418 & _T_1157; // @[el2_lsu_bus_buffer.scala 562:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 641:23] + assign io_lsu_busreq_r = _T_4971; // @[el2_lsu_bus_buffer.scala 668:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 576:30] + assign io_lsu_bus_buffer_full_any = _T_4481 ? _T_4482 : _T_4483; // @[el2_lsu_bus_buffer.scala 577:30] + assign io_lsu_bus_buffer_empty_any = _T_4494 & _T_1236; // @[el2_lsu_bus_buffer.scala 578:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 657:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 196:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 197:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 227:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4852; // @[el2_lsu_bus_buffer.scala 637:35] - assign io_lsu_imprecise_error_store_any = _T_4836 | _T_4834; // @[el2_lsu_bus_buffer.scala 634:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4858 : _T_4600; // @[el2_lsu_bus_buffer.scala 638:35] - assign io_lsu_nonblock_load_valid_m = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 564:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 565:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4427; // @[el2_lsu_bus_buffer.scala 567:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 568:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4648; // @[el2_lsu_bus_buffer.scala 581:35] - assign io_lsu_nonblock_load_data_error = _T_4477 | _T_4475; // @[el2_lsu_bus_buffer.scala 570:35] - assign io_lsu_nonblock_load_data_tag = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 571:33] - assign io_lsu_nonblock_load_data = _T_4683[31:0]; // @[el2_lsu_bus_buffer.scala 582:29] - assign io_lsu_pmu_bus_trxn = _T_4875 | _T_4770; // @[el2_lsu_bus_buffer.scala 644:23] - assign io_lsu_pmu_bus_misaligned = _T_4878 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 645:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 646:24] - assign io_lsu_pmu_bus_busy = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 648:23] - assign io_lsu_axi_awvalid = _T_4780 & _T_1165; // @[el2_lsu_bus_buffer.scala 604:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 605:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 606:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 610:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 611:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 607:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 612:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 614:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 609:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 608:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 613:20] - assign io_lsu_axi_wvalid = _T_4792 & _T_1165; // @[el2_lsu_bus_buffer.scala 616:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 618:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4796; // @[el2_lsu_bus_buffer.scala 617:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 619:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 632:21] - assign io_lsu_axi_arvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 621:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 622:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 623:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 627:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 628:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 624:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 629:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 631:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 626:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 625:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 630:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 633:21] - assign io_test = {_T_4328,buf_data_in_0}; // @[el2_lsu_bus_buffer.scala 554:11] - assign io_data_hi = _T_4588 | _T_4586; // @[el2_lsu_bus_buffer.scala 579:14] - assign io_data_lo = _T_4557 | _T_4555; // @[el2_lsu_bus_buffer.scala 580:14] - assign io_data_en = {_T_4325,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 553:14] - assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 298:14] - assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 340:14] - assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 260:15] - assign io_WrPtr1_m = _T_1840 ? 2'h0 : _T_1885; // @[el2_lsu_bus_buffer.scala 409:15] - assign io_wdata_in = {_T_1546,_T_1505}; // @[el2_lsu_bus_buffer.scala 375:15] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4928; // @[el2_lsu_bus_buffer.scala 653:35] + assign io_lsu_imprecise_error_store_any = _T_4912 | _T_4910; // @[el2_lsu_bus_buffer.scala 650:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4934 : _T_4676; // @[el2_lsu_bus_buffer.scala 654:35] + assign io_lsu_nonblock_load_valid_m = _T_4500 & _T_4501; // @[el2_lsu_bus_buffer.scala 580:32] + assign io_lsu_nonblock_load_tag_m = _T_1868 ? 2'h0 : _T_1904; // @[el2_lsu_bus_buffer.scala 581:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4503; // @[el2_lsu_bus_buffer.scala 583:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 584:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4724; // @[el2_lsu_bus_buffer.scala 597:35] + assign io_lsu_nonblock_load_data_error = _T_4553 | _T_4551; // @[el2_lsu_bus_buffer.scala 586:35] + assign io_lsu_nonblock_load_data_tag = _T_4593 | _T_4591; // @[el2_lsu_bus_buffer.scala 587:33] + assign io_lsu_nonblock_load_data = _T_4759[31:0]; // @[el2_lsu_bus_buffer.scala 598:29] + assign io_lsu_pmu_bus_trxn = _T_4951 | _T_4846; // @[el2_lsu_bus_buffer.scala 660:23] + assign io_lsu_pmu_bus_misaligned = _T_4954 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 661:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 662:24] + assign io_lsu_pmu_bus_busy = _T_4961 | _T_4963; // @[el2_lsu_bus_buffer.scala 664:23] + assign io_lsu_axi_awvalid = _T_4856 & _T_1244; // @[el2_lsu_bus_buffer.scala 620:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1853}; // @[el2_lsu_bus_buffer.scala 621:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4860; // @[el2_lsu_bus_buffer.scala 622:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 626:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 627:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4862 : 3'h3; // @[el2_lsu_bus_buffer.scala 623:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 628:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 630:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 625:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 624:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 629:20] + assign io_lsu_axi_wvalid = _T_4868 & _T_1244; // @[el2_lsu_bus_buffer.scala 632:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 634:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4872; // @[el2_lsu_bus_buffer.scala 633:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 635:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 648:21] + assign io_lsu_axi_arvalid = _T_4877 & _T_1244; // @[el2_lsu_bus_buffer.scala 637:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1853}; // @[el2_lsu_bus_buffer.scala 638:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4860; // @[el2_lsu_bus_buffer.scala 639:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 643:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 644:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4862 : 3'h3; // @[el2_lsu_bus_buffer.scala 640:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 645:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 647:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 642:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 641:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 646:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 256:11] + assign io_data_hi = _T_4664 | _T_4662; // @[el2_lsu_bus_buffer.scala 595:14] + assign io_data_lo = _T_4633 | _T_4631; // @[el2_lsu_bus_buffer.scala 596:14] + assign io_data_en = {_T_4404,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 569:14] + assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 314:14] + assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 356:14] + assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 276:15] + assign io_WrPtr1_m = _T_1919 ? 2'h0 : _T_1964; // @[el2_lsu_bus_buffer.scala 425:15] + assign io_wdata_in = {_T_1625,_T_1584}; // @[el2_lsu_bus_buffer.scala 391:15] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] + assign rvclkhdr_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_1_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] + assign rvclkhdr_1_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_2_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_2_io_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_en = _T_1245 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_3_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_3_io_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_en = _T_1245 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3437 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3516 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3630 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3709 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3823 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3902 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4016 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4095 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3437 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3516 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3630 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3709 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3823 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3902 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4016 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4095 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2716,13 +2710,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4269 = _RAND_1[0:0]; + _T_4348 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4266 = _RAND_2[0:0]; + _T_4345 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4263 = _RAND_3[0:0]; + _T_4342 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4260 = _RAND_4[0:0]; + _T_4339 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2738,17 +2732,17 @@ initial begin _RAND_11 = {1{`RANDOM}}; buf_state_3 = _RAND_11[2:0]; _RAND_12 = {1{`RANDOM}}; - _T_4296 = _RAND_12[2:0]; + _T_4375 = _RAND_12[2:0]; _RAND_13 = {1{`RANDOM}}; - _T_4294 = _RAND_13[2:0]; + _T_4373 = _RAND_13[2:0]; _RAND_14 = {1{`RANDOM}}; - _T_4292 = _RAND_14[2:0]; + _T_4371 = _RAND_14[2:0]; _RAND_15 = {1{`RANDOM}}; - _T_4290 = _RAND_15[2:0]; + _T_4369 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; - _T_1774 = _RAND_17[1:0]; + _T_1853 = _RAND_17[1:0]; _RAND_18 = {1{`RANDOM}}; obuf_merge = _RAND_18[0:0]; _RAND_19 = {1{`RANDOM}}; @@ -2814,13 +2808,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4239 = _RAND_50[0:0]; + _T_4318 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4236 = _RAND_51[0:0]; + _T_4315 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4233 = _RAND_52[0:0]; + _T_4312 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4230 = _RAND_53[0:0]; + _T_4309 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -2884,13 +2878,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4216 = _RAND_85[0:0]; + _T_4295 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4214 = _RAND_86[0:0]; + _T_4293 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4212 = _RAND_87[0:0]; + _T_4291 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4210 = _RAND_88[0:0]; + _T_4289 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -2908,40 +2902,40 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4245 = _RAND_97[0:0]; + _T_4324 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4248 = _RAND_98[0:0]; + _T_4327 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4251 = _RAND_99[0:0]; + _T_4330 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4254 = _RAND_100[0:0]; + _T_4333 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4320 = _RAND_101[0:0]; + _T_4399 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4315 = _RAND_102[0:0]; + _T_4394 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4310 = _RAND_103[0:0]; + _T_4389 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4305 = _RAND_104[0:0]; + _T_4384 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4895 = _RAND_106[0:0]; + _T_4971 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4269 = 1'h0; + _T_4348 = 1'h0; end if (reset) begin - _T_4266 = 1'h0; + _T_4345 = 1'h0; end if (reset) begin - _T_4263 = 1'h0; + _T_4342 = 1'h0; end if (reset) begin - _T_4260 = 1'h0; + _T_4339 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -2965,22 +2959,22 @@ initial begin buf_state_3 = 3'h0; end if (reset) begin - _T_4296 = 3'h0; + _T_4375 = 3'h0; end if (reset) begin - _T_4294 = 3'h0; + _T_4373 = 3'h0; end if (reset) begin - _T_4292 = 3'h0; + _T_4371 = 3'h0; end if (reset) begin - _T_4290 = 3'h0; + _T_4369 = 3'h0; end if (reset) begin buf_ageQ_3 = 4'h0; end if (reset) begin - _T_1774 = 2'h0; + _T_1853 = 2'h0; end if (reset) begin obuf_merge = 1'h0; @@ -3079,16 +3073,16 @@ initial begin buf_nomerge_3 = 1'h0; end if (reset) begin - _T_4239 = 1'h0; + _T_4318 = 1'h0; end if (reset) begin - _T_4236 = 1'h0; + _T_4315 = 1'h0; end if (reset) begin - _T_4233 = 1'h0; + _T_4312 = 1'h0; end if (reset) begin - _T_4230 = 1'h0; + _T_4309 = 1'h0; end if (reset) begin buf_dual_3 = 1'h0; @@ -3184,16 +3178,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4216 = 1'h0; + _T_4295 = 1'h0; end if (reset) begin - _T_4214 = 1'h0; + _T_4293 = 1'h0; end if (reset) begin - _T_4212 = 1'h0; + _T_4291 = 1'h0; end if (reset) begin - _T_4210 = 1'h0; + _T_4289 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3220,34 +3214,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4245 = 1'h0; + _T_4324 = 1'h0; end if (reset) begin - _T_4248 = 1'h0; + _T_4327 = 1'h0; end if (reset) begin - _T_4251 = 1'h0; + _T_4330 = 1'h0; end if (reset) begin - _T_4254 = 1'h0; + _T_4333 = 1'h0; end if (reset) begin - _T_4320 = 1'h0; + _T_4399 = 1'h0; end if (reset) begin - _T_4315 = 1'h0; + _T_4394 = 1'h0; end if (reset) begin - _T_4310 = 1'h0; + _T_4389 = 1'h0; end if (reset) begin - _T_4305 = 1'h0; + _T_4384 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4895 = 1'h0; + _T_4971 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3260,7 +3254,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3252) begin + end else if (_T_3331) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3268,75 +3262,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4269 <= 1'h0; + _T_4348 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4269 <= buf_write_in[3]; + _T_4348 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4266 <= 1'h0; + _T_4345 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4266 <= buf_write_in[2]; + _T_4345 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4263 <= 1'h0; + _T_4342 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4263 <= buf_write_in[1]; + _T_4342 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4260 <= 1'h0; + _T_4339 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4260 <= buf_write_in[0]; + _T_4339 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3437) begin + if (_T_3516) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3460) begin + end else if (_T_3539) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3464) begin + end else if (_T_3543) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3468) begin + end else if (_T_3547) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3498) begin - if (_T_3503) begin + end else if (_T_3577) begin + if (_T_3582) begin buf_state_0 <= 3'h0; - end else if (_T_3511) begin + end else if (_T_3590) begin buf_state_0 <= 3'h4; - end else if (_T_3539) begin + end else if (_T_3618) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3585) begin + end else if (_T_3664) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3591) begin + end else if (_T_3670) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3603) begin + end else if (_T_3682) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3352,7 +3346,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3261) begin + end else if (_T_3340) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3362,45 +3356,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3630) begin + if (_T_3709) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3653) begin + end else if (_T_3732) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3657) begin + end else if (_T_3736) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3468) begin + end else if (_T_3547) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3691) begin - if (_T_3696) begin + end else if (_T_3770) begin + if (_T_3775) begin buf_state_1 <= 3'h0; - end else if (_T_3704) begin + end else if (_T_3783) begin buf_state_1 <= 3'h4; - end else if (_T_3732) begin + end else if (_T_3811) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3778) begin + end else if (_T_3857) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3784) begin + end else if (_T_3863) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3796) begin + end else if (_T_3875) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3416,7 +3410,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3270) begin + end else if (_T_3349) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3426,45 +3420,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3823) begin + if (_T_3902) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3846) begin + end else if (_T_3925) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3850) begin + end else if (_T_3929) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3468) begin + end else if (_T_3547) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3884) begin - if (_T_3889) begin + end else if (_T_3963) begin + if (_T_3968) begin buf_state_2 <= 3'h0; - end else if (_T_3897) begin + end else if (_T_3976) begin buf_state_2 <= 3'h4; - end else if (_T_3925) begin + end else if (_T_4004) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3971) begin + end else if (_T_4050) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3977) begin + end else if (_T_4056) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3989) begin + end else if (_T_4068) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3480,7 +3474,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3279) begin + end else if (_T_3358) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3490,45 +3484,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4016) begin + if (_T_4095) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4039) begin + end else if (_T_4118) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4043) begin + end else if (_T_4122) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3468) begin + end else if (_T_3547) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4077) begin - if (_T_4082) begin + end else if (_T_4156) begin + if (_T_4161) begin buf_state_3 <= 3'h0; - end else if (_T_4090) begin + end else if (_T_4169) begin buf_state_3 <= 3'h4; - end else if (_T_4118) begin + end else if (_T_4197) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4164) begin + end else if (_T_4243) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4170) begin + end else if (_T_4249) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4182) begin + end else if (_T_4261) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3541,47 +3535,47 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4296 <= 3'h0; + _T_4375 <= 3'h0; end else if (buf_wr_en_3) begin - _T_4296 <= buf_byteen_in_3; + _T_4375 <= buf_byteen_in_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4294 <= 3'h0; + _T_4373 <= 3'h0; end else if (buf_wr_en_2) begin - _T_4294 <= buf_byteen_in_2; + _T_4373 <= buf_byteen_in_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4292 <= 3'h0; + _T_4371 <= 3'h0; end else if (buf_wr_en_1) begin - _T_4292 <= buf_byteen_in_1; + _T_4371 <= buf_byteen_in_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4290 <= 3'h0; + _T_4369 <= 3'h0; end else if (buf_wr_en_0) begin - _T_4290 <= buf_byteen_in_0; + _T_4369 <= buf_byteen_in_0; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2460,_T_2383}; + buf_ageQ_3 <= {_T_2539,_T_2462}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin - _T_1774 <= 2'h0; + _T_1853 <= 2'h0; end else if (obuf_wr_en) begin if (ibuf_buf_byp) begin - _T_1774 <= WrPtr0_r; + _T_1853 <= WrPtr0_r; end else begin - _T_1774 <= 2'h0; + _T_1853 <= 2'h0; end end end @@ -3607,14 +3601,14 @@ end // initial if (reset) begin obuf_valid <= 1'h0; end else begin - obuf_valid <= _T_1765 & _T_1766; + obuf_valid <= _T_1844 & _T_1845; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_wr_enQ <= 1'h0; end else begin - obuf_wr_enQ <= _T_1166 & io_lsu_bus_clk_en; + obuf_wr_enQ <= _T_1245 & io_lsu_bus_clk_en; end end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin @@ -3637,15 +3631,15 @@ end // initial if (reset) begin ibuf_valid <= 1'h0; end else begin - ibuf_valid <= _T_910 & _T_911; + ibuf_valid <= _T_989 & _T_990; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_byteen <= 4'h0; end else if (ibuf_wr_en) begin - if (_T_779) begin - ibuf_byteen <= _T_794; + if (_T_858) begin + ibuf_byteen <= _T_873; end else if (io_ldst_dual_r) begin ibuf_byteen <= ldst_byteen_hi_r; end else begin @@ -3657,35 +3651,35 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2358,_T_2281}; + buf_ageQ_2 <= {_T_2437,_T_2360}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2256,_T_2179}; + buf_ageQ_1 <= {_T_2335,_T_2258}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2154,_T_2077}; + buf_ageQ_0 <= {_T_2233,_T_2156}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin buf_data_0 <= 32'h0; - end else if (_T_3437) begin - if (_T_3452) begin + end else if (_T_3516) begin + if (_T_3531) begin buf_data_0 <= ibuf_data_out; end else begin buf_data_0 <= store_data_lo_r; end - end else if (_T_3460) begin + end else if (_T_3539) begin buf_data_0 <= 32'h0; - end else if (_T_3464) begin + end else if (_T_3543) begin if (buf_error_en_0) begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_0[2]) begin @@ -3693,8 +3687,8 @@ end // initial end else begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3498) begin - if (_T_3578) begin + end else if (_T_3577) begin + if (_T_3657) begin if (buf_addr_0[2]) begin buf_data_0 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3710,15 +3704,15 @@ end // initial always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin buf_data_1 <= 32'h0; - end else if (_T_3630) begin - if (_T_3645) begin + end else if (_T_3709) begin + if (_T_3724) begin buf_data_1 <= ibuf_data_out; end else begin buf_data_1 <= store_data_lo_r; end - end else if (_T_3653) begin + end else if (_T_3732) begin buf_data_1 <= 32'h0; - end else if (_T_3657) begin + end else if (_T_3736) begin if (buf_error_en_1) begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_1[2]) begin @@ -3726,8 +3720,8 @@ end // initial end else begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3691) begin - if (_T_3771) begin + end else if (_T_3770) begin + if (_T_3850) begin if (buf_addr_1[2]) begin buf_data_1 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3743,15 +3737,15 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin buf_data_2 <= 32'h0; - end else if (_T_3823) begin - if (_T_3838) begin + end else if (_T_3902) begin + if (_T_3917) begin buf_data_2 <= ibuf_data_out; end else begin buf_data_2 <= store_data_lo_r; end - end else if (_T_3846) begin + end else if (_T_3925) begin buf_data_2 <= 32'h0; - end else if (_T_3850) begin + end else if (_T_3929) begin if (buf_error_en_2) begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_2[2]) begin @@ -3759,8 +3753,8 @@ end // initial end else begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3884) begin - if (_T_3964) begin + end else if (_T_3963) begin + if (_T_4043) begin if (buf_addr_2[2]) begin buf_data_2 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3776,15 +3770,15 @@ end // initial always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin buf_data_3 <= 32'h0; - end else if (_T_4016) begin - if (_T_4031) begin + end else if (_T_4095) begin + if (_T_4110) begin buf_data_3 <= ibuf_data_out; end else begin buf_data_3 <= store_data_lo_r; end - end else if (_T_4039) begin + end else if (_T_4118) begin buf_data_3 <= 32'h0; - end else if (_T_4043) begin + end else if (_T_4122) begin if (buf_error_en_3) begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_3[2]) begin @@ -3792,8 +3786,8 @@ end // initial end else begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_4077) begin - if (_T_4157) begin + end else if (_T_4156) begin + if (_T_4236) begin if (buf_addr_3[2]) begin buf_data_3 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3811,27 +3805,27 @@ end // initial ibuf_timer <= 3'h0; end else if (ibuf_wr_en) begin ibuf_timer <= 3'h0; - end else if (_T_828) begin - ibuf_timer <= _T_831; + end else if (_T_907) begin + ibuf_timer <= _T_910; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_sideeffect <= 1'h0; - end else if (_T_916) begin + end else if (_T_995) begin ibuf_sideeffect <= io_is_sideeffects_r; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr1_r <= 2'h0; - end else if (_T_1840) begin + end else if (_T_1919) begin WrPtr1_r <= 2'h0; - end else if (_T_1854) begin + end else if (_T_1933) begin WrPtr1_r <= 2'h1; - end else if (_T_1868) begin + end else if (_T_1947) begin WrPtr1_r <= 2'h2; - end else if (_T_1882) begin + end else if (_T_1961) begin WrPtr1_r <= 2'h3; end else begin WrPtr1_r <= 2'h0; @@ -3840,13 +3834,13 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr0_r <= 2'h0; - end else if (_T_1789) begin + end else if (_T_1868) begin WrPtr0_r <= 2'h0; - end else if (_T_1800) begin + end else if (_T_1879) begin WrPtr0_r <= 2'h1; - end else if (_T_1811) begin + end else if (_T_1890) begin WrPtr0_r <= 2'h2; - end else if (_T_1822) begin + end else if (_T_1901) begin WrPtr0_r <= 2'h3; end else begin WrPtr0_r <= 2'h0; @@ -3855,8 +3849,8 @@ end // initial always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_tag <= 2'h0; - end else if (_T_916) begin - if (!(_T_779)) begin + end else if (_T_995) begin + if (!(_T_858)) begin if (io_ldst_dual_r) begin ibuf_tag <= WrPtr1_r; end else begin @@ -3869,41 +3863,41 @@ end // initial if (reset) begin ibuf_data <= 32'h0; end else begin - ibuf_data <= {_T_827,_T_804}; + ibuf_data <= {_T_906,_T_883}; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dualtag <= 2'h0; - end else if (_T_916) begin + end else if (_T_995) begin ibuf_dualtag <= WrPtr0_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dual <= 1'h0; - end else if (_T_916) begin + end else if (_T_995) begin ibuf_dual <= io_ldst_dual_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_samedw <= 1'h0; - end else if (_T_916) begin + end else if (_T_995) begin ibuf_samedw <= ldst_samedw_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_nomerge <= 1'h0; - end else if (_T_916) begin + end else if (_T_995) begin ibuf_nomerge <= io_no_dword_merge_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_unsign <= 1'h0; - end else if (_T_916) begin + end else if (_T_995) begin ibuf_unsign <= io_lsu_pkt_r_unsign; end end @@ -3919,8 +3913,8 @@ end // initial obuf_wr_timer <= 3'h0; end else if (obuf_wr_en) begin obuf_wr_timer <= 3'h0; - end else if (_T_984) begin - obuf_wr_timer <= _T_986; + end else if (_T_1063) begin + obuf_wr_timer <= _T_1065; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -3953,30 +3947,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4239 <= 1'h0; + _T_4318 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4239 <= buf_sideeffect_in[3]; + _T_4318 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4236 <= 1'h0; + _T_4315 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4236 <= buf_sideeffect_in[2]; + _T_4315 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4233 <= 1'h0; + _T_4312 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4233 <= buf_sideeffect_in[1]; + _T_4312 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4230 <= 1'h0; + _T_4309 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4230 <= buf_sideeffect_in[0]; + _T_4309 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4050,14 +4044,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1231 & _T_4767; + obuf_cmd_done <= _T_1310 & _T_4843; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1231 & _T_4768; + obuf_data_done <= _T_1310 & _T_4844; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4135,13 +4129,13 @@ end // initial if (reset) begin obuf_rdrsp_pend <= 1'h0; end else begin - obuf_rdrsp_pend <= _T_1256 | _T_1260; + obuf_rdrsp_pend <= _T_1335 | _T_1339; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_rdrsp_tag <= 3'h0; - end else if (_T_1262) begin + end else if (_T_1341) begin obuf_rdrsp_tag <= obuf_tag0; end end @@ -4195,86 +4189,86 @@ end // initial if (reset) begin obuf_data <= 64'h0; end else begin - obuf_data <= {_T_1546,_T_1505}; + obuf_data <= {_T_1625,_T_1584}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3082,_T_3071}; + buf_rspageQ_0 <= {_T_3161,_T_3150}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3097,_T_3086}; + buf_rspageQ_1 <= {_T_3176,_T_3165}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3112,_T_3101}; + buf_rspageQ_2 <= {_T_3191,_T_3180}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3127,_T_3116}; + buf_rspageQ_3 <= {_T_3206,_T_3195}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4216 <= 1'h0; + _T_4295 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4016) begin - _T_4216 <= 1'h0; - end else if (_T_4039) begin - _T_4216 <= 1'h0; + if (_T_4095) begin + _T_4295 <= 1'h0; + end else if (_T_4118) begin + _T_4295 <= 1'h0; end else begin - _T_4216 <= _T_4043; + _T_4295 <= _T_4122; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4214 <= 1'h0; + _T_4293 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3823) begin - _T_4214 <= 1'h0; - end else if (_T_3846) begin - _T_4214 <= 1'h0; + if (_T_3902) begin + _T_4293 <= 1'h0; + end else if (_T_3925) begin + _T_4293 <= 1'h0; end else begin - _T_4214 <= _T_3850; + _T_4293 <= _T_3929; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4212 <= 1'h0; + _T_4291 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3630) begin - _T_4212 <= 1'h0; - end else if (_T_3653) begin - _T_4212 <= 1'h0; + if (_T_3709) begin + _T_4291 <= 1'h0; + end else if (_T_3732) begin + _T_4291 <= 1'h0; end else begin - _T_4212 <= _T_3657; + _T_4291 <= _T_3736; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4210 <= 1'h0; + _T_4289 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3437) begin - _T_4210 <= 1'h0; - end else if (_T_3460) begin - _T_4210 <= 1'h0; + if (_T_3516) begin + _T_4289 <= 1'h0; + end else if (_T_3539) begin + _T_4289 <= 1'h0; end else begin - _T_4210 <= _T_3464; + _T_4289 <= _T_3543; end end end @@ -4282,11 +4276,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3437) begin + if (_T_3516) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3460) begin + end else if (_T_3539) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3464) begin + end else if (_T_3543) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4299,7 +4293,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3252) begin + end else if (_T_3331) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4310,11 +4304,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4016) begin + if (_T_4095) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4039) begin + end else if (_T_4118) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4043) begin + end else if (_T_4122) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4325,11 +4319,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3823) begin + if (_T_3902) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3846) begin + end else if (_T_3925) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3850) begin + end else if (_T_3929) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4340,11 +4334,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3630) begin + if (_T_3709) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3653) begin + end else if (_T_3732) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3657) begin + end else if (_T_3736) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4357,7 +4351,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3261) begin + end else if (_T_3340) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4370,7 +4364,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3270) begin + end else if (_T_3349) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4383,7 +4377,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3279) begin + end else if (_T_3358) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4392,58 +4386,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4245 <= 1'h0; + _T_4324 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4245 <= buf_unsign_in[0]; + _T_4324 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4248 <= 1'h0; + _T_4327 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4248 <= buf_unsign_in[1]; + _T_4327 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4251 <= 1'h0; + _T_4330 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4251 <= buf_unsign_in[2]; + _T_4330 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4254 <= 1'h0; + _T_4333 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4254 <= buf_unsign_in[3]; + _T_4333 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4320 <= 1'h0; + _T_4399 <= 1'h0; end else begin - _T_4320 <= _T_4317 & _T_4318; + _T_4399 <= _T_4396 & _T_4397; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4315 <= 1'h0; + _T_4394 <= 1'h0; end else begin - _T_4315 <= _T_4312 & _T_4313; + _T_4394 <= _T_4391 & _T_4392; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4310 <= 1'h0; + _T_4389 <= 1'h0; end else begin - _T_4310 <= _T_4307 & _T_4308; + _T_4389 <= _T_4386 & _T_4387; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4305 <= 1'h0; + _T_4384 <= 1'h0; end else begin - _T_4305 <= _T_4302 & _T_4303; + _T_4384 <= _T_4381 & _T_4382; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4455,9 +4449,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4895 <= 1'h0; + _T_4971 <= 1'h0; end else begin - _T_4895 <= _T_4892 & _T_4425; + _T_4971 <= _T_4968 & _T_4501; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index b9b8abf9..f8b05c32 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -230,15 +230,31 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(0)(i)) & buf_data(i)(7, 0)).reduce(_ | _)) val bus_coalescing_disable = io.dec_tlu_wb_coalescing_disable | BUILD_AHB_LITE.B - val ldst_byteen_r = Mux1H(Seq(io.lsu_pkt_r.by -> 1.U(4.W), - io.lsu_pkt_r.half -> 3.U(4.W), - io.lsu_pkt_r.word -> 15.U(4.W))) - val byteen = Cat(0.U(4.W), ldst_byteen_r) << io.lsu_addr_r(1, 0) - val ldst_byteen_hi_r = byteen(7, 4) - val ldst_byteen_lo_r = byteen(3, 0) - val store_data = Cat(0.U(32.W), io.store_data_r) << (8 * io.lsu_addr_r(1, 0)) - val store_data_hi_r = store_data(63, 32) - val store_data_lo_r = store_data(31, 0) + val ldst_byteen_r = Mux1H(Seq(io.lsu_pkt_r.by -> 1.U(4.W), + io.lsu_pkt_r.half -> 3.U(4.W), + io.lsu_pkt_r.word -> 15.U(4.W))) + + val ldst_byteen_hi_r = Mux1H(Seq((io.lsu_addr_r(1,0)===0.U)->0.U(4.W), + (io.lsu_addr_r(1,0)===1.U)->Cat(0.U(3.W), ldst_byteen_r(3)), + (io.lsu_addr_r(1,0)===2.U)->Cat(0.U(2.W), ldst_byteen_r(3,2)), + (io.lsu_addr_r(1,0)===3.U)->Cat(0.U(1.W), ldst_byteen_r(3,1)))) + val ldst_byteen_lo_r = Mux1H(Seq((io.lsu_addr_r(1,0)===0.U)->ldst_byteen_r, + (io.lsu_addr_r(1,0)===1.U)->Cat(ldst_byteen_r(2,0), 0.U), + (io.lsu_addr_r(1,0)===2.U)->Cat(ldst_byteen_r(1,0), 0.U(2.W)), + (io.lsu_addr_r(1,0)===3.U)->Cat(ldst_byteen_r(0) , 0.U(3.W)))) + + val store_data_hi_r = Mux1H(Seq((io.lsu_addr_r(1,0)===0.U)->0.U(32.W), + (io.lsu_addr_r(1,0)===1.U)->Cat(0.U(8.W) , io.store_data_r(31,8)), + (io.lsu_addr_r(1,0)===2.U)->Cat(0.U(16.W), io.store_data_r(31,16)), + (io.lsu_addr_r(1,0)===3.U)->Cat(0.U(24.W), io.store_data_r(31,24)))) + + val store_data_lo_r = Mux1H(Seq((io.lsu_addr_r(1,0)===0.U)->io.store_data_r, + (io.lsu_addr_r(1,0)===1.U)->Cat(io.store_data_r(23,0), 0.U(8.W)), + (io.lsu_addr_r(1,0)===2.U)->Cat(io.store_data_r(15,0), 0.U(16.W)), + (io.lsu_addr_r(1,0)===3.U)->Cat(io.store_data_r(7 ,0) , 0.U(24.W)))) + + io.test := ldst_byteen_r + val ldst_samedw_r = io.lsu_addr_r(3) === io.end_addr_r(3) val is_aligned_r = Mux1H(Seq(io.lsu_pkt_r.word -> (io.lsu_addr_r(1, 0) === 0.U), io.lsu_pkt_r.half -> !io.lsu_addr_r(0), @@ -551,7 +567,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { buf_data := (0 until DEPTH).map(i=>rvdffe(buf_data_in(i), buf_data_en(i), clock, io.scan_mode)) buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & !buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) io.data_en := (0 until DEPTH).map(i=>buf_data_en(i).asUInt()).reverse.reduce(Cat(_,_)) - io.test := (0 until DEPTH).map(i=>buf_data_in(i).asUInt()).reverse.reduce(Cat(_,_)) + val buf_numvld_any = (0 until DEPTH).map(i=>(buf_state(i)=/=idle_C).asUInt).reverse.reduce(_ +& _) buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) buf_numvld_cmd_any := (0 until DEPTH).map(i=>((buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 439802068763078ee1c35b8814edf16397b13c9e..0a7059d3b71f2ef2e17d883f166b08ab5e43b4b1 100644 GIT binary patch delta 110 zcmcaFcVBM9Jr+je&G%U>*%%j0mgKetk_Eitlk>Pep{(=VRzMaPj}4G?=YhyePM*hO u0pwrjaRsZAoNUHx31-DGE}DFnH*%&8GmgKetk_Eitlk>Pep{(=VRzMaPj}4G?=YhyePM*hO u0pwrjaRsZAoNUHx31-DGPMUm{HLV2Z diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index c2156c027e2ee5711cc8ee14442a4fec9e1a630f..b260e9bbb68c06f46b427b201387fc96c10813c7 100644 GIT binary patch delta 19 Zcmey$`jvG<1QX+e$&pL}Kynq6HvmjE2MGWG delta 19 Zcmey$`jvG<1QX+g$&pL}Kynq6HvmgD2I&9* diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index dcf3244fc9b082816dca9fe0063050ee103da123..e87a1615560646f37d64b9a8c88b0413ebaca22f 100644 GIT binary patch literal 553064 zcmcG133yz|RqnmrQm-Y+E!&c1%bt-fZ{tPkmSoA2$DWof%d#bTBzd>xc1vo>ZA)sY z)w1jvk28S~AOr{`kOT->2oSbFAS59`2)w|{<0X(42s;T7LN>Ax!V>cSQ|B&qZ|D!GZZWY`Fs#+LG;4lhq-=dz};V@MJ$#oWwXZmQHWPVDjg>_WD*Qq1+3 zM&;!FQf}FN>S)MpdTut`+q*ryY9*i{RrvQTKG~Ug z+_m$8qJLEKxt-tpyep7Rn2tcW!ju4^j>(Qnz7z;Ym`@FnO$6NhLg9}p{0+dO8g!G^c=pm(Eqs*6pds^WqTn`#Pukc3|{$+)qa6N1I zy22k-_%|)StnYS-6ItJBH9zyEJ-5{SJKX$p3V&4LFDiWY=v-gRqqC!t9-aA?N9Xoo z&n@k-J-X~Kg>QRw0^AaNbP2eK?a?8yWO;N_6tO%y6|lq}T^1a%Ji64w9$o4QDV|_y zNqTzLU+WIArKI%q%&$}UOA3Fh!cR(1&-r&K{3V6IQ{gA2r|0~86#kOJe?s9WrKjio zhZX*k!cQsuBzt=5;K&h$zohWH6@Jp40V2m0{*uD)RrtxM;g2c&r0eM;7Zv`J!oRBUlhV_3``l3YOA3FI`7|>uC8ejAGegLlNf;;Y%n-3= z0LG!~=_B?GAaTfii9>q^kT_&dFZuQiAoDAHWd>MMW`Kw_1F${r%n)&A09gQgdRc%y z1IPjtzBL2zz+g`=^IJ23Y=}|GmkklIW&p|O8G!lL48Zy248VMA24MYi2H=6$=z54H zo*9_`xWea|f%(?VAocLfzG@6HU7hYEkmr{O%SYs!R-K(VZBg%EVx%+zBA6ObljG z;VTn^nQ|w9s5LP}Z^Hgs>A2I;Fzm4$y5x_!{E)RZpz&oE-3cICWSr1=H&fD~%kdt) ztKh2WF7>0&DEyS`(4!9(JwkKYG-s~)1k_AGF=&{T8RXL z{R5^M8q8*&0{=;sKj`v@RsLC*Kf(NleiM3ziJzXVgr0fkZ{8gS{~Ym~c0LCFb1ENe zFq>Z$lJ;=^ahJbcAkaCI!kvRZoE{OXq~#Vwf)lY_E0eVY&2iAGn9eqBta!R3kxa%ymEmn$?>CzjPv(w47&!NIWiY%ewv=t?xIJ4p z-MKdythiV;u`wGsIyrDytRC75{kzVjv)THJ8`0$G?Z&pdSL)6~UtPuJbW<`h+?(1K zZ8*9Iax+yEyP7JWn3=jbw72DIQ)#)oaqoev-81=KME-)><;$!4uyN#ve~A+ArsGL#be87w+_u7zS=o6 zF;?1?O?ND&xqY7Am}rRJ$<_s{q6cnOZ|$5(>~GkAC%dOFu(2|^bis_xR*Tt%%bkmZ zbq9B5n+{NY+LIS6E5p~e9X(|R`!dI;!@YgISHdkDDdt(RFSOKq=xXX_K6UWkLD+Zp zOsT%=dh7h))?=sVx3t}!4Q(1YytOhOzO(J<8R;js*EL1jW(FSaI(_&?>%IPm+g1-Z zbk59H56v!IZOx63h`m+U+oGGRaz~an%Gm7D1L;KWZ1savHP?G?&hBZxbZBe)?Ahw( zdt#!il&@=E6<0e-eX;3FRl!ij@#=})4NZM!_*}dxc(rZ%#Mtzu!j|^MT=l_KaVdNgUxh<)?vk%YiJ+!0!=D?|ENFH|gcUpEg97@OLXD>e3bLd9*%}ngzt@+ET z)QRfp&T80s(Y7-znt~WFCt?S?NZ;_oZS}L4Q}a|_;<{VjW3s&V<+F7UjtmdCPG32E zvT3rZt71zmJcwBKWH6cSY`KAPI5M|7*U&kKevKB~e%&-!*SIREoU6dywziwO(6M`h z`sHk+-7j03f;66kUDY>Q7Y;-l25T^G=c@ZJ1NW<&qYd|4(iOKSs;4j2Ty4X68SWb0 z5vbQ02MSwyQ2&W8yWd*Ul~n&wVO!759O{$2Y1OCcslaC0?#bNXnFkjShXNJv zeVztpRiC*r(bSLyK%*DV=Bjs8#-lY2mVql<(tT}(!H4mIs;%8Ouk9R7CjorfkzR#+ zYJ#E44OJEpo)t|yw|3=DgqjD2Ct8;i`~r5yQmcz*C<+ohVu&YPoqn(rNKMEU33^3%cg`8y*gR}Wrq zy_==_o^tipGyvG!JGeZBZvlGo+L0l22-!RAmS;w9&pzC?rTS{y%<$HOomBv)w0FSO zTc2$1thv#eyAzsTC4fX%U3;>@&K%n7)S(;6`4dM@HU%a(*Z@2qJd_=n-`;a`@S+%4 zkmXjjS@q1frla$NBhB}QuE0Uml~&17uzam6|D*))C%T9j!jPeP=dZKGe|m3~+RR#vNcaS4Q9f8=ky<;!OLNs_Pv&!r82g zGvTHW4Zu-V-g5Cd8lZt0I=KbyMmQw9k7(`QZrj~x+kHNG)E@ZKf!#dYP4(LDrl*)tD9Epj}S>Nh~od!Kg|EqOfI zRt$(O?RT%F9@GtAf0BUT;Q-&Pay(=I#rD}l7|>^rRGe z#03l6gJOUO8{2O7&op12-_mhAj}^(etF7}H8i-@AgV>#HUX=iR*jEn^hZW0%(BW+W z_+EQvTG`T6*_k_mnXBq*a-~nzGw$lyMS89t%MFH_x6DhA4Si^cM%x}VXa#lXp1Cj@ zbb5T`AOPRJoH_I0)WY>791+|9xSM};jr>PyZgef5eHfoT{21&UaP2fB}Ec6j2&;mwU_JXw|7^4)s5uM`OtKIwRB96mjCRT zP4`B~vA@X9kBLOv9;_es&;Xot2Vk<79M8n@5>_Ty2|S#_ilyx)^*;^d({6j1692t( zSaHzGVAsBy$GU*~L-vZ`=mAs68Lcg1%;=cFsNdt^)@!Yk1)llIvDxzG}~9Xb{{H_^31{h*{*JB~YaY)j!fCe+PU$^yXE@3imc?RL7S{)JjG(9%#D zkJsB1D*TOG|9i7zoAv=uA!p%oF78eYmygZ&jWkDk`}$&T|1WtaG&y1R^$9oqzLS2i zp?eiLqlqWfX#4AD1v`H3zvc1TlTAA(Bd#CdiA7Gx!xOYpqx7ho&VHHG;fK!cc`ytA z5ZdSJSL{zNU#Tl$=g?PY+xIZ!+7J6m|M?oj);yX~J|s*&^H+1bNp zHf`(5ot8n^XW`7Aww`-A;~ox5PyzUt??mTvaG_xbRP8sRXW!WL#v z(`e#iUw>so)5V(Yoil~XWJ0c3s^d#d+uG*OKHOG`&H24PEcNF`%}61HCHm!^O~K6# zm#VL}o(wkd-*jcNspV?-(h10=C)!F`DHq9Qy0M3yNG|91V2OQsXW+5K1MKlzm-@~$ zZ^0h=W;hT_2CjA$&OYqfatM2_a44IeZ|s^maYN9G=OpyK( zqWcH;rQPRr}%Q9GZWmOpcU7>+@9vk zRfA^YN^Rq5%z)42>kiH?U`2M~h)7_CR>I1xe#4EnnF*}G5MyWxrg_UiE4M>iyKY`N zj=i97tALft&1-=e$={?Is6Z>cs|P#hv4ViT(VEJN&~#l#SK?wg7(aU+$mkB;4h<#_ zU+=t|m$8RXW&F~?!Tn}vI+L2dG~dv3JJU@FIu@FVjRHY!GfQ>Nod>QS4bTdaS5R2d z?Kp-Q#nfu`pjmqbD-~*=6FY|n&fP#vVdqeNnpTRurOc0ywO^j65smiutVChwu&kFz z>-iNMv!o|BbQ)XG+tj||TE_~lxXh52AKs0a!XURJZ5eO1TuseVdmc99T0ZRG1pD_K zdaP&W#GXymPp}s&-)rV(Eq_}gusMoYh1GwD(;>o#lt0^DvC*uceqRo6xY51RH+HbT z$@>iZB!2Fmn`oVxxR}T$gVu;|I>34_A6q_wnE*jA(tGMqL(e3h>sc?az+^d}k1*oj~2DeFz-{_C_i8(~WVyk+zmMdBvI_J8k}HYNy~) zS6+H%;0<<^PMm3s6wt2YWA;u%r1gB@O^(}Lms%P+VYf*4Qax%9ZmDYQnLLMaCZD-p z77k>)p}*o12huN%VC8!QJ1$zmqMnCj`_R_(0d_3Om9rcZo|%AsyBfNlIZ-S96#G5t zKSWy3hhG7nW*=_ss+nMaa>$GzruAS?Vf)dWg)>8lfhGdGoOWUVa{{58LuSmIPwrT3 zyiN@aW5XJ_=mRB)v$;0WcjMQuDl|j zW$1+DlZ;0S!2pjM`K4Vq==e;p*Y*ZOiBCT4Z@UqZL`C5jhM$^H}H*mm&R*R@2%}K17kccWcyt1Da`IUhS;*xK6fWZXy?QFq#YyY z?@@Wu|6J~VmhB0XJ@6+Tz!g>^Y40<}znqs2?&vCw?{(nhfF}_t$gqh%kdUD5!mZYXdI-b7$YXszT- zuSf?soe5#0o=D-)1VFsjJ=vc+Sf34%W6&NJV1RfR5;<%ws;_ph@Wk3yIDz&xG0`G+ zX-#A_0k$p&`@M3bi2c=+EnqqqPn_{DV5U|Pt7{5A*>D;TeC7mg?Pvk;aN`!Uzk4Nf z1`9R0bjCubJl}k#ET5&%s>iG~u$_90;1FnQ+dWy2lO3fK2!SkY?V16Aw={X5BUsSM zg#AeEWaWbT6TFdbcKZz%UcT_ESx;g@N?Ugc9#zRs8!EB zb7)8DxR)#(SPT1SFV*192CrRRcQK)kaz0+*WiB>vNn7}m3&7(CPorOLd#s7Zv4@{A z(QagW=xm}s&3;g}r{jmLabo)+YaBJ%&lAVV?)I5r2iL=XcH}RXI$N%_JAUj=cjFd} zv!>v7yPt%~_1Ly=!=SmDC)l0^j2AkSn7)PuC_>$V19BnJb@$rUY53D)%L7MfW6||Y zx-zkoHdGTA=VoiLp|SbFVd*CecaF55#zxTA1HX1(E;tGZ9k*Nq-a|`E(%;_LbWhyq z0N(8d-Lf_DTMN4G2Q+SOoekh;ETf&d?AWGA7je29`llvXM?JOqb8Q|>UjJN$A{$p71Gft=;@b^b;9NW*B=j1%2E>z^1MsQTmhd;^AU+=-$ zqp?g2Mx6B#-i9XHCI@G*VC4RqL3y_aLsuAA93sYsi2Hluc;n^a#TaEc7bM@ffb6YzX3n31b)5c(~p=%}g@Y&gxt=+R%j>B$K zPp!c!Y#Q`SfG-qdq)UXl9kXN)(i z-GDEgZI4`Uv)hgEbczB>7!MLph3Yxrup2L#$c;ql#EnJ@WR=c#H>2KBt~cSHH-Ol< zM7h05ZcE$Z1Z`9>&shB;H{gN-Q=$9ZF1)Uyz!z^!MjqVdkmNStvWGS%2n-yPa&zzt zv~in~euw9o!Q-V%a(*7&76HjDL@+}N{xeBdzVfwL4)aP4FK(+0@4 zulqr#JPW1uL^>ktJG8xxHu&sM(p+w?b`x!Am(VUYp5=x%S0@GzF`k`g3ZT*W8Ja)< z5bq4|(VL(R7jN{p(AhZVgDdS#!J{7iw4q;O{A@fuO!8QtK%e8MF%A?zjSUs{4_sd> ze=o+jtX~87(-{QZu<@pG%HzcvU!-@~(z^wLgWkZ#CZ0F;)NG|d#xC9{hOF^r>2cOa zyWMfrVvP^E&I!aU`_*?8%mm}T`!-%mnjOYVJ?LkZ00%ZOE;hP$V?E}!m$Gg~ zK-XC}FQhB8);t>Ddu}56%+!U1Sz8qi#|IAPYo;0!iOt(9$A)_^gkLn2Hm9~C#Ggzb z*l~3J?3vi8HQ&|^Z||O5J{3S9vVHMRH?6C>m-}WmZEEs9BjoR_<5BKW>6iO<9IQ8G z01W$a%wwDBs02qJ*~Ed)L+Q}TYqb@p&TVh#KU+=n<4(-4*auYYni&XPA^$m=KO;`= zzna4OUe3=v&ab8Bq+S`Yl!2?I@#^dK^H zMx&p^%VzI=KC!XS+&S48oDRq{kVyDQa~Nke$zW5%h3c)e0SRo(_F4uFn^7x0MH9}Q z?MKP5rSygFr6w%&H|XBPLd4PDV^6pvb|H;Rk4f#X<=KeeztL2w4#z>-oWv zmaWp!-ondIrECZ(&2cdywryY zizBcopB=7@#8H6c6n>CR6Djf?+Kh?9v#?GUmP7Yt$Jz_(zV0C`kmS21{&x*{p@R*x z{_Nj+7lHx>^4$vky9d%?XUrXRCXC2hp+EJYbN(9MH3`vMi1M8g`En3WPS$*9fKD!g zdHGU}{~ZgS0Iy?0&#jYwts~GO7hqkB6ES(_jmVXt36&z&2vj8kNnbiIP`YM^M-E~E zQMu+t1>|aYcRafKWuy zdizm%hlC=Ja3H?+;|cu^-kQaREYRk&M^5aBF!rU65$JzP{ z{P9gVIlzR4w^r$$j`1TE`*4DSw~et--`>5Ld$^4QIyAvWO(SK0ub}_l;#__zU+OE& zFXrcR=N3!(!oqU1_pxs-ySyAWs_=1w_2t4wjcIJu2%E-cTXHcwbtgNUYwj%+=9U3u`o~ibJ>OS zg`qsY>X4la8{45umtq=^>lDBaMfh>k*g(Q6S7|T1)=rj7`RUTFu(8WDs=YkZ*nK;D zH`_9oU6{oeC+-&R)Aub}o-XF5b2H89VzIEAUS2Lx2OcpEp{9n7E__Nu$pS+bvh(?c z*}ek4%yF;OJfEE^7Mfv2>yX`J&Nn+aXUm8xfX>PlXR=fDS&cxxfG%|8dRM5i>2n(= z`=364+BD2T(}+nrGjwhsg@p5i!~J8ZvHY7EPG3d_ra43K9+^^Yeb3|k%HmwE6AD9l zD9-dziftqTnNn7>`4Xj6PR&o#-%v5PyeP9y7Z!4v#cZ*Z&(0AeE*WUoJFq|F)+|1bJNSE41IGXw~)D&&&>N+ za|L20=B8!2$WgkRo65|gG518?X_%~S&-H^+&onjW_r17H}ZNkIC+U1BSCHmAd?;z?v=bP zmdP!w+0u^9aT1-*ma;PU26~cOLB_r4-IEP`?V2-C0a21X6_eO7G`sB6H(ck z>@s4Kw)%u0?q=umXkQvU+?-T(b?|t(R7PBCvB!z@NQ|in0h-A=>hP&T7Sq`j{C8$L zzf4{M9jSU7nCJICeipnBST)O~LNUi<3Z3TTa30od^*eHi{Bj1Bn_b9FlR(6>dJ+9& zHG>>J)$&22jiJUFi-g1tGHs?%oI=@zM_3|RE;ej!r9AM3>d9n=8jWT3nZ#MS1bpOD z@##`ADQ%NvE0Nr|HW0Zq6`E}OvV}WYnllWzDwZdw(zx%rM}*8vG{adwN~`5Fmt@Hn zR#161T?)u&OM&AYSRWp3qq*s+;gtbrC)&dyEEAWX!BR}-TA9cA&a4(`LWC{-k$SXOc!DQWUP5GB2-TW*Fjq6KF#2&Xfs1zRU;Om*_QP#!_DA*JWA+tDMA zg@$yhBuSH$1LMv-h#)cGf-7+fy z^jl>?RE5WN?iW-W*b@M)GVQ zLiJ=l+{#Lp;xx^_-07GL-02vIQXeyN;Ik5zkqjG=ayiEB>uQw6M5QIvG?*SG?T9Ps z)c){LGTrSUo5N$9+m`b%M{QJU5YEA!MbDhhMk~IBJ4Lo2wTwhA&l(gZo)M&X5Q zESD&lTv3g_`Dr-s)|0s@=OHN{Y6$ug4SBf@Ms3EH7m-({5~fLxeHJLx zBgE+_SdJQ6pi4g`eH=8QKs2IUqwo{PD7j~=Ae!u*4&V${Z`dikXK=Mx&Q*Hb267bG ztWC}prtVAHeyzM#GV)eg+j=|aW}jBM=SRMH@9FcI^vRQBnbU*n)6hATC)38p(pNIp zcDb531E-NWJ$^a^9Gh1GVVgNVcohv9p+_d;z2|#X<@OURrzcf;73JGb<6U?HI?z&G(1>Smajv@LolW+Und5jYGeOMx_77_R%*DgQNx9m8ZPYA zaAB#23tKf@SgYZ}UQZo{)8l7p##HM-Jb!x<&)=TJ^S3AQ{Ow6Re|r+o-=4&Sebp!X z`i3*T>5;RU-of+Zm>!rR=^Cc5obS(E8azosDdtG~EeC zgU1P%$vQ?Zupuylb&T~744xaYO&U+19CK(noLP~y!!o|=9tMr%gqp)@IaSek=299qZM3uXrxn-dWF#)Pn5|E?tK|VVS;CUX&8rrz zc0tU_if(f&Xm?qymFVp>i^+Paj>6&$jbz5*YHcHBFTzl?RE?E`Sg0QLtHn7tGKAXN zBIA7{Co@B6e>Vd=HQSQ$^Xc>OR$O&kioCH^bGzAy!oeYzp1(bb=WkEq z`P-9tWZ%%a^vTTmbD0a{{h6nSE{wyL@X+{$6ucZ31E5^o*bf$N>_>^4YI-7!j`Wg7 zghjjz$IBCY9E&2JDCE<5#q%ac&Se;MDthQm!&+M6VQnU# zr8b!aSDd+YZtNtyhLp31hRnzALFPMu?tFTP95;H7R5;IeD^!p5W6^gWBi>pnMagVy z48<*)n<8dCaZ6Z7EByn|-;ZgimcEeACu7b02W@<4pR(4L=axPD6^{K;b+i0Tz|x5j=l?F}HrAYQ80E8`vYv-!k7DGv8zWc1_6q9ULoV7Z>Lc8EQtQ zU#NBvexLcfwT5B-9?nr`@(a_#e82f_()NE$W1CN$&hW-o7S5xkn#1P*1=fepoxCvA z-%O_=>*Pf#A2k0UYJR}{L*R<`VH|ymm>2X9y&9E#x>zdM;+`Yp5sQEE6 z>*H|p?#_$Ptj#}07tQ8M+~210l-fmB+n1|U+th~`VSd8=)0p`u=AU7{%q=YAlluUWA^Dkp)g}*Y5AX>mQ22@2{QKjbp70rKRev(?}Q&=IOEpHX3 z`?6EFau_N*{T)+=aEy;I%OLyKwaX`W=WBV0ZgE*&d&A~G>P9a-vmgW@B38^TW#%L1 z=e;%~gJlk=^3e}39+LrBI`)C|m%Y+RwxmIoOT*u*?Sn@vH>mR5G+SA=W@N;ADw)BK zI%58tR}J!6YCx5%!Q`P9ibpFqsPf#HR^sw_gd!T5Vk8joiX+_;2URYP!*jJTJX*Oy zmFGU{&5cJZH>i!&pFE^&&x`4I!$Ki5y^2sphGVucunO~v3OeM9SbzelQ3ITL1n_JN zfYb;82^(;b~;^lS@g0g^(0drEMjKuMYsd{9WaP#{txfDZPQ;P!n= z+xID7-_x^Q2W{V{e0@*Pwt%+pQ|L~QNp4S5lBN#6o=&+vO{7M^*V8Gtr->{VX!G?n zJzI4kQX}B&={C2giPQ+7J6-<|xL@)%LYv$3L~0bEd)*}n6kPWyaFNiaMM9eo3G{5& zQHz8&9}?)<7SJN0&4&bfwgt3EX!9X~o^1gw654!7pl4e^i-a~G66o0$&?2GThXi`I z1c)r}mv$c#=-C#~BB34KtHPh2Z2@i1x1&3&?dcrTIL=ohfd@V%ZtqiejhVjQZ+Cm2 zNR0rxSbFHG!u&j5M*`wr;w#g|L%S9a?LIuvvt3gy9@>3)pl4e^i-&e}dhIk`vnkE3 zWcZx?7G8vj1is&As*4j6(bU$5lXe#;M3xJ5_;5ncmZ?N)1bjH@aB)JUM!<)Y4i_gx zY6Q^nDzG|SOc1FN@L{6E#RQQW0d%vc1Q!7vS_E|X5J1m%D`^qX;X?pD+X7kybf7yu zCb>OLNt!zNdb-2yX(G!7j`(_-o-LDz)Cl-``iR@pL}~7S?yR-F8l47Pdz8TMdpq=qivS`uihKw- z;v#@ZjerjUM_dFDSuW7&LjXNn2q01;;6p&CivS`u0zL$Ex(FarBj7_or;7k0H3B{a zbh-#2QX}9)K&OiUA~ga&1a!IxAW|cM?o@|vr`yv+Y6N^e-Rbr;ks1MCPj|XKO=P)1 zm#?Sk+3IN`H3I0)C?ZG;8Jth&B7v`YtJLLoJdqlCU&nX39Z#f2z}N9zZpRa;5%6_< zm)r3~Y6Q@`P4=AX?p!mAh2;`nMzr3Aj07uuRdw;vrNu`VK(N6c@Vt!4EJcD*pBfi6 zB%m?Rhng-IHAHFz0FCg>+{*GTtYLx)UvVyky0j4LA_(CfznPV}Ir(Pkd?Z-s)8V3u za+h0h6wuMUQ^K#RK;Jg6KFV%^j!2C@KnbtUy6?T?#{~!}1@R*fbvzw)!AGP zO`0ed(BfA_jfzv-YEPUC`J#593$#uZ0JGh80;`jnm!Ts;9PoS9LdH=GTBjDU^2&hW z$bi<#06|_EFdP}sIvIe)D+7ij16n5oym)26aAZL1WB?hj3>c0KXq^mD_GLn8|5W{InJu;GhWDvuV(IX@2M+Pw* z89g$Req<2Ck84(gPnM+QYcz2zv#phR6c zeq>NI)G0@g3<`yM%TaJZiMn$9IH2&TQ;r@76b1E`qu_uNb>*O|D{%34DH430Us^!~ z#Y=V4ene21)Ui^J2nvjPtR#jlL$szGij8_@6hu&>PR5T2ijO+w=n+8?P;WU3A}CQ; zjvo;eAa%;oBZ5Mr-f|Q~P@=9JKO!hh>Xf5L1O-ODKYt+dCt6ocqzcE zNC5{$Q+0~`;GiI?W3V0^6f5s9~x7VZW&gRw%E|R6kZIXzCQJ$4dK=i19{$Q3_lrqN*#(4;KogIz{Q> zLUB=V>nq5hL|r+4WKgWsDMybCijI29A%-nOw5A*ika}elWKg0`#*YjNnmXm^kwIZn zZ#fDwC{b4qdfO|bK!L)jIvGC{D0=GHriTKBPQB$QIG{vbIer{aIMpdfj{}ODddpF8 zK#96?{5YUUs#A_02NXN?ltT<#hG>z2zu4phR6cejHGE)hS1h0}7ma%TaJZiMn$9IG|vvQ;r@76h8Hq zqu_uNHRVtQ)gwa;yBwl*GJYITRMnBu zt7D!X3KUcInx{a45_RSHp+Ip~ryM;LD6;A;M}Yz*>dNs$fugNWIeI8ieAQcy0tHIc zltUp_j|?&Fa){Q+_@O{?R!2q;1&XYC%Tb^}iMn#o)m|9|2NZeL$@p# z>McjX0VV3n@#BCZr%pL~98j#(TaJPQO4OC(#{q>*opSUz=sFrPKISh(@UMsS3z3SFUxNZ33W@48_`yR#QO8m} zcqsO1zwtFM-$ty!I}Bdi6*y6LUCDrp{GH`YF_+6srC8C6{fZQT>0_m-Rv*A5!>WR$ z%L`An0w1culHtf`!INtBfk!eN87+8Htv>Kbh9jc|PpZ`i9?5WIwBSj#`oJR@j*J#O zsa7Du+XgONWTLL!d~iv%x^R)SP6qw&Eyo2%s#Ob)R4cmOE92roCThy@M?6zX#8c8b z89xpv%4xToKH{08pr*$*CE_U)b>;YRphP@V`iN(Wf|}lPz;JBSlnsX^MiO%FEN!k%|xal_(I? zhfY)SjZvEasH)cQ;Ipe4DEqKq8Ng`wFG=aD2<*wte%EeBCaW-byoP1Nl{j(96d5A!0IhW@lcegE64AlD9Y-TqlYDhQe%9sJfB%v zj8uHuU#{Y@^l{e|g;~9}D&V6;jja?~mEiM!_yFGmz!`AG7kvtqplnJXluc3GRih9; z*TI2##aI0b6(H&(wkZnGdTOOaY-OUZRshX50O^$ zdeBmc*ISMPQhoe4Md4nri~>?h)YwKLUiR5IfUd0fDNusJDSa?FMe$x%fcFb38~q9t z5b7htDGCIy)%VglMk<^9S{1iQ;<|bPbe^_QV#g_>buxfaogOcd%Kd(Q3jXwgch<`iY~hb7pupjJ9i` z4@{>h4()cIRW|*;Tse}EnH)WODEfodDPlM>dMHvn+O2reDtz2uxPn1_1Up3mYp=ly z-Y8MmSU{3fal7nmemx4@^ik~;1+iT{77A|q^(YV|0i8VrK^m8Y|4FMYa(+DuEcMav z6a~9oJ(fMU{dyEsl7Pk@3VO$Fdy4t#NM*sNLsz@k5G!5J4F|1PK{Lhw)ZI|vMy;|(SCeV zG~Fp$k53Au%LoPgLu$M4_E%a#CuP@_tfDi!NsO>; zRDX1la$X9T&Hzg~b_SpEYf}6!iResHk((__XYd8T8U?xfGmaD;X(%cV>l>-p2^Tk36kcA?CwF+?cXCo;(3X!`x|A!FeM<1nD{F>iutm0`&TxTo*>XlIt zt3N$S(UFK(M!}~3yd*`(BUp;~=rsAD z*+%Cqj*J$XZT@2w$#7(VL0viIFqMs28=bQ_GFnu%`Hxj3!;t~PbmjO^)kfzmjtpp> z3}E54&BaU`9kJ+S01Fw5uzO%0pEl>uj7LHz{Q6uxwds#s+Wbc@lHu45Y-w!pAGx$C zM=p}q$@tt?n{wnLX`KvUAiuS0*Ofzlp8KXf}<5`_ymq_N*^1Lf;;GN!*BpzJp#|zm;FcdD9RUIxV@m zlsv(551!~lPxO7`zVr}=hx2tX`Auy4!0}Zd>s$MYW2aLX_JFt8b=8Q_D?_g$BYp^%p7?d) z3kh@^Nu8G8EJCkg(bpNnqpn`BJ>lXH&es>Bz}2Vyqmj=>w@qBw|wb7;uuqR?862sKFWOg zUGk0PSyAhsWmP|iT6@xG=~9M-p9O)pax?uRlo#(#(ms%`+X&fT4v#rZldsG!1^>3tMF;!TY$6Vzx?5b(p zaqH7Zd$t}&dzwCjK9<85<6WLC!^!c2Ph|}d#LuZQY~+m>wRrOlw~8$nr*PB8Qie(c z;^%Yu+1061aSlJ@o|CYb6Nk+#;R}ZHXRXATLsxIo)CvuFZ;b~0|6WHC`f%tY)Z!mS zzd9|&#ll*LqqMFZKe?$Xd@g4Szs*PcOw;HFh+QUz+b4KvBA9~VMk#oMLS{ehcHw&X z(4_e$2GjVqZ;>i@_-aaZ+CY;=-|7$0mazY<(O}=JhA6N4?ZJS7FdvU5i*urTFK+PovxqIii^;fLtlv- zq0m=_xyjrdH3Ffp0pt7j4+^v1c#59?6+_y-b^%`?fEkMSQ!Zo><`%Po1Q1u+Yzs8c zJ#!zQep*~9<>;P3D848{-wYcuBM>&FVmKY#(5O?)Db4tN>Q-|b6o)INVvDUR7U3|f zL`*2eH$C8Glrl^Ck_TZnn%ha8pr6t6ZI51M)vT<>H1?pX&E&-BFWotU*MT$5@~h_d zRT-J)+!ChieV*$vdiGy5jcZ=sw{Sg4+MBed**9shRTcXN(cb0`*^%KoVLryx7GXZl z)K=LiSB2SR?xZ%^F3eq=@|ZB2nQ9W|Zl-n#a}QIyg}Ilhy~5nbvipU(pLqv_`2_P0 z3G)E+T7-F!siZIuG1Vr_!%TGuvxTWnVYV`LRG3Mo0D%cGXJjxVOyO|miW)D*%!aT;*)53g`sWD+5XX?B#PjE?S%cq#S zEX*`hXuDpft_u?vK~uRmgn5#wo5Jj8YEqb|n8HVf2UzorFi$geOW^8FY4dG?>n|iV zC(N@brz_g{hYb^D0v>7v?plzE7CfnRX(JNz^QK+W`U`92y>CCcL{Tesdo#r$kcBLbD3q| zBg_)>-Yd)%rhZ45cbR&hFjtxSJz?Hs>ixpJ&(!Y=^BLCi0bxGNygv};1ExMC%;%W; zh%g^A^)X?-h$a3=n9noyC&GL&r~auhzm2Is7v{Hf>R$@;CCvM4VSWdrV7*iXB`8uX*h56%5Z4&0|nW_`!8<^T6%r`Q%RhVyL&5gqR38r=k z^UX{>F3g`~YNs%Nim7H{{xnm2g!vYx_6hT6n0i8(Kg-lXVg8)#kH}$R{yg(qh4~9y zZc3PMWnQ~5f03yp!u%zsx`g>Qrn-gs%S;^;=C3eyT$pcX>M3FVDpS3}dy*#@%Za>0X&+&r?@v zO53$gcKZ50XMSvrTy73+CFpvk7p$qBY2BJqCVx$vbw(khbAJ=tzHTa8i9wPN2H8WfKrk$iOJsYlP+_UKuy z7JC$1J04x@kw?$k$yuLxf#&V!%e($7nXR^cG|g7)K3Zn0eIG5e)xwXK*=plQ%WSps zqh+?*`Gq=~E{JJ;wBDnvkBDE&`iS_YtdEFa%KC`-rL2#LU&{K3_@%5?yXxE*--l*j zmd1_xxDMwObfv3tIE!wQ_Fb*^0vX-QyEx;T#yJuwuXQS)6IFD`> zW#^$Qhd7tElibVcIMZPb%+|QGjsb-E??Ys6k=Q_5^p(!t-ji_{jLMMl~LXi$m-VVO6eQu z>cAH)m~zsMf}Bw|eyoD&a=jNU*SUZ21=YEW+jUw{xvlU8i>A8?73{2oUdri(&N_Wf znQervGaRj(5ZOw7KAOk8^PRq%?R+*E^zCEk@>WFW-{swL?hLB$VyIJ5y=Ep04043% z;S5b|lUwAP?ctlk*0kQm-kOHJ&@AU%1Ib;qW>GFKwNAphG?LY5d(g_W{;Z^2G0Cd5 ze$jvP&$`a$NzM9#o2T;3 z>ujFNGq1CGD$i`Suhw}fSYVsi**ukNUT5=Eo_U?kQ+eifHcx71+7GO=dGcp{MEsK0 zN5n5>eMJ0H)x? zmuBZ#-=*2PXv&lmgwF3%CUX0HDJtjFt@C{OlohOQ3ZUH96{@UKH#f;l?#-&4QRjBc zE?niTy4G{=VdXr!m4xSdR?emi`PsL&uCK_s%yoU6)ah`o!rZBDEvwxdU}b;m+>+*^ zShh^p`QH0uIiGGOcCMA>EV>?7Zkt`ZCEQDB*S5)fH!bH&DQ_j|h z)PDOx^9qUkZi9U739qTp+bNY&99O~Gl8%KZ?~{#FY~gq*J9Vcy9Qh*7`<+)T*2_v4 zx<#O%lMh>BJPB+Dk&mgjr?5<{C~!)1$Ln;rTkpW@XEd9X_WCVc!j|!LrIY; z|1}!=#n|K+A6+B(cP3LBs!%@6x zQDezjJjxyoMx%jf1-?Im%3i|lzKiraA-yv&D59ZgIEGZbP_dDf;T5}EEsJQW>@2Ro zjmD@VniQ#6M5FO&Rp=>$|A&{N?8czJ3r7>^T3lFrDL-AhC88TcUyns=qnmJezgWOq zZm|xcBTE9JF5!nkvlA<)%tVXvxpd zuaxNhxt1|{=dnjbw??t?N6kk$KY?TeA4JMa;a<}5xTGPAPKY(ua) z*_@ruF5>bMdO_0ZLk$>}Yhs;JxTUN&EJgJ3XcOLmiSDckMezfeom#^@A{(N+gJ{e! z{e#}D<;3w^F`u2wKSLD`NAVGeo$htC);-BX+4;%o>~OA>#o#Lyiuc3O19)i<*PAIk z(|DuLU@oFhMbok9iD)n0y(+VN5Z;{PMPoRamjZNHeCa$ls?y_9DfJuViJ+xHUX@fVWTIFyv@P@Z&kdmW0j z%N;My5aRxD{K;5!oczgojG7CkadfVdvrz^gG}8L#u=t=3*#(PbHW&6YC#yo zOf3pygego=HHuPAgmKPzno7Paj4`I}31gf!J|m3tOg#|B1*RUZF`C`k z#dRPk|EO-{>+-swk;|0hSnOOhE|F#5CX6dgy+jyS*~XU&;~LxZUBbA|yq5`Mf_X0& z#tr6upD;2^y<(lVa7w(%d0#1vNv3{C7*kBWS{Tzzy;c}GrhZfyGfcfs7_&^hUKqES zdZV|aWg)`I8@H)aZx+TKHuk54F~_{O2xFc_e^wX^oci;^C@}A>!dT>dza)$$rhZu% zMb7tjVJvgLcL<}z)VqYS!l~~T#$D$9hA>u{dXF&faq4@8ai6K*5ymr2y-yg=GWC1H zc)$|x7shkU`+Z?NWa6ONUkT&8nfe=Hyo{+&3FCX1`a5B~oFzUjjPGSFpAp9Q zG4Hd&_0v5Vf-LdUlGPDnfhm8yo#xR5ylU(?7s@*hne^9!gw|F zz9Ed)F!fDgyjD^%Qy4$OR8SZ{%2cH=evGNGFkUCM#G=CZapuK^@p`7Jgz*MWtr5l> znMw%bO-yYR#!oP{Sr~6-s$LjB$y9?deu}AW!uV;XwhQAeOg$!ypJA#=7(dI@E@Au} zQ@e%n^Gxj(#xF3nUl?y?>VPnQk*Pz%_$8)Vgz+|}lEV09rrRKGBOgYyjt<2RWa6vlfv^{g;{ zi>YB@yqBqS!uV}2ZB!V)!_>Gi{ufghgz-MkcS#t(%hVNN{2o)+gz>+bnh?hOnaT*` z|1gym#_uyVC5->eR8ANlU}{zvA7m;oj6YyY?+D`$x!ieSe294kVSJeLEeYczOf3uJ zqnx@TjE^z3DvXaabzc~N#QB~T#ve2FoG|``sTT?36HL8W7=Oytw+rLXnEDQ3{5fm+ zPGS57^S)acf63JM2;;Aq`d(rDHRt<&Vf+nKKOl@xa_TFE@hPT$NEm<1sjn8s-!b)C zVf;N)KPrq*bH3LJ;~$v!dSQHqsW%GaADQ|IVSJXUpA^RDnEGj9e4eSF5yls|)qYMG zUu1p1AdG+F)L#_Fmzei9VSJf+zaosUF!ig#_$pKH6vjU@^=qba>CvYyb;;qI$h;H% z@gDMKUz0v824DPjrr?YJmCJ=M{x_}%eDS|C55D+6n1V0<2B*Ro|0nZsn`@|lVXEsM z*7XT{RUx;}eOYXbV;{se)iJ{1(`r5Thtb#{#6E=WfGy^%GM5W0#i?9=VW!YBF3F+% zLM|NpNW_SuxMTT+dUPT(ZW09gY1} z>~By7vUaLu8b_4%(Her@()#d`oaG)7`&8_2W6ZF4IyPP5qVFR|z!; z9JMQT%a@jW%C~sfwkplUi)-_Jv44pH>0e)C4c{(|Z}M3Bcl_>!sc#5`5@<;t`=&4h za?Hg|VFsBB3bTT#N?}$q6&7ZQsi-i+a$Lvb!i+GlN|;fpFJ2?enDj;QgfQbwZ4{>9 z)Xl=IVya%4)l4-Avxcc{!rZ_T_%TX?Df}3vmQ(R#l#NUwaFS4f%|C_|p??Z}30tA~ z9&d1Q3_r&!PQxud8TkiFMyzCm_ZMn%M8^-rj8yy}dfnY~oxfEqtjfJ`{BX=T7(W;@ zT4WYYh)DjL)gCI|ot%7fLRQXiZNoCYM8A!y$S=?zGmr1WT77N>>wuBMYI=FOFhxIx z`d}Qt4(W^|0I|U?$qCEFyHRatFxYGz9dM$jNc+(iE0GZMY^{T7YHcz^s9hJPI4gqYh7B0ea+ zZ2Sx&qRogluPisu;+u&u-i;Ej)UyCUcZN z9KXRKTsc#qoiGqxmDcnTzZuWQ;+goQFn`T_H;p=kPB*yOxI@D68BA3ia+E*K_h;zQ z`qi>rB7Q5LkC~s17NV>T+Y094ZWyC(8( z<(u&4;NKwSCOg@mu`wk$O7 z-*?sJ+0Kq!8Kvj43#vPNEdFY8XRm=(y(0c2(VvJJJK{g;FSX22#D=|g9q}_d{R}u} zugFovth}{vE#sg;e0k6!J`S41$AP&%4(#=DV6cw^i+voJ?Bl@ZNAfESZR08f^{p7m zfM=mNW*Ses%b5T10FwPJ;=dGs8%+fW2wmgh@OFF&1Lb9x$&7DpkzBF(JL2!eIxzk& ze*@9Cf`a$Rnd9HGj!7jEu;V7du4jUceQ%i#mPRK+2RhC(+9vOpa&%L?<>!(0iM9Q_ z;^?K_(0CgI)VLUZp1jNZG3%EF@OAOYa2y-0%`_RgF4LMe5hRX(ARhm}@ed;I$W{A8 zc(d4N5j5rcePsO_ppj5bpb`H9f$LS4ybuDyCvYwZ>py`5Txa+w*T&l|<3`aDpL3dPizL=$4v^YJtFa^nfG>tBGzq-zv zr>uuJ#6KtE9}B&K8sQ6QgfDIgy@6+TZ#7a_PQEYxIdLg`ywJ`Tn>D%FNaudI}) zL3~#ZC6W05xsbN-eP3*Z_Y|8jJ;7(LEM$xKX*azvD?}YR))7%(W-*3q#l2?wvL5{@ zo22O5V)f40q=`M%;kMd#ZnYg~qQ`2DFj`GCaI4|mTkNVeBAQmCp1a+Q-RgI68|^Ez z3B5|j03r0Jyp`cxd^UBtHXUZ0aJ(#9YmF$`^aR_~23C8m5i7TeE<9;m+qGchXuOQp z69IvM?#m@g1r}7%X6*V43-W!SQFk(w%lrTF+VY|hPm1Hzp9m9w50{?yHx*s1;)Nhx zp;Bu*F&QU2m7kky4vT*E^EYk+?Ht8GRGbp0G3Z0j$3UIIc><#r-%<0aM{iK402cJ8 zL&QGMpc^gAi7Y-@j1CgAActP`rI#&a=%Nym0A%P7aN(0cf{(-4p~)K(^zA-xI^81T z;~)=ZWwe!#X}aLUC&6t%LxTj%7G!iChfjiQPG8g`iL#p0eHlIpt~p%?L=vc#i}*aQ zS;|b~C>|f^p>?*ixxp()=;%cZe+PZUl3iSkiY0-K$&WLVurYZ(QwX;IAR`*V_E$0W zEG@#2`7Qj2J;^gJyya5ODZmSM@6-QyRVdYo*k=S_xg=h^W+QOl(I`e^(U{okxGdRN zwA8|P+zKltYOl2uEj`vBbn_Ok9@*%YmbH>BVFm}?;^XUB^yt1dU5#(af(mp)R ze}UEl=;l}8r4FYTRNJ1=Na^_!9#snD<7QZM0Ax?W66k%*hMfi)a z$1sh*bzY^*+4VpsA5%Km=%p7h4?tCEIuawNCcW#?oX&7fSL;e5uk6-@>3be zJ6c7cndR`&_{wFxm6j9YZ&>FiNfv#`vLI@G?fwk4`A;$eaQNVDlMbZ)G^b%H>`Oyy zI1y8fh7r6@h>`MnHDYSBL%Tzj9sMV<}g;;S*3@Xuv6 zK~K7)qd*RSwU}AW=A{E$ci+xSc{|c+ypyZ;Z#anNDg8gz)Qq+GyEr#qF!i-;*_1|i z_xSRlD}9`@iH>f@Sv%-s?QF@1m+QhfqXeE8=>{@yS#-?}r6Zd!0mBLjH1X4)vOz|7 z(oh2a_yo}hlwkcSYXSNm9i^8w1YIFR38(}8DQg6}5T?PwyzE?Sf};5xr^m7rsv2=x zE*($R4xA%1@9}jct$Ltks8o+zl4VTWD+ZiEv$j1rm1YWSa39?4+^m(ZxPn%?smj+# z<+Wo2==LgKR_;N%eTr0-wI^KzLkVT=NjJPu0=Fk!(&SZ4*R@c387AoZ6-wZO2_Zf~ z?m@cT$d|x9XhDN+BXZrUJs5KfqqLZKl)0RPTgJP&H}Sfque2k03xm@J@OA}LgJroo z@fHK8m95GSQe2 z22*&FVEl2;a03&L7wq=zXncf=&X%di2Kob>hVuk(V|8KU_(4vaRi_SF^s<~fKEwsz zF6%WOWn|muILmyQ_71#s!)c4^keDgprwf@Zode6deK%WxCGs0M_lgMYl@neS*3)ld z-hB}`$h>FqViWV8qsb2l=E)C4fHpV$1i~GJN{K009B5%pFTtxFtm&m9kYe6}xppB4xA|gQhA$}@@Jl&S!_EX>d^wXtc>&e^{wbsAMnWO4ec$bsQ{$adm z#Jtzg2DN?-Q686;pCqs7FCb|Z@b9el_2>>aaDm?gOy@+^8!0|pTlJ{*dwaLJyWP18XBR~&B1gwg5m7*z zC@3Q3DAKDUA|fh9QBmv#P!SQ3et@W;fY`92pwd*pUa?{QzsZ};ZFaLmZuWb>&tE@W z-jwIfGjB4%Hr^o>JuG%TT~0H+4*<$7%r9q2UB zzt~TGu>j~N(1nhiK&N8SEBjZZ^BdItyF+prou81-pOEyILn4e$M7hBF>{nKR4;)Ts zaU123TdUnN|F#qs(y4*%XbAp^#PDXApC*+VQ@XGOXUO8b%q7HF% zyub#)3x?tjyr1VpslOHPwK;jz-v*@u>c0@BBI<9CQZ?37fhzV?5d3VZ1!Exi*=h>L zK=89g6^wz9cv)b7cHK~p1)2iT;}WmCq3j+xHSxMnfr~uVA$jb&PsHo414(rqlCQgN z;0V_ZM+Dl~9?*M(D1k*186q|It7O2C{lr*e0l5A|hD|CMHb;hM0G%@(QotBCMuz7= z(zy;P)G%akuD~$48?cvHMgzMG`%$q(HekX8HuX=hVAB@aTnJTPRn~ zMbJH`V$nX--v#0QsJ|OZ{i*+Qlm;?IU>;Nif}btXVhjX7`$=kyC0ngNJQ+TVm5ikR zt5D%+27(JjP;$>;j~C1ac+aw*FH58jPm4=Y>xAS?Xefpj-7C50m%=mSGDL&d?ZbSo z$(cg^eUaTQj2+k=*(EmsdjtXfY(``O#1hz*mQsIz)CJB4e)cAax(AsR$U{o~M z4Ug~3k;+rlKOD8fs+OOR0^g$k38?!W_$o~w%c9HR z%ZUF*OxsTVQ&55>t7$0h1b5ol>Lyr&aTXy zPjYs{3Ms7rbREu?D-t}r@IzeA-kg0|Q1VmizYVE;PRUhx83$lf4oY9Zwi}ebVxQ(7 zgY5{|lHW4h!R4dvK=89K3K#>y&sH2T27;e0Ghhq^KU;0USaN4+(2)A?Kt6}5{~nb7 zqW=3(g3qpg_Ji682f@!45ikaVpRED#7!wkkvk~<_$ko6%HnNrXhTIUi*rSvMF82J| zaw)jjqm%>t!BC2TV?9c6qVH4OB_KB+8YSLkF5dSC%-(f4-&qV!j3?z5g4a)O5v<5w z3hf=|E-KgP`VSg4YRr&&0+CxSw|W+-ky`>+6LTwx+k~n|RV-*@3+Eo4dklC><{k?k z`FM%PgO@&D#EIa!k7*~twkzJkxizW(5j4-K)ISHM+OQQ1bL+xZER^a||KpeouU!K$ ztpWAV$21t224Y$h>VF#3U;r3|wSh4PBZIS8YsGG3CivNE47MEzezpXIG1j@*N({zW z=VHq*7-OA_t+`+fgv2&)O#O?HL_0XCJ>w|&KAPKsk|9W^BWyuIvYlZI3QApJYZyx1 zn9sVr>$p*6ETy|RUxS6uLAjTK-(+rg*hYxg3$ykxlwj}52&}#r4Sf^(mQm^rd(W`a zzOdsAbIV}I86pP2jx)@Ky({0NG?=}0vhU@&L#h8+yu{(uzZ@l)iC3XCn)=tEG?v*a zcIz?0&sL+*a_mlGOHdeNcM@BP;xQ)p+42*{KuEMPH1u;=#Z7SG+z~MMX6kqx0}qgqiad%)Or}iuDgB z_}Nk>s@3!l=$d2DpfGzT)XAor#3b-H%-}8ox$v@|mn#r(3rllLnJbC z*TB>o%WplTY-kyrnUK2<{DyJ$zQgcg@QE*XJ$#-Me&RfZ%|W>@Wad7f`yxz^UCPF= zK{Dps9Jc|X!to2rz~|rGjkzzu)`Q%aVG~M{Pm)?VBX=`=uqhilV$68vA$26>p!=2F zty#HSa$oh478N(NxTe_72HfHji=|=;KdAv*ZgOAG%3YiLMkIsKoHuDxb_c(e8_a#1 zor_?>hdsUzrN+>{-D->;!Rt)r5EkP;iXAO6w5%TURBBr9!kDkt=|Trw!h5;zJEU6f zcIeRT=jF~#c^wiPw>g79F(}Oo8b8e4!LDK_&G`c_;bXXjPaIM`cRS4uVA38)+Ut-K zmUIy&eFjONJLD*qG#Qg%g&`MK7>;I1cVQB&Fyz7t!!a!BQA`4FuG}9SaxA-sB5dN= zWw#g(#~@q+JDoCee}-qSOFFde%Dx<@CKS|F z;gv%(@G#j}?D2(J0%q??d}@!}nM9&7EEW8WB<`k>nb_d7fyBKIsmn>QaUvwTw(`V9+e>vB8Fsfv2ULu)Dz1Nus)@)FPIMus{-K^r6pjK{HU_N zgGQJ2;yr&czy$e~sQr3keOP;!_Nz)Z*t z1V3BC#uy0xrcfQmH1(EJt2(NIRUtoHo90ECP*O3vC$3Adx0UFzS;UTlTTT#;kpu56 zrJrklp|Kl{o)A3|evUB;MKj@y(t7+SYBHj*n>h#ELSqT+T?k5^5{}l4o(fX9HvC*< z6qbz6fa3>=KU$YFOY!Q%-=m`SvPh#ST!FtW_=LpWeS8(A;_kymm1t?S0n^(sL}2yk zwAd^qSHoP->&l3Ny=^E0&-X{zoFa}_(Cr(EHj6e7N1I0Bseb`qd58j&>dEgZqdSis z))ijpGNR|eK-_2ewOxn9ieT9QcC=xky0b~7pFyMNMO$P=&yBVW5ddY49TtPZcWTXU z8aN&?dVaJGLx5NO)5zw+5IHYITEMAT81E1wEuqGksrY-~kl_PLTb2z1fBR@B_~0cc ze>Ic6D@D6xMmtBl!W#}8!w6Eh5NQ?n#>D}xVS)lp-Q(Z5Dl)j1%QK@rqOg0n2D@;y zmGlO2BMR<0_COd&Wfj2Tj+ zci+Bcqertl`v{8=Sa-C4bO1vSbYFz%z>NpKJIA|S4lBj4e@JF@a1`8lf@RF1c65s7 z>_MZ$qa(7y1EM3L%ixuB56LDOQNT>rbb;iJO;wkI_v_fK=$Pm@826B0``)l%)EdqO z7?lydHpO-E5$L+C==kUaN?Mci;h=};M7ZO7)u+)(tg*&KZwwLGSnuyuN~2RS<>n9p z=Q4k<1~fVqQ>KN;g)F5ZjoyYSw};3@ETs{R-iax9g$THv`Fk~{(R(muc8IiRDNShf zeoT2FL|{j~^eDd+ew`M&@58XxC2s_t##`>u%;+OgSQspnd9gKq*fWofJ2#V*MCZYZ zMp6;>1c7Cq$Rx$lC!uGv1^MDAJUL$(cR~pt+$36#W=ZG57@k)G%=k_8bQTa>sO;$a zAJ>GVGTg6=vcOD>L*(KR=?vT6nf=sXxk)`mz|YN5||bbW|iqC6BOA4(AWbkFw%q?m@1Z_T15F%I6@V(*tm^pt29fu;}`yA3R`ca7Vq2Wg{;}6IPC*Vh1)a^Z$I$Qs1l5PsQiq(wQpbkKI2wK$i#LIc zrVcrmWn4qU3o-RfNIlCT=dsjlLu7o2To)n}LgacHeg;dlh7#vHqy;N6F+^?%kx4YX z2=m%OUVDeMWO*=WOb(HosD&=APM1X2v--_qw~E*MAJ;paOPp@d<_;{)ltbDw@GT*7E2R`IeGOz>>yQii zO(I{P_}1DA4>D&0YPlW?Pjtvd(N~f#zQUQyxe*IbhQc>Fq#dhrYKXwJKAl?i`2g=s zqgF$dW`xKLYBj;gOlmbp>COyj;_RfRw+S4C)2lehdu*T4CeYyVp*r-)8io+9<^RV*>9A@l1 z8X_|(ZHcy=3k>Huqyy`6o>zebnLoQ{S zu0l=kK@xltyDXVW!#GQRi1-~)c&9_UGkib9e*#In9MVIBkF(@n#P5T`pE~4nh98Ld z{g8CPAy;VdahCiN@n1pVuN~5p;fEmpJ4pK8Ay+a>puec|6a2tDcTsQ-g$OJq7w9I< z8X3-SV6o&$7$!|Vb2)!xI=?%I)t89U4CgOuwL^>l1CPXg##84%nA+&}Jn&X-!Cgi2 z{M5Rb7s<1!)fuHAKMqO91U@h(CATRX7Iz#3dl1bFWjVj+WroOI)Vc&!!chj7p#%;# zck#uPJXj?`XT&^*T32Fjeu&JXR&R_HQL7(H)u=T9r4njgjndH}ayPYxV&ph#jX>!H zYK=yz2DQ-bEAM1#p_5kLDb%_Fb8As+GD>wqjFEcOnu-!E?94!^0kvkL1gl$j zq11$0=&F?0j9Tcbly?TT(Ay~QtPq(^E%dF)JC|DX5Y&QNPomTc+&IE*LgZd*Ex?ou zsPzm=7gB2pO6{n%45bd#T8UD}5V?<9v_zA=fnG{ z^%6#UQfo6xy+Q=uj2@)cR*d%zk%y@DI!5|aYa2=fsr3#@S5xZ)l;G*&;ShO*l2&}p zArGD%VElV5MBr%AN3n6o!r|PQc?}%-%iUY@#xsYqb3$Zph&)cMov7;uYVAS^=JzK; zlWI)&e>c@IL;Lk_tr4EH6xQn8~ZYrwA&jHY>yad(%zIU%- zy#D090-=>Ef;yP9{4eo9}&eDGe#1Mh`FEPolb9nAlR(yf>e19ct@)K@cdxR9Io6Q%E9<{`-Z z#UXtPdXQ)4liXlFoG3YzfmgsO(fnq~4^aCU zUNS#}+Q*}mN$FRJ0f&Hma0nO%3duqQ85CQ5mfev5zt02Df8IICo3h^z{c z)ge+&>F@jjJ|8>*@{e)Ii0DVuu7%j+Lj+#6*M$h2Wxf)tfL)lYP&x(9jYg?f{5{3D zw#n_ku?|()CvTiiV*7&g>*Uvk4wZizP^{;Wk?i@h;x1NdteElhOA!PIspP{!Dx;ta z6Xr(@dYXg?tQtH|?bGl&&!9Fwc;=r)ZG7;|hm}|Lv8qwU!$AIdsJaEHZt0NG>=72W zGQEK2XhUs$Ud?YyZG2wMzbHgr43P~XvN1$nqS<~lc_+YkcE}j^K=?AvwlK9Dq{8nX zjAf~tXts^1@QRTSuNdQ4>gEvH5+YFP))09$L|%h)w(-KssEtpR`2(nZE?yjX3U0vM z!Qf?>*^wDwD4fELX~SuDAUnv?;1wW$R3tm-kZYg|WQX|Oo<9y!uW`t=@NkkH#)8*D z(gcT$XQi^S)D4g{$syOVQlNsVy9tt}IAj7VA!({Zu7^@&Ggd!?W*1=j+aYtN zLngBFMOgkWNSfu48(8@*SbjDfIF1tR>U$NX2jJjulpdmXOT6euLIlpse;t$OQ2TsL zo=5GrD8ZES2DR}qDF3Mtd6U}sz>~i)MBo<1XP$gm%X%wB-iG7B`IqbbWi-1wf0)mQ zQ8ph&*-60H#;2M5a%$t#Og`K-?}W&^Ap(atzfWy^p2>%^*S2F9fnM-IhCX{}Lhqz=y8<-{H7^)Ok2WzJXP8Iq&d$Hf|qzY@DF^ zfAfhaA6828VWs3oxNEad;N79Xmm4hbJ7hAOc);sCVF2Kh7~7IARTTuessdO@DS(BP zo0uv*T&V!Y&H@-ar!ZCDQ5&BO3&6GeJ5IO236bw%sUX-gJyTd%qt+Xr$g>$nX@qSDw=&M zpQj37Ripq`MebplvoW(j&F+Dj10i#eLuRwg`!RC}&F+br!yt3GL+)jl`XjX;!u-)R z`zow6`Pb3xzL*c|7X`3>aX(W&7c+09+5IsS2DAbg&>mo!PhjS) zGwbRp5&8N!F<3y<&a0%WCiby1+m3; z{*4Y!UTWEUG<*Olc!s@FYV+8FZo%Trf<*;O;5dEm`&#m@RY-cxA#>S4@mI1U+!9`) z2p1^|V3DE#7AfX2MNgt4SfnU`MT*CnqQ67rADX=YdBQ_$0X(!m!I#|S_!zhMyF&Xe zq`4aqdmQp4)4l|?e+o%(kmG!&{a^Uy0vyF)RR1po?;}1q==?uS`i5q`iS@#QHo>$X z;Aag``YGh|)9ht9?EL~de|5-H@WA1-X!df19fs6D9kPI>+BACwrv3}5|2gDomKvbh zD|u?6l^ZOy9kP(625I&xObtP5rbC`l8zydhbw$G$QhvpS*#OCL$RcQXUq;9mqS?Dp zR~}^KJ7jV4>SVKur?tW&)KUzEt2tyz^6F%>iq*-&qp&ax=Y=qwKg$XyYy~~NVpXc} zcr1Mals?fROLe6i$ObGt8B5oM(x*6NnXYujicw*0EL{gm!*cs_U1_3&C_UF9uWK%$QQW=!1eSghO3!!58?3skvAU-rX`w^j zgi9ck`M_GZIEi_qxc>eus#*%TWe(ZKR9%OvRzlJ$hrFd@9%uYDs9-IWUgwavnSvRp z0M>5`Vg2SEje^E;-E|`>cnM0s?2vagm)9ej`%i~eg{gw>yYib(s6CR9ZP=zr9X7Y2kgQ{Kl%ugK6c25?BN&}JPUUxHA0iP z*2nezLRjA~g!TO$Ow~5D;eJRu;EoY~p&?&v;>n0Pu@LK4Cg`qK@Ao=?{nO(zIxkIII7K3jT)D|2SkfQ?QFG zD1x=7B3NtMqf=1P5JfguP!xdDuq3dTDcFY!G9f9;A^UU+DmrCRHup*>%7N0k4*8TR z*dJl;fJF`@V(% zyHTo7?R_XU0AJK`@Qb$nXi+108>ZWf8dLjo%xg;RgD5qpHZC|9ok?w6Z7w=H7tg@{sYrmQ~NKJz~iZ&eDHI35tf~-s4agaE`s;7B6vSLz@Ci4G(b=z zxKJhxluax8R#7K}!t7WCv*ST%m_Pt=;Bu0PtGMlpE<;>*!1Zv*7wp!ob0pr(6}f_R zPtsQo2&IRTo3LV3D0&3%$w%Q@A9KjJ z?4JAwZ-{x2^teO5)7;ce<3@$~X#A(3^a6)`&-zYXr1cC9l%lkl25|ke=vf-T_0OVZ zG=K|}MJs3kKlc``qJcJuD5rr7QCdp_xC~eH91Y+aT+#D1a4F`#NCQ1k+DHSqj8*h9 z4fMmb%``9wC15oSrB`VH7k7$YrvY3fDSDFzCSvYeG;kwI@6Z6QeH6V%1Gvypw4DZK zV(y1Da5qXj;iv4-&>zzPu0Is*q5=G*SG0!)@RMHAJ{rI^fTGW6U=d>X)4(#64$=U= z))#$A1J7aF*EH|~O5ehdwXE1r1K;u7Vw(njMkz=GzoQhQfxl78qCp=@;2L71 zltY6VC`FRHUBxtA?C@Sw3~y`2@V52?8#&-%Q2d}d8}VFR@#W}IT!dQSHLe(5<9=i} zejW`{BycnhMo~JJ!nYLm^FGDLQ<&+vbRrENh0;mzdtKa+#WiUV-3g0NrNI+0w>Ayd zM5!(f)KU75ErD2&!WNeG3^{0 z#5L&R^JuUgrnRKOPAIje!LBH^p+W5S#cgTu3QW6*2C>^0x2Hi|l`X!Q2FozF6AcbT zsS6DbM(Gk7#9N{GQX0+VD@esKf)~RG{u8WZ1@Z1E?n$FrmfZ%7x=wJ*4KRZna zV<7n1xi%OB!OxDj!59dBb~+8lK=89eYB0w3V6gLDFb0CZS+iIS1dDI-%wTTYT!J{T z5OI(o4m?C0B!~kO5eEt4z(vGCf;g}dagZPmd_){1hyx=L2MOZ9NyI^dIIt3N&W~*z z!#IItok4+dCRz2_$wwm2`LXkhM4aF%fajkL?r2 zIFqdUY*(*{bAId?5)tS8*p^-q=ls~2BqGlFu@gl^obzM5Tt%GoV>{kOobzK_-9?=9 zYb^OO$*Rw`jbc8NATIea3F49;lOQhnF$v<5ACn+1`7sINk{^>GF8MJD;*uYeATIea z$*RxxSt36sL0s}<62v7xCP7^CV-mzAKPEw3@?#RjB|j!XT=HWQ#3er_L0s}n@62v7xCP7^CV-mzAKPEw3+K)*P zm;9InamkNK5SRRz1aZlaNf4L(m}Hg8`GZRkm;9InamkNK5SRRz1aZlaNf4L(m;`aj zk4X@h{FnrB$&X18m;9JymCE^pOAwd*m;`ajk4X@h{FnrB$&X18m;9InamkNK5SRRz z1aZlaNf4L(`20~S=MOGfrE>n@62v7xK7W+T`GZT4FZuELqg2ixT!MVbkIx^aa{k~F zn@668z!@%f`v&L3QYd}%*E zf0WAkgG-Pv?Z@YjQaOKc$tsoe2bUl&?Z@YjQaOKc3G$`=`20~S=MOGHzO)~oKT75N z!6nF-_T%$MshmH!WHpfU2bUlovmcir9^=O)h{x>5C5XrPaS7rv`*8{4F@9Wvc+7rW zf_RJ{mmnUqAD65Ka{k~F#3esIe>9Nu2bUmU^5gSI137Yc&WA;~wQ;y^RD@i?P;SALAC;{KRXy_<5jDc_BhQT;L+E)BD7@YQ>;pNy%f zF!l?X;f~=>EW0NSZwbG`B-s0Q7^U76-1&G~UrJ9#368H{jnV*`y&I)LH2Zs$;F!UG zQ5s5fa#0#ib52KTB+Y4w66_P}&QDCKK9+`Opak2#7V{%rs*k7P7f_l&!#hx#NU0yC zNt7Oi(qu~OqBMolRw&&5I~xlny{?7Nvtxx`)!? zDBVlxttj13=?s(}r1TGZnAz%KO8-R(TyK0R&7s*PSZ*H8J_)5KX!a<~olmo;ptOKy z--EdeY4&3%Euz^^qqKx(zlYLNn!O*T0uO(U~V+Dju3q4X(@Jd4uj zG_nSz12nP`r7vjYO_aW(k#|t~hDJnx`Hn_D!L%P}WG_lT(a7i6*ACIh_t*-*(#Q`e z{Z1o4qI8%>enROl8u=Nee`w?n#QsO4gr}AGXw*WDL=h#%L11BOiY`yk3`BseV{Y_v52-Jc#W;!=kM!s>mpT&xH4A)VD7iGjMpn z3wjUhH>7NIjdsI_4<0+>jJPpCETP4v2wn*6I(|f%@;l~AE~-@+i~N?ohYaa5XiOQn zf^8@XXO(2ZL2|*8Y#8r`aAU+#rzN>1;C1OE%cC^&M%ZaKUk1le82 z^zJ*jeeV%n*)PPgP4|O_m30_9v`^V6k>a!)-naLVZoR?UP(ma|kblSCqhRrH3>=FL zo15AW8&)=o|HgY6M67fEY$3vyB5cLN2<#Ch=ks!H$OSxXE5Zv!c##O(iLkv0JBaXN(vkQ|9KiZX zC#b)3LcEJ8*Hwg zqvOgk{Ft~n!;KZ?#>J(xa@QopuNCFSi|{%TP7vYs3FRk>^czGtDIxzxkv>_3H;Hgc zTsz+kJZ?#d-aIXmWiTqDR z_?bxmT!i~Y`T-Fh6yX;l|4R}7N`zmF@EZ|+E5h$Y_FDybT(z8XFBf?w}Mnt)&2py50C&GMR zL2O?16+*p5e7s7itsoQ9`8GW=btFzHAHxl z2u~JaO%a|V%AYFYwM4wOh}RKeT@jup!g?Y+U4->TSSs)hMA%S-jYNK9UlY!+sR)~i zu(=4&5aF33JWGUUi|`zw?_3d{C&CsYY$?K4B5W?!nIDdN3Ec$Ely zi?ELf`--ri2+Ks+UxWihI8cOxM0m9b2a9lssCTFchl%vzA{-&Yks=%=!qKAq7!e;U z!f_(JMugXjaJ&ew6SxT?e!U1Mitq*zP7>vA6yao%ev=5Ni1eF9{1(w}w~F{w5l$1~ zbP>)Fj=^um~R! z;iDpaOoVepI9G)8MEJPS^MnYW6ybakJ|)5hB79ne3q|;h2p0+aEf(=5LjSWOzEp(E zM7UgpD@3?bgsVijT7=~yTqDA@EVP%BOdlK(K>Q_nFe+RRZ#L}2`nu2gwi42=cCB*X z>+S2jLIQP`t?<25E6?{{?Q-9T<-T3zzJ2X$*Q!(Q+ka`DWdN{B^5Jio`2HbIhVR7; z-!~-%kPw95W+P-;Ng@0_4m1bIDZT@~gOC~~C-}bbeM$U~Q%eMLw~5y$}_yck7* z)VJoOzE7Xj50cc6Zlr!PCw0gRsp*QPevzbpbtCngIVn$;Qc3+TN&VqQ>aaPfzdTsV zS5vXn-;&fnZlwOLVp69lr2dPM@)H+Q?APB7?>@if#Zsrnlk(e=RKSf?(3q6ZQ}d~$ zG9;;x8>!4HCRIzZRF)(ab|VFDho;S!DxRC-ERZ~kHUd*P z-Ylh(Izf^;(Tx%uPvoYCe@z zV@axs8!2#jHznoCQg0~D*G!UX?nVlH?@dX0vXn~dOiAi2H&U<-z?76HOTDRB>KsYx zTsKnZnUnHlDV0znLq&&Thex{J> zCrOpLk?L?LifI@1hBsI*9 z)NpfBo?b=|Dx^k8QX}0+jjCc&UnrzTOHyOpNR9nJB{fcxy2gzZ>~%Kn=$@MIOT|*- zC8_J&NKG&&HPOq6{+&YV21#m?8!6ZUZfYq{PoCc^q$W#JH@T6TVovH7FP2hC-6}~< zbt47);!Q2(Y4B7@O_!u*xRJW;|CH42lGIE$QgGm*sip4n(tN)teQK5@b+;R-d(26B zy8C`rNX?d{?sX$|pE)T{mQqRGFG)S%M(V*TCiRD6sfQ$~huug$Vou6a^ZliedQ_5n z%#G9>b5fp~PbD>1lA7m6>hUTj^^anyCnTvS-AK(hC*`U6{!>UjB}pxCBlUFElJXOU z)Iv$>88=dJTuN0Ao_<>)wOEo`;zsIOb5dTK&rcOnOC_mgZlso*lk#%+C6HPnNv(7v zwaT27Crf23mRc=ImAjEzQ^llm6jEy?sda9o;N+hw?dbUmsr8c7^KPWz5F%4jo|>;n zA@!mpwZVL#)V?Yvr8?kzDoK6j zM(Xn_CRIu{q zPLlfGjnofSY^eqcsUIb&pWH~nIgh3fd7doQP$6|llKRDs)UW2Ge)sZ}&`2Tmha`2_ zjntp!q&!)wu|n!EN$PJmQvaBf@?@zd3aNi3ssCI^S;U-_CrdSrCuR9!q%6N1Da)9Y z74XuhE>}neC8-QIQXz9vSzbuZP)LO(De6Wl+nAImpFIB~3aK1PD%Xt^oZW0VPFao@ zOR1#tB&mEiQU&Iuiqa=lEJ;;!BURm;)KOkYJ*qU{(UR0LZlvIRUDM_}-UBIei$Y5J z4qI-%!d8KJx?7*BZ%oSDuC@0RQl*kq12<9)%}IH2XeKJDMv_!xH&RW^NqO3}_P%1Nrjk@M zH&V^bNqMrAO6m+r>P$CMXBm^S&hgUG6-PnqTuJIYH&QLkNwxAqO7Wkvq(`jf<`K(& zpWJjvXzPWP;#Oi^C@po7o2A+rlk$7Klbr7fGtC8>vgoN%i#7rxdRstD7WssT--ws+g4G>tacdSj){L)~aHUSn`9?d{;nk;l~ru19~Dx)B&n<1NcFB_Qa>rA`bbiJ-AMJTVp2aVq{<|z{%)iOR57VT3aNpT z)F3xf@XNx6(~RHKkf8WaS%W31A#S9GRxzpH6iW@0q=vhZ8d1fhepg71l%z(vk%Au; zF>F3-td|j8B_%y#EjN!?s}dfup8AyH)MZJJSj){L)-v~q^=2uR)b+CYCb~7>4aUvq z?e06Q^r=ab)QxVWCL5FTW+|1_O_J0UH&Qnnlk#RM#r@5?MUuMJjnq_QQr;}3lA0z- zO?M+T!yNZn;j%A2JW??p@c4qI-%!otVRl;}J(}@1B(x;^Fu;u1EY?=EGd$W{EO8O34Zob2ox$m&`n1>13 zr}%kVb7V)K>(sd)^sT-+f=A=AXN+q>il3L+LYNa_T zPnHTOeQK2?wc3qTxj88>pFw;^fzSxP1KoFuj0jnwnTq^uXcjOZ$< z4U*JGH&QPdlk#?iN`}&WFH2IJ+(>OUC*^4?ol0tpB=w3LsjcRuyf`%bG8Id`DoMTO zM(TBAQl4A@d|3*qHzcVy-AHXSCgrV9sifYLq~3NT^^P$q%gfQ336^?alG^S@>H}j^ zp1zDGkor)P+TliOr!gt(BQN8Wvd6*tSd#k0jnpnzkKq&!VC z${q)6pCt9E8>!F!Pf2|)N$qzdb-|#iCH0jg^|hO& zzA-1|>B&FzrrzN6dHP1kmF)3wdrbiExis%(3*ABL=Kd$O})LxLT484_%2JS2E)zFJD3%9f;Z+(_kCF)3x+lO2(yqHd&|Dki0D zd$OfNv+d^4Y@0hYduu-Bh+n%QzWTV-AL6kCS}+5^4i{9x%=u!Qgz)(on}nR+xk=sg_QJ1x83~FZF7Hg zZ|hSkDd~@HyZNKrmGDRRv_7ShlK$wnn?Jg3?vL)tP1n~-X+G(XZoB!T+vfi0eosed zs-&bpy6xtVZkzj~`#rt)bx|yJw!Hh!al8A@HNN}2{f>i5>O4uRg&V1s=AA7#adG6bl z@Z9(G+OF&wwWa62?dG{}SHg4O(*nm8ilwCIzU}6@Z&$)|-_uf1FNKu!+_&94_w7n} z?*9)+NzZ-T&2!&2_uRLy@G?&IQ!Ld}4hdJf4GF!fct{wlkh)5e>g`6Vk1;8`pBGDA zr;sX>r24y&8emMylTV)SdWFqtNR7goDdE3oN z-ZpoVw>>@N%~D9+An(3OZg<~}#&@56lb3PoZiUnoN$O@dQnyqwse2Ss^4MtG?bvAB z{Mcx3%{N;iC6A4^-Hwg6&5w=tM(SRL)a|nQX1X=s9p=sFsiWVgkh)Wny338!tSTmT zze4J6N$MUqQnRa=)B_5sdnKv++(_MD#iSloNIf7)J?KX2p(-ZzkV5KVN$L?dQjbVp5MNq~=LdkGqk2qKZi==epQWN>cOPNIg}>q#jc&wLp@3+Ktpg zV^ZE0_f=BQNK%X3NG&!dmom$hx~ zWo>);ise@=CB3X|H!o}3+{@bD;l)zwr?s83`95-MzK@NY&+>HooB9>&6G>{98>!vK zq&$7D^{b@zNK$*c5`U9%^jNUAH7(rwKAgrB%AMNx8^%!-h97$A=O49^_wL1 zyBn!Lj7fR=uqe9!0(0Bh4G{UR*Y1@ zb|V!qCzaua)I|!ZkR+AqMk=d{Np(<2g(WHKMk>3CNnNav%8{gU-AF~Mm{doFR8*33 z+(_kBF{w@pseDPQz>QR)IjLeV9bNHt2}tMDfSdDaz})#XaI_avT@_0mBQ15To28C3 zx6}zs-728 ziU&vFbV;hd8>v!rQVr85CA}8|Zr+OlbMM8#|A3VAUJST-F9yuL7ylQer1xUL&3iFm z?!6fBa+=mTQ&+&;sVi`u7fTILNKKHg_Lya z3b;9S1_Cjj7LQ1+S1>9Vf0_Lttfd{>i8ljMqr&I>qPN@u-pHdlk%nPZJ3MqL? zWx(x}%7FPPm4PR`kQ$|sk`6clHwT=6xdTq%X)mNkE2I|6j{b~WM_**#(U*83HAW%z ztR%J6jnp!8QY*ZWQua6mq+3bA&8;M0?p6|5N#^ud3x`=Rw1=s zl6u~a)C=aMHh8hrc!ktPN$MpxQZJj6+U$jtvPC5zPZSKeohTSEKT$C7nio zE%k<*rQS5Rl&3f3i3+K0lGIynq~10s^{y97Df?yu?@3bcyOG*%PU=H1q$Vkr+965p zbR+eVIjK*)kh)PJwM&xP?M7;kIjMbKNKIBqNe_;In+He0+=C-lJSA*F143Vb7*?_0O#`_8=ie(*x-X2nuJN>V?$k^0%3)GuC0-J+2CRg(J6 zjnwbvqz-!_b*n<^Pf6-8H&TC_lls>SDP`AM;J+BDAaNlT^qG_Lv_3U0-cmuoBxSjg zvdu|(T3(#4kP1jrK{rwv#-xIoUYc))LP{PV7IZs4ENFgwSkTKE9R8UKsa$EPh?}LN z#+LHtfTNOfB&j?%Qu)TDyfvRnsz8z|bR$({PO6%hK6QuEeAOkX5;syunUnI=(N$9N z_mG2bzlR(&|2^b@C;usx)N#^M$GchT1anK(@X~zB?%Lo(Cz%GU?t9<@^YqG z0;vn7r7m)_R6Ao!1v_}@Q_6<>;KhkTP%t?8A99BtnmZZA4k?Lwr%G2|dN=o{= z1l@dHg66(1!R}s~PdNo3*h5FW}7^K}VU!q>&qQ-VrL9`zJ- zJL)NDe$-RI(^G;NiZC&6fg-`F!D*1jq{-x5o}UrCiCh4PB39N9$q`71 z5MOY5aE7R%9u#D%0=3o#@6_w3SOE2xI8fLhybYAx6`UoMG$(%eA6V6@y*7BCUP~dC zkSv42yMddJa|`$&!g$@USNTvHDj(LXd@K!>bAoflg;%ssZD^mT^g55jCX`p65uC@a z9PE`5d_2B==0W>B&h4-uMGcEhYj|4LurR)cr=f<0yoM!uvn`5$T4=oG zDM+u@>r6Ha?-1N9E8DVN ztS2ATU)xuD5=Phdb?_T~2l_#;6nk!b2Z~);NM2b8uPoMq;;sX(;m6=lU|)8x#1HYm z>T6Smc;fTrYvzYl!QTzQ74w6yDELPbgH^#l7Xzi_Ni2au@UP(C0^Ab%FB>c)wN?fH z-3n9TnhZY^85yf2pZz7iaMG-Z3L~Ox_%f^v_7}Ot5w_qZz=W}BKrkgfPwDUy5#OiCR1-CT=H`9VIG6Of)g4>&c&(MM|HUpoj z1$Qz7pQQzNF$15i1z%zYK1bWbE;Z{U=W4;-&A{hr!IztXTWG;O&A=_S;9h3nR$6dx zGjMAyxUU)bd@Z=l4BSTBNd}m8k_)upL1y5#TJT^q@P%6NP&4pFTJUf)a62t{q#3xq z7ChPv+(8Q-YX-hpJLq3y)=4^Q!Q;)qowVQyX5h|R@I*6k7cF>_8Mv$Vu9|EHzC>H| z6f8F-`?yxt5vN(+9$3_MzE?G0w&G1{76G6Rp*f;X9g$7#V^%)r-Z!CTG1 z*J{D9nSsY^!Ecy>uhTZwHZ$-9ZOw0+fv?ws-!%hI)PmnP1K*$pe_#flq&3(MGw_XC z@JD9g$y(Z00nNX5blGgMDXau-mlYAI!kFYr#L6foE#Lhs?ltXu-dlf$!9Ue>Vf)r3D{0 z1JBZe|1tyLt?eZLn01nSwBY~Dz_WGWkk1T!uNG{Xf$!6T17_g+wcrdh@B>|&MlUZJ- zS6P~d%It8AKv$}99Lo2Q|&r(WfmX{fwX-!IQLR+-!{d+Al4 zn}*7(^eS7Vp|ZE$maU9cCf_}MLVe}jgWHjUwT@k#(Z*OM+j61!BZvCwRklq-Wtm>( zMQNz)uUFYV4V44*Dlbk$^eVfj zp>n8R<>hIp9Hv*l*?W$!dpj?}B{n}*6!dX;5qs2r{D>I2MGCXJV4 z^eP9Xp>nKV<=`|_j?=3gnuf}2^eTs^q4HY2%8_ZP9Isb7It`WA=~a$RL*)ehqro-C zDw7`#uGgy^pN7hbdX*E>PeDZt8Y*wmtGq1@mAC3u&P+q)RK3bO(@;50uX0uzDyQqa`aQ-f zle_v1J~$PebMHdX*2Rp>n2P<-=*HyhE?@(KJ-vsaH8C4V8E4RnAL8 ztR+-$1p4O{;Hw~2w^(x;_L*+Ail^>*`a*@7yb{MNnZl1+@l^>;{ za*6)JKQUIB+~UvbRqjqh5H?=@DLY|CYOmY*7{Ouq2t`bC}3ja4RpNm-$1dB9j@ zGRu{Em0zTxa+O}?S81qRtylR?8Y;{6&GVhH%H-x*qgVMu8Y^4V9bqDl^kixkaxsoQBF*v|T-vZLBhRyxgi+nVW{nSM@5R zX{dZnuQD$Um9Ohn7Nnu_4ZX^uG*rH+S6M9$mD}_xOVUvJmcFYWZLBhRynI`)^4K&~ zzN1%pd>Sg>)vG))4VCZdRi2cF%J=muYo?)cyI$p~X{h`_ud;R;DnHb#teb|)9oqMv zP(5Rn$?rWo^(^Zft4zLoKGNUg4UAPLPZS^PSvE3OnauJNy~-wOsNAJj*(?o}yY(v1 zNJHfwy~?xFP`OvH@|-kO?$fJ0FAbHS>Q%N(L*-|Bm95iI`MF+Yn>1AJ*Q;#nT_rgq zbU+?T7&}saRp<+YBZJ`t!?QwPYQSF`fkWSfz7_td_mfP1oOPQOp+n`N-#S3BBJ|ga zOtK;~V3lWPuE@;E&&;h;o>?%pJhR#z<(Ws?9C7SEJE1v9&dt1-oXKguWA}P`3$v&rYG{&aat% zNRB`P9LO8`CbOeB>(?9S?kPAsI?mT5aqgkz+>xIp8RN_k63*J`4CKxCI23@t_iDuwSwBSi*;BJ{WY798V04xkR8R&P{D!HX{N_uEpVXC?YZC9M07 z)n3MW10?_+r)BVh0XXh5uF)z<@%u=MA>))>tG$eu44A-WjMsuUnSrmIL>erwG7@cPy&X#K?~ky2A-s)|F!`*j{c2W2Jadu0s51*;P=hIH)+8i zn1QEgpBHx;fW@_c2=s5!D*3o_N^aG*!Y*?qY$BbiwZ$F-B>Fs&E%;|M z@ZDPQFJ|C-wBX;&z_YdBKg_`QYOVdJ8TdYJ&3~JL@7LDMf5_~JKZr&i(1EjvS z!G1IFLt3zH27Xuz=D)RePV1s`PwUZ4dZV+MX&3qH;ayif~1 z!3_M27F@#&yhsZ^*$li`3qHjRyhIDGWd?p$3$9}ZUaAG3W(HoS1)pvPUakd~nt@kn z!41v8E4ARpX5dv?a8onzYAv|A8Ms{cFqd_v0a!fDv6uKYS_WquC;{+VE%;nB@H*|x z(ZUS;oEF^547^?oKHm)dy!M(eFay7!1z%_eeo;%iof&w87Tm!Myip78Xa;^s+f6$g zfaAL9%UUH}E2m_WR!O(YDcP*G#bpLc;D*_v1@|xmzoG?SVFuo+1z%|fepL&;$_)IP z7Tm`S{JIw0&kX#A7Tn(q{H7K>&eoqS?V+MX-OM9Fdc)J#Str_?OE%-V!@Q2!KzTOPHLkqsa47^hdzR?W) zkrsTD8Tex@_+~TkCtC2WX5d}grkZ93-mR^9h8cK|7JR!Ic&`?GhZ%UE_L}c91AnRo z-)#o|OiO#V8TfN8_&zi6el6_>%)ke<;D^k>2esfw%)no0ulX@E@R!<}=bC}P(t;m1 z1AnarKWPU3Mhkw*4E(JY{InVPJ1zJbGw}D?sdljeIPSsr2W@*lYoG+C+8?#_mzjZo z($>7f4E(dU=2d3kLt1dT8Tc11c&!=uS1tHCGw^S^k7ilspRBXiLzDfXRbu|hnkzZ1 zy$r)o)_-cdt;r|rxF^KFvBKSqFLa%kr#m zYlqabbzRD;C2OTF`D5)!P%Ydbb>VN-lBcIG32GCrtXb;932GasF8L!62)vNlp}SQ( zpmI4kHR#*g30HW&OIcNG%hV;44c9Jp;e;z}o4RDO;X0=-oS^pN)FprH(%r6Ys~6Wj zb@3#V^>QhzYP}+L$sZGYa9QfI376I z*!JL5jQn!jgKxF9LTr0D4({%twKD5Z*h1iE_jzpFgY_J4dk`mmv&{}Lw*RLcRsOE1 z!{v*Vk7&XFnt^>faG02Z{kjn~>^A^cJjeJftrFW@2{?oJY^{=D<&*@pNL44bL#9}xp30M61qD2C&19k*^B)`IiRYNlG+g=XMv zEx6bWoTCL-Hv{Kt!AF^aBU*zUV+M|D!N-|_9WCt>%)ohCa18@+o$`3zvo)h`lk3U?(9wB$J^l!p-2ZqSlJ=*+-R! z&npkNs(8qVjEjs*Ic6QRQ8GDY@vLvl!xyWqZ;^2&g{*CnNVp+vRAm1R z4L1^-7l(!$!zO9myqIl4fe2(pATa{h(lOjgT+7YkTF!U7mTMvtQj!zbQXalcL-Lw9 zlIMpz3zFxDyAa&1c)m)qGZ5?|Np=tSK$8CPKr#s3dQ+|PaIN-r%ENuvhRf<$FN6n_ zhX*epnH}nuhlg)~ho6n%k&$ELpSj7jNQ>0e$AG?ZB|u$=Oa0&(9#heBQK;d<)HPfH zHC$Vw79X0jcvk#_A1F@!JQc6n&{8S>;Q#J3g9Nd*?SuAduKzehy=s8gl~mg#U@9E zr;@XwhUp{}o(5?F-)M4tcsiuPyRUCk`10U1b{~PnZXf*Nn@oTB@<{B~nc>?ae=@HXjIlXeda(cwHS5EiZE2o?H%IW64@(#0J36=l<(JQAbz4A`kEANuMa+d6s zcboUhdtxg%q!HX;KIp+=n3XJ?mDH(&kUKdC+&vP8ehyQIcYIhE1&;E}Y=t&FyZkECs0W!m)7 z1Z_z@PgLeg=Ot)M>LE{7hTBsK+LEqxer32VOwg9Z?WxLedp1E^61N4F z;kGP6TN1aYE5mJNg0>`X3oD~-^^vqaQyFdRkECr;WwdQLlD5U+C1QO04o)y(Z(Qr( ziEOEOIE#Na+8jx}rW#%epw-Hw;%1krR((|59BH5OnJbGeN5>ZL$>zv_lqJJS+a)*i zYcIr$iai^Vb*Y|>o(s+umS_*pXUr1S;OjvxsNa4e(}Csiiq*=*IVrxTYT@}5(Z>DI`w)Lrh~ z_|CaCl6nQ7zm2@FDvpwyQ)*UkkgbtXDNBYYC>)|>dg`J{cgR-WTKF1%NZuib!duzf z12Yl7SLACrdym*E-*C5kZ#%3P@62l94`kbY7~gguKusU=w%Z}^mtoLy?9Oanaj+6J z+{bX5QmvBb!u#V-CJG;17yimeHio}>F8m9OYT-XvJ0~rZ##3o7P0&2NlbJvqK*V35 zc5*6*wcY6NBX*-#jl0pSN9soZD&6Q+^KSI2aW?{`mFY&Wrszh0rtU_6%5LX-~#m!>Y7 zG$y}UaWnZA#ReqbBASCY6Qn6iqcA2zmRR4R)x6(KSa0lRsxEJ)lK7jcI^0Yp{AN1J z@Mbzb)y;HL>YFK^iowlvvbOu5s_*{Wj@12QxRl+0n{oHwX5Rg`8F&9}kt1|}^^p)b zn09|CYTEswsA>0yqLu0X+fsD@nyI^gP1*fxdGG#MZ>;;*mfgQjeD|*n-MmV5d};8*^6z~1G6sWpbNF|r^0crca#qTrNF57zL{hsR zX`W_xM7kI&J}+g-@C5m)w$UlCEf{@fGbn(iN!>Ry&QaXlKOe zigv13RB_%ZZ#1%lyhnEO&d)ocZ@wJ+=cjmMr7bJ-6!FQCo+4VwF8v|)Bl7qP+BOmb z(=QEb-i-D{x}|Q&cgyKT&{pl`_o+xx{2aa~lG-gu{Xn%Ra(T*HXhGtO_?`*_lE-5P z1WErngm#eQMQ;+~FBWxc)mC|?{&q+^yVYKoUM$9ty0l~b7;-U;AszV`(#6H#@O>)j zRdjFU%#@}GCmOsc5{bW%y^#*7vC0?0y)L~u+2DICXYj5^GWcGX*)7@N@wL~bmq>$m zi#PZsVDN6-;Fr1>96l2!8GK)544xZ__Z=nsj19i8QU>2wIfGwzB!lmZT$Qq8BpW=w z_PVsYGHMaMsm9qDzarT}k zN0fP~MwBa#WbaR1Uhk9b9bbE0+Ed#5%6NPC1bbh}?cGb-J81~tpc}$JPh$xG+;|B8 zyi!B>=Wzx%7{aeQlEFWBasNm*czo@3X>V!pKJfPS4c^zq;JP7ve`Pv&!VtdS zxQFkrR1e=@IfM5*lEL>^Y6y?7y)G@22Jatl@G>xXe{S#rE(X^P;Rh;X@Pr}!fU&_3 zRLbB7DrfM4M>6<uK)ku_wMJpJM>IfS65Y6S65e8Z;V2yS9B8mCZie8 zJV3MZ0S}a@G!?J@%z9!4qB{Em@Gr3o>zrj5jOKrEQ}yiDY9nDfRGg`-s6Md~olcu5 zR5vjj9QWE*#;fa+Rt~V+sK4Z`_$IwLfM6bA9AefpyO{_0GGw0PnE~*mSXf*pWvIG) ziDo^&C4)sTcjbje=U{oc9OPw=ke4f@ysS1NLSD{O$V+40purwiv$5SxNhQAar%na< zTyr4hTlkzxVPnlU1o1%tV6|;p{6jh(Nn^GW?;vEgcOwdNfP(_f#t_O@;GiV`b<}P*RKr&Of85mbe)eeP(L}aHGAF0=n~-KQa^$Z z{OCH#kHgJ$+*H7ReQUuuF%r&UI87fRhUY8x$# zRx(_-jbZIGS{W9^dH6xOdGge3BoVe}VCV4;Nu{_;r6mrP)+(uVR~A%io-{7Yl1$C*G0HC^{{v)dPRMk( zB-1@EnU;i+>0U{ui-1gdK+OjQnWX5fPbW&zfGyGc)2YaV>Os~vwwAIMabm&4q^*TL zQ%RgCk+v3^8ObN31Ww}Ntqh7QU%J`UlFpL`y zNp1v$c5^zp-J$(RI)BoJc6rj6l_j;yH7iM<+WR%O2ZWKXoCxisQfMF33GL&OOp{!p z-9&|UOFB{XLiuTk2dja`69OmD-j>YM+);`;3&@XQk9W=SuCSFsXe$ z6FXk4E<3hn#g10lv!m7j`|M~%*zvMt$19Q@uS#~jrenu8$&M-R=y;fpjyKZT5q)z@ z^v%lXJLzi1)$0GriX*L)#uZr(kk+~w$B+Ti#pr7csJ2>fO;os}{X^6`90lU0N5JlA zO*rzNgxU5-2)Hb8cG95L>LS%7Q*u9PD?l^oecIpP~v-gM_2 zz&wx}R~e@{|FDzUM(SNYWqm69_L=A#AQ;w<&t*T3hJJ9_~BqIaO_)ejQ$9g^3OhaZ!g?D*ghNt+1m^MjkqbC z^})fYz1Csf`Mny!_GTvpjUTZI2HQvXME`KCe&bSiU#Gu&0<$vu7jyu2ed&SHq}ffg z>KCUlq3?V7ZgKiSOtYK7$BE78@AM7`)?c;y`A1@Rr;FXhOxSg|Pf)4~7rU52d}-b7 zbCos1j=Y-PQ70zKPE1sEB4)}?JOGLlqB@M3nL5!UX>3#Cd;dDFp& ziVY4!c&$U|eTA*wZKCwPLc>hH!x3wy*wDJd9;IXycS6TnC@X3r$%u3K3cHoMi7@Bz z6+Y`s!($yhh3`$p_CHA0L@gOCCWB;SI7k+XZ7ARqM(gU#tIpYS|CB?}=!~_I$}D_d zoo6>!(k*?LW**WBtzvDlE^8~0Z@2#v?!fk@pY~G155f}O9>{c%XzAb#9b2H;jY;p= z0@AS#xMPq;1-Np^{&&oQ?R89?y$AD##SXi zFwtJ;f2(JQOO|!PJv$tF)RET$vm6IF0v1WUZRER0Du47S(xJd3SkS=*wM1R?_iz7t>vd- zA?#>)-XsL|@FqdC_QuoTV!N}FzG4FxD)gZh)W@FamgZtjxEjP&h{P0x3XAQI8K|%r zjY&643)vuw^_5hh&WsqWt9c>}mju0p%O#D-IPFB3Gb1)Yf)6nDI3K)Z>XMAzS%SI~ z%G5!s_Kpu}@A`~FgcD(ewl_r8-msANmfD>%uxn|i{tZ`nZ(<{y_PFyy(({I3|4@*L zGe~f1j?n&{DBBB&$4I|D5O_yC(!ei6-3b+s3KjU1vjLA&frNq|Ex8lG{#2hk68kja zUXcm=(^TM3&jvhBJrauj87lB+WdnX?ChW(mXg@9++T&Cwq1caCfuE2K_**hzf35@{ zP%P*B;N?KLEvQ)1Bo${z2^|O*cwVub3dr*ZS=B5rjh!-~n7K-4>qCmO7(~~_CIP-7 zUdvUw9Y``EtbKbBkR)3?4H+OYH5`f6c0=V{7a>Tjwri-rB+JNE_SwoW zd1zHcrcR4pqE1o;IL}bw+*=7hh_mKY3&DA{U9N;lm%giPb?bDpUuCN^Rl^o@q_5vS z;RCgdyN%7pqmcjYM01=uLGA&a!MFAwrTO3Z-o8u-2T9-#EhrL+-o)0%pvA#tI%9!ooucaW0q%*d(_B}&4kUA9OEvd zZfq88rdZ|#qcCBv)S!+FNuE|N6YlY4k3~GVvoNJ`cnJ;zD0;zKra-Cx}0zGssloeP}&_j!$3?}oO zhMpdRCxsqbTph>nJ;;6ub^Kl@or|-a>{n(#kkae+Fe2nCT9jb%GtjePs6Y zSPf4KR^Pb)P7l-)xTjW1r^ij$>9G==9ybZ6$IYG?SR)-Vu$m(=fX7=gdDd(Eaj{kO zOTKX*zGpx(rI_nAu4^h@>obT~?AAII1+~MP`o!036ecyA)@!1qMQ#9`lNA#5@@=JulGgVYVL_RJSjwc_5O=EY6(RA4k_Ym zu!!FQBECk5_}c2|&0x00V1C5do!)>k8#)8V_zp(N-62|MgXYu;73>YVeUE_Nycdi3 z279QQLqw`JXyJ>3*>a}O4@ z0UtMH5zD)Xm;odKy7{6;4@bDa;$df)kuyp!YW5`^mFy<+g8Efh&|k7k)q)PKMW*`| ziM8^Q-Bw#Mwmdy`nE8@sMMyRDOZH)E@Wcjr$*!;blDjfukMaeI6FM4uJS=n+!m;Uz zudQ}XB}g&*Y~diCH1e?Kd5YzsHIG;c@r3^AP!CZ;rT&Ypb_?Z~qJ72MZ>v^xFx$7< z$LL$&4l!V0FpO`jE_Rvd+O3*Xfpm&}Nwa_#40Nb1){;Q&XIKHEb|EYDSD3hmFWX6N z4}pJ0tVpa`pJPP`VlgXLL2RsrsPcuqY^!fhqpRDO?GE}DINw9K@^aQQ;LAGi02x+W zH36GcT3^&zbv&5DSx?bCgyzedr2P?`SQWn1gwc?d{L31gxviFKUSM8oUJl;7=gfD^ z_aYTJ9ddf*^oGAzXlb5b$#DXjryGT&9!r#F;sg|fn&gP`nXC@aWW0cEd1S#i$gQ1&X674f;yGnn!&id8yU-k^-EW zyg5nQUNl;{WOd>xaHcnf-S|OutddtV**G71R*BgO_>cpg`xD0MPGMXG#_DUj`#2zH zsM1JyO=p&HTgEjKh%NJ)ZjK>3>NQ=)2>PWiO>X)!?lnO?Co%G$o_rdA#&$UJY5bWk zpF5;{e!Tzk>9p$w*nA@8a~GD+Pe49*3Hkg~%BMCla_lRWe0~=upU$QYP*+!s3wtZwq}_|CXsELsE(*uT}nHbn&aE-De5^H zUq@ta(|Go&#j{N_o_O>M@jPzRMM=ee2u9p&^F`M@(-U3u%-Hvi=t5bVYW-e{?vMK~ zx=y>E=>8-{_h&4+KY{4}EJXL0EJgPZmFVtOi!Meb3(@^Yimv4$+SEuxzKTy{TKF(q(&`Xp=YX_8K(t0_si8aSplwc+)Oa!sNOY zufXG_S*^g|(xfU%UxCMKWVZr;ON3&D`{QYs-m+UNyQEuzzonG|Oy0M&D={FNVg>$| zF07wulDD#6fxoHQ2~J;u$7^M`0)N|1X=&)Mz~i;ETYT7kcs~Tvysc9>VugH!+0PsZE96Dy?T~}G!rGT( z=cHhTJSgYXoYUzFc_3O3d*LhpKd+Ft!wUILvxE7Twbgvn`qg|p_Z0K3+xvcf9Z+_FSRpSo8pi916>hl${wHL}7h!rxp1L6(E z3V9%uHF8$SxjVh-I(KK>cBX463z~88%3UGH8}I*g?exQ&uKAf9{y!E!5Kq@|G6xFy zblp@=*V+|wyrpWoZW}gTJ1gYvutLV@WHDv8^QLTLpKHoN*6{ejVW!+W8n0snOu6_W z;k7hJ0m*{n9b3KKPDk%My1QZ0?6`N39rvUs7{-(F_O2cGWV&F$Q4=WS?CQAxg5k95 z2?js$;Rr@2EEsU^Bi>20beI$j?b0;fRV5fb!UV%vnqpM45Q?Kbp)jw7(|tLxG##w6 z@88v(!=v^+HLKp$#U)XDj2d$9YH|-z=kU9lASo(P?`lMw?&QC#o3_Zr@ov(%Mm_hY zNvV^`UN}(9!Jd?wgX29NDTT5$_0>~KX|Mg4Qj|d-l-AKwN{_)(dNfGsF+xgvXDOxq zRZ==gEu|QhETnX>MCDmfT^0BdsWUY-|>-(fK!TtIuKhJoQeSJ9XhWNW&aLs zunTl^RG^zl;})e9h)j!9(<)SID$wq#Q+lR(zIJGC><}N{4+q937}Jee(k_Kt?)Mq9 z{IF}sEJbnH`32GQ#V$;V`+%?AHl6z;ApaV`$d8{4mROE)j!`#08Z0pwlIGiIqYJas44uxX-9xjUe5Epcq3kKqAS`)fhY^cL+%G*+PlR z1=`lw5GL`udoF~;U%+L;Rh<(vMn*-nk-!s}R@|j$b4Q-x&x$$x$K329Kcdq);Ij@Bc)JFX&+L$#SBcf+xz! zFi}ns6XjHob;fgU;)}&$toztLN~zxk>n?%pO`Gvh{aE8>OykYR8sj;Ag9G1*u~-sw zv5J_}_n(-!B^I9{i8&J!a|RG|rXc1lkC^6Z?l{;PM$Av_o_b==hAH`SOw3Po_B^a* zsu#syKc1{&U)C-CNwwm7Jc3IXxFRJx_4@8p-JwV6&6kmp#Hby(`nO0gXot#s#7L zqp@kbv|e5H1iwp@QkmL|yL6E`WVx`bI-`7-E&}ag6r9G zBAf>0_jB%X;o>w1#A%@rr>-DQ*E-^4_V5%3JSnvBqWu>qr*%)97E5uu4vW)b5U1;e zI4zOl^e&i;T$~1miPNW<#A&IhMI>rj783QT=I%+|66DjYT^FC~j)`!ibgGbBbmHt& z%`Rw~mijc481m`eGxeP5U91zTY1$$2a&E2R;>E42C80Q<*%vA&3m!GI|5j5Vy{U66jb}C8Dy^p5 zvbUOU-~aLIwC;`9RdT$p#^ZGrjMvp-yxt*Mcc?qjL!NS2{0H0%pJy7cYZ2@2N@tzS zgNw$+=b1zu+YO)FwtT3E&`lG_et*E50f{nag42D-1|av%1aEq4I7KON7!4jJfLD=yb^el z`&iL{5jp&Gxj23}baCu3J^sk?TZ(_GEdH&s_z%p{FLY;QoZMg*(7eBK9L%Bnm%GK&-H#r_-&wRk|RRSr7^PQ}US zF3gKBGkB+nBK=Yq|MPDu(l4bQ&6l4%Qr8)ZJW@Byq4?td4@IYSPm#VPhvHT|6kmd& zxK#|rm!t00#Rcbr;S+^m;3=R*U*j8ZVn|Asyq?YyI9?GsAM@iok=G}D>puPl7#KIe zkDPt^PIGcZ7#T8k$#?kf-uQL^Bo5(_@%&ckcowHX#CM*v>z#0CSJ08STXW7+SY^(s z2yy=5w1?yWVTI@ngE-j`b_Qj)X48O2dPFB-lJ3?--qVew-MYAfX&d7^>Qu%*0kTb{ z;4f0nPjpGLTeJ6th#`({05Lvg#fTUY770Jlv0HmTJHmPf!8&QI$rkOTahv*6vXlZ` zE?0g^xA%5uI{p2qPjAZ3r17e`5YM9?a(v00X zUzy+MCe0sm4>Nxhv-DJ$@*2S`y<5!E39yE~7qj&9U=95stf38H4gDyrq3@yWCnzg0 z{sUz{Ls`Dr3(9_hvLdrBl>G{2g%)`H!#OeZNze5>B%H~b+EUCOH@mGxQ(kza^ z{ZvrE&Eh@YTx^{J(XRYJQ1|e;_|Gin;$Jj5&iPy%nF+(594GxH>$&)spdJaCi~r7Y zF8(LGx%gMjUJ}x9zf#OLfa#?eQtl?hLcYQD|IiQ;_PP^%^$SSsuR==s9uk+*eIQji zu$^8v0XK4hEeTSZFrh5Z04YuIWk;?7QkuwtG9jgjTqxsvNZmc@GrKFKFOla-Uj#yk z;C4cz@cB^bOB7@weS0!WpRg_yMdg)=2%g&aXuM9`%t*xGm!LH+QR-VdqwdkI0JsnA zUVxpXu=^@ur}@D4WIcZNP$`9$MYb4dJ>?$Pfj{uCvdToQ%0%7DL<5Y}ADUGUKb@Xv z1ivO(0Xj?BJ4(4fG)H&nO#DX%>z3w_{X=(UlVEpK((|OTM2+z@IkEmBl7=C>B+=Zp zUj&`ycYoRk066rWr^lS{MM^;5U63eOt2B(|Um6Dy?~}jlo@kYw^7~7ZGy^HWzk*_w zXd}!qnZj3g{O`0c+hGIG>G)(4sk2wJwxd~W_G(l$U9#-e_)-M-i!fdHYIKTAS9d9v zI7qn^BPTKTYEu5tTnKwL$HgMP;O$MLYX^s}i3A_cd1HtX`j3uKBq9AjnhndCZ{Po8 z*QN68+3i%G-8EXGV|Ht_eOfad`bD_3PvdeGJSFOWpEi$?l-GUKQie7=xpWl++Z(7+ z7UkIl5}jETEk0u`7P|Pf44oq7a}Dkoe68Lz79Hz?gcZIXoQ0->&sczC{tC+Jqv%_r zD_xFQrslYa8wV%v8OpbDz$AE>e;AZr)dU-$p9(H{?H+|EyeJ zooz(3HV&;BZ6eDoVS=!u1dMFVyOfxdfu|n!$3ilc5!!Z7w6Ok8~8|W7w6=IUEG7)#W|36HE|TTi*q3DYN98%i*uiYvR+VDlzTsv z9SvoLdEY|WF;EuCdmGAnLs@bDvru*{l*RJzgR(wQ29DCg=RKRc@OhO@-Pg0JlQ$bB zyi#IRxJ}(Z)TZVsi6dkhwsJM<6{cZfHV*W%)h7nw(Uz;r1c3cX(1>PS;(O}hOI;Eh z+WMi&u}++808^bqjyrQ8dY^CgC64D)fTJFVI*)jAxAHXlf=ur4C7(9K-PXdepe)GK z{TD};Z54&)-uId(CSxyR*fU-fuKxmBdmZnSGf8eKu$ z2UcMQ_W{-u;~kvvCa+a&*H+3wh$=7EoHeGSzgV+WO-#U&UaZN~E!GL{WEH?$eVLA^ z#F>EfzhpwOZc@j)DL|S&8q(k%6lo@PI#m~n@u8YIG0Xy6eQMKpVZdXjOV#= z2A=G8q`Qmq1y5@k}V24rN8fW1(yY zlob~L4P`T-EK>YAl+A)Na4{uDd9ItpD3$BxQqOghcRYBRU^70qak%T|vQXCz35>H! zHCq?XW_;KZyHs=NNLc208Hts4spd3SVh;UMmm&j3F%@tcMq{3N%r zr*Cr`tMu))p1!r7h2SS1v+`@WzFnM!zO9{6-v+%+wX@bewJFQJ;7J(MONeu3X;|=v zRY#XUjR$WauePVd1Ze*R3cxs3J$%VPucJ$*L)Hj&Gzm($YDZxZ%d#7jbu2yp)vz90bM zf}5D}y|z|;adQOnmN@H_kS`mg!Sq5y+^dac>kZSsB%vYlyGHc84bUA6X_9(I6RW;4 zz-nMjwi+6@Ta5%wonr~;P>G6Gw|a+4j5%Piaas-97+vC#3p(g$B^Jj3=s^VQKzqD$ zgv8$vS2zc6KD7=+7!LBdX$(?fc$>uVY_JYFh8^M7Y+%-^I-4V}S_V&?A<>jnYbq3z z%dzNhuu=*n54M^jG&)K&I;zlEEzy9)bpnm{4jOA*nQ;jvF=!@2*L4^)Lm0G|7_?Vm zuvTI~S(UhpVgSq&(dGeGHP;+#Zj|LZgFUnBXyAR)d}?_T~d$bv8a6))lc`ceFA_{PNV!#xBxCjN#;_oQdypL82A zx^hc&B~-Q{*uT;)1obOb-hp-r%m#Rx6jv;`AidL|c5$d(BjG|sbO3HsalZ|M>trnV z;JT;HAhJK~K2{00G!1UE;I!_nW<9vBU*E0~>erWWYp20&o(5MKn;u-(WpCFFb=ga} z4b$MZ2+|=TwzxdFZd9J#I5a9x!floYw_Ic|M)ZyD6;p~M3Z3ID&ZGV87A%gU{Squ8 zqWvV?)@g8CrqMxcC3^VU%ocFlG8@57vLuCja2niJ6yFUnAzyE-Hr9d(`<3yhu@}zq z9BB?Vhr-|G=H2Ex`1_+}S$S}-=UD4Rs{;PsW^J@K!QVe}igRL~=?ho2+WU;Pna=qn z{t!oZ24-ve%J0+epY>w5(q4#oEm?0r-AJ)kUU{SIYEL7B}bDbHwv zr||RXc27A)!?j1kLLTf`e}NScnC@bw6R=O)CXG3n))HxUU0XEy%G|5QV7ph~;1?9Y z^k5$?P3R9`^5y65Pj;1&lZ(ynt(ouoIeLiPSYiRziHWY9Y`?*~MQXm5K{gs+R3fk#%eX5oz?G#dQd{7FG7bp&qQm_GN%B7$K zm4aa!DLB!S0-h1(zh9u?%vD8Uz*PSjA0&eJq}In(0{_UQxG%l@ZFr_)J~g=a|? zj?I2_p1uF06O)+PLRWG^gJ_g`2riJ3i33d+Fv1i^DE@g z=SIxA<(2kI_}wi0NIWzs)O~u0=D-{ek+5&~{Xh2VbdrcHKb7`cPKf@-NU%A*Ji;Q0 z<;fb;Hm|^FTToQOb5KNAlAKxAA%d5jA}525d#9jCKd^D{aw&4IG=$Do8A5kUiUcHZ zT~-pQE_Hhk#sFiGDT$xq8Iy zXD=yNy;O4bs5cFo?crpZ1tV=Q?EE-!L~e}Y09e<+p5gM!2p@n?km<3LCfhtrk7&EO zlO_y_hvmQ_HD`x15ER)-o4I%eZ9;uy6arG@){AuMb`shG?P8@Z!8@Tbuvb!Zul-nX z3_K>rz!oVr3cKy8bkUGw;5ly$kUk}io0W6NzqAB*I_V7;C)FHZf_tgvvRH!ef2uhP zlbG2u)trq}%|WpZ1>D+sS(5l{7?@mOJHlKbGD`&{=P=EQG)_5htJ(2G9ELcJc1%^{ z=9T@oJ#b6RepT8YuVLHcRp{(%qQl!{hnKl~vtzvN455X{HAL3onwS-OVDE6v`HZxS zl&!-NdB(_rFh)h5*ClyQaglCa-V)38;kNqz5c};Qb8zde?KfPKwYIlolDz@s+Ac`; zjz=={IOhr;vo4T~p1gEd!TYa(%AYgnyW#0)Vq_fB_Oq3bm4_W z-F49>xxvKlVr%mA1+RRvy7;U%>w2t)Cx!RzUCHWGT~@cDtnQ*)O{DW0HE*=B|Kswy zjr|_x^?!iR?+ISN@A2CB-EID94fBrBrQCzSsZf?2p$R{warsB+&go6VEIC3GT$?`n zj__GxJngXro)j$kK(a(J`i`*GGi5qQaO+0`DS#*47Bt1jY$1O#_Y8keeprVYfd zKG)4=9@pVX!S$Vz>xzL0&96{4pU!sFJPgE-i*oh(P zOu2{e(YCs2Cg(`+p#JaXQ^Lr61)Ke;{h1r8qmch@n#+}n(@X>0dTaZ0<7_Y;45PLE z1w2VYd=5nTLJ;Ch`zyEgVPK({@M)`ZJoJ0$j_5uR?0OH;^{4~K{+e^4PECNBXTm?Y zLG(?g&i2p-Wrnxs?1;3szm=W+4tMrjX!$$Q`tIl-PG`+x2)O0u*xMT;he3A<@9CNB zV>JOek=~V&zF?}uYCtBS2+Z8aYSyxJ&2g;ux&q9TV>J=#(OQ*}fs{-+*0D$;M}9=dr78NE}8aZBvYSEWJ+6&_0goJ6E+Psf%<4}5}}0bqq!=KW-aKWiJ6Q{3n645 zM94j!k~8+Gl-v)JkUYQ}c8_-i3eQn*{hR%QmHx!R54&iWLpTp)NkHhe! zn8tpT9Dds6@WPB7?x%^2&RwGg_1!?x(p1l5)78 z&O1XalYW|m^?ca&g^c3;xu-FNzx@z@7fSvvRPpyG$=`Eb{vMu@zx}Jn-~L(iw}00B z?VlxoxgRSmr$m39vL(Fe|6lN;KjOvVk{5@oc=2;AUu&uivJY0Oi1by;AknyIs{F!D z2hUXbMHmaeN(;5W>$_q6?hTlT;aZK75jidW%QEPEYgi6!FHqw**BE6sgeLhm*l*Cv z@1mtWo-t}xxElyNi9tdVv|1p{TC4MnxXb1{=Ud;rS| zH8ZC19g7=`HO5`ivn+yf?$UUc;czXzy~jF9aFBZf?*fPNU&5ih6g+`{yAI{dm$%t_ zr8%ltg`AW$<}3S=ZhSi^IgD>(|Kr-DZ6K5p8Q=c^)Aw=4Ck;=#Sc~B%3a}5X6GOBM zW&cQ>CoIGsCD~`K3UP!*mA$0AGHKcM^Rca2p*iNyPt?nli)r=LSwJEaX$zf{6s9h! z3hfzAP-R{!VVK%!3nMixKs}s2IIXVQ`4GfToi3lAFs;z6)~PL1p|Pszj9#Iebf_uI zBLZ`*kwy=z!dJl7iJk(6r*LB@nd6D8c@s>A{0P_qA>vAxPjhwIPPw@HdL@~czTe

wcM;wmOD7l;h<51X* z#T&9Y)?BIQMi}|Ye4*!#HtIsOtOz|3z z`=3&7p6)Cs&*MXRGS6185rTlvSC4aU7s65<%tD3bKhGZwrX@*gsYqHBUFcdP!@+~Z z9Ve~DDYV#?&fXn4wYWkY)<%1GX=mV8h}Io*q_xw!YmV^S5@4<7T7v-Vi8%xIx>&SQC^=@iRDZHsd$;cbsliVR(ztkeyMu>IPVB6~REIqcWwfT%bj z$SvWjx+6d_++kE2o27!dnje*~ZCJ2Z=m*B<$$!ILCA-Cehq^|t`-%SS#j0`@|3Hr`JVbuGZMDH5_YP|Oc$llizy$5vD2CHEfzs1K(os^M#!eIt8e=v;g z$#Ms;hmsA;A5I!%EI3^6@CFZGLvn}A2ALYQT|Y}eP!~HRI=mdWS1Oc zOXTEDgCy(7!G}3$1SG?)xzZoUo*oC6Jzh6Gut1&QljCgmP z|L#=zF7I}uI2T^8HSCSap@7`L%H(kI`3e5#W6C!sE9`>G^UbNx5t(3|P z!hdt2c(XBi%f=*+M|*E$@(!4B>;`VGhvZss{28-ECQ5hwCGSS%H9*OFuYi05Q2W{Q~2|In_G-i`lDpSda zd_wRvwlG9_UWi%@-0Uz1Zf3R6EW;Rcroj?8P-7Pqpf!jwN0+*8Vk%kXw=>;ur#7oC z+If`KM(xyLV8!s+jJK1I?^iIc$k5DVels&2;uINxW=>@hTuTF_DLSCMD*3ztWrtN3 z9Z^|yR4Vy`OV6#FiY`-Dd4*JY)vq$gsUoDOAcO}}Nal(xAs!!n{?5f0nDSBmNfXm^+U&0nFXzQ{(Dv@w|or@$tMSi0A7*p7W}Q=X}xx;Q5A+=X`{xKN|gR zOL{KA7h|BoxB#b*>EpmRkwE<> z+EkT*IT1_oIcPb5jP<;OIbyywpu-I=`7{G@nN|6GYdgN^BpYTLDxD{;Mj1L;j%% z-GYydXOfd!6+F5%$fGhJ2e48So%pY+1QI!h;&59SkKAd5>kP*-z>5pxcDo50VwI_q z+D?l_12z@ik*446?z)L+mB_s1sV#t2$Atx^;93Gpfz0Z_3{g_oN9L|joecB{VeuV= zl|GB_2+G_6K6&o}P>`b{F}$h-@*;$icTJegp;=m(8qPg$$+aO?*jl2gfj*6V`m9Uq z9#Qgl+RYG~{2twDH%8?kw2l29-3PsaFxAAEs*+}k9^FL<;wm@2z}y|=QFEV18?s^Y zJwYjH;RASp0C2~!cXwVWP46WH0GgKjG~JX&Q?*uDrx=mzf+Mn}PvD1uxM%ZURY_X~ zf!BwPNVMi4OumnB!)Nk+A{C`Ku7Jtyd>l4I`?v64RY?a04)@y;BqNl`4ng#bhYdjz zAL5hvkx&wcSV|iS*Zsb2Bx3^l=6MIe4t@;0vFrG+s-&}`Zx7&vB_Udu_b+Ts_n<;U zJV;mo=p62o`UyYhO^ zVUa)FlR|*j!-w{4wV`be;&zk|?fFol0wE7k^;HBbU#_aiIsq8j(}B+y=Pv@NyZEoF z1S0uDRsAD4(U2!s)ll`12FJ{?e)qOkn^=zpiPgu4_DU$RAiI;eZxq=*kCTz+Q|j@+ zI-#VmPbpZZ*w^x3RmngFrJe{|Cscz{TZrNXv2xsY!hiI7wJG%^VLLz@jJV-ypmdNLuBVU=| z4gIF7WRw9u^F1Qrpj?1s^nZ(XuzHFu0!Www>CFI0kwF(t|IlEQd@i6~AO?vq^jFx)?YxG6ZR z8ZD_RnF=_BE&sO$jQ7gOeQEujiSWm+gO|zpfmL+`va5OCCzs`yE9$-ys4EDK1`nFL zLhQ-cg5o*H7tb9;*cJBnw%};-JhWi^@5~0k>p=ilx)=a}PXquVunkxPA+T4o21sBV zvWA|(f?u&dz9YeS!)^&Siz1){u8fPHmR6y=vI4o106=TvsbB**{tR|-zL8;XE{20X z0QN8?7W}jk4Bkepk)Xvq)(Fv}F>CD5VgQ`Nr0t)kftV_}#wYlvKEa!?CZdrAtO;u5 zKz5*#;M=Q9@a>v|Rg~b{t3~kb)gt)z%mi=BnhIK6%bFruG-J)e3BFyEnItkTRkFw@ zc)BU=J1j$n>sv%e!EAzdFuqJv87tr|95$qstZN;++J1!yC;t6DLL z&j14b#QX@WNaxlJqBPu~2!3q7yt3pM=j1%rt6ypl|hM7(t&0}y3KUtTz;gA zw-oiNEb5&~xqe!{zxxBFOhJ$`9asm^dW>~ItslY;aTvt+`EtoV-*=j@ObD-(S-3GL zzq8fX(RwGDcqP)=0Wkd{$LVfh6SS&>m>S{Vx3Tb4`YX^`ulyR{z8CKu)p6|tH0iw zHP~Nb7=EwmaCCa0!}(|=bY`7Jhs#)J)ZxR~;i?Y*kg3Cb^aU9FL$x}b>n_rR9fmn5 zPtFWKRHMUbW3`4q-~N!w2Or%b4YjLn}ip~g>10CssqVg00u0~S`WGj4s&6_8LoB)hZjqMLPD zcht=z84%HnilEx^=S?v*i5Ub^AcC^5?F*_RJdJH>8h3oH`a19xvzh}f1PoH*Sn0kdZ zM{foJ`e0!l$a*7Ok7dXDxZ3sYLac;;*B$$rNLfAtkLfx0_r%li6l(UG<% z6J0{a^q%U`#a7UzAL}P@ZNd5>T>G>BKCVC)8`EV^b?AbJ{s1;WwAGRgKy3|VaNayz z*Zq-+E+IqzkLu9{9OlAjPNrj!m*d!R0@v2;IE3pUHps^n=u!*Q<&SF6B~3-N@m0ic z8C1kzHdvt6jtxer9nX&UQKRDZS0*xsOxk~CA|otQ%R3KYLj)=Zu^|YRp=_v&O4_VG zj13bNIP+nPC(d_mY`Cw3x2RVSw^$b0-LUEG{WW- zc8ZUUT|mh4PqoS7t0PL5G=0&{*B5)lP&}2LDzH0}or*{Cr;F5{X^CJWh1VXeXBcN^urmb6UhE76@=SK7669e@ z%QFIwLAg+Z#+xg@OdXv{9pjP-wkH0hYBkNHa~3;GAbBi13n4j{jTJ~b9-TP%nuso) z&CV8I_ho0Jug9@*ewT=7nmP7SN=y}A4ye;dT?g!$fEQ^z8!vF`&&DI1&SB@^9&j&` z=)nXwL3}-sO+a6t%g*(CfQ8x2)sUJpC0BTW!zDuGbUB`wOXsok1R{gjc?gm7+4%@< z>`H^>CGUFLkU;yifBWKm0lPpzAHps`&@W^cI^E=3FM=Es*+lW(Fg6i=cM-b?W5F*} zD(^grO%k7;#3rFnFJ>3}AhC?*YZfEqh^(0}qFKWUC-Tj+{n>FUyr*rb~UXE`OP`+d7-g7MPA>vk|73vC9Oeu5TWO za&SKxFd3)BL?j3(?|eDCT);kuU5;SSVRIZRh|@A;SKBPsU0XoX2SEE5D%&SHMB&Sm zm0wbwQmmUpU|3A>g(5$jVG$w07%(i{AP|ThE-oYh?f@4Fm>lQ3E3B|cPBR*aB}iT1 zqEoV|xR^i-k7h7qfheieg?@jc*#L+K0ZjA(#6cUu2#-PRK@?66q$W8%0<{?MaheHj zA``425_UTc=b)iU@S99)XzmNf2@wH{!AjUv`6M*S7{r((O4u$`g5{SB$1lg|!U=Ev z*Ei9xc`S4IlqX>0zzoyYOr^Agwta{eZfYvULT#MU;gk@wLO8yWY0|n*;84PpbJ`As z2c6wRagFf8U4hH`$-pLh>Jook*hH+IQKpAh5`dQTA0JvtwV|Z~&{ETVXr*GRxPo0F zl;liy1yYh%vMW6$$=4#W8M3#fID*z)i-=6<>4Tw6#Kf!U4^StGiZFRz#jX-aT*|IO zNLYebzZ*)^!nd^R7~dBn4ri~mTa zuJW6&?KB?+B?rwfU<(AOxoiOfwU8~uRD^%P8E zp#ZYl{EotHb`Is2uqA?0i`WuGsq5MGnDX&a6p7k)i)tZVTN436k*=*#`f1~UiJ(L+ z+x)nv;(89-kUEsR453Csoj}NA>N;Np8aS|IFpd*@nOa7oP5f}%)Jiw%B$c|!2hs@1 z(K25f3(jaJ{rdLdO5&$(#bRAwvs8Z3 zxt>d<{J^c^CXQhO1Ai%7D#UaRTZ+W=26h7$Q}}KfTPD7{lPyEvEoX2LO~&aU+#71v zO>Pk73bsN(yqm2+5N~8R3W$zbAKit?-cY+F1Vv2N(+xGbTQ~|NM$~L*pP(eRi||Ue zQXqUUTZs_9iQVLoAY`r3P?s+r)I{2frD4*zT8Rc7&=-TN@m~Pi@W;ctj zt!Fo*uHC|Jk=!NoB%pbn5+q#>!l&x1FL~?Ka)ZzBRzjvGA$)qL@>68HHN?k@z;*+3 zTuf!RvRg%;?`OB7KHtV}3-5CyafUctC&pGt+ow^WwXm@J)FzcW+bq?YpLC(PX}C^& zLIvb$3FH}HC&CG77#{A8G~nYvSJBX6(^M?s!u!d!4p>>K=kTa(s!2RgIo4FW)^`*& z=o6VW$83tD2BW`8A~(e+{W(j)OmwfIzMb7JxcUOS9dUIPTjgjKaV>dO>Q(2882?ss zvl$MOjdpiP?!sKnRtuQh*lGmx4t58D`KExGO1(rz}&|+BA5@b z2QbW1$P~pfE6w$3)`9DKID@says|VOG!S?noSUvXKgb^BAWI9`g9zj%wn>2WuDg}h}=-CvxCUc z=|#a;rb?O5o{rAQ$QINg!ni@8yz}-TgPqbqfxguG%sK``Kyepf>R$efzCcGaEh zfzwWycnsx7VW|wM_Tj=_Jltpi|@9uE#kYbYzzACN%o}t zj;!u_Xq-?~%6eq--jW4*56w++RLFYRoz;qq3$H@q_0afN=$g2Ptx=880?biQv8M#p zy0fPc)t+WgdvjFqz^mCqH#R0_9{#;D4gVgR8!M=;?V(-s%Xzg2PK@Q5;b>mfT2w~` zvdEMk?F(ricS?(G0dDnn2R}VXVD{AP=}>O<)M#MWgYgV|MsTYSdj@gqS@x{pR+u-b zr|$4;Eg=HWvF8NPe(X5}^m+EY960!#FLY>#Qf%qAQ%~(mI92MTM1{rnrs96tSQov5 ztQg>{;Q`qII67#A4)g&W=KxR{p~n!z+BZUvAtn9$LZiEhoDPcMPRh14=7@@uK z+TSxmRX7|=_ON^$jt!igEgkA~i{mf^vWFbce^sR;6gc$3PJv7~^d%Oak3(P55un3~ zJ`Tg6eMn%N7+O^di6cUd@qXC!^>EM|tNnw_JlSXF3E4=)fFOX;K7bRm0Wgrz0?cI; zt>a_`29+RoPZj3!ab#r!08q5fsBAD8M3QX*fYbagjLrtYU=bhZt)T$G7$3l?+@b-+ zc81UrFR&McmN<*OfV9Mm?8R^`alCfRSwMV=y(A!>&0azfx3aB1L}-0HHaL#g#)Z%? zJ1DgjoraIs9o6BR;1Rx$_E%-;1fU1LQ_>uwbN_P#<~)qi5Y0vhsCP$CT!hC5%D7TG z#Xoo~ut}VXVGkAAIl@hH+S{QbLs$5h4i<(BKS=wbx|>+Y{Tk*_&7DL+bk0<=Nua|O z*K`$8E=?l}kddpIIjS00r`5n@9H!ax6xc+-sSct*i9al9ELC=bb{9TfqWqN7aF}i@ z6DID`c?9#Mu}KLSLQ(*2aD^;Xk>xr)S(3)HI^cn2*07|>6S9WO7_|^UrdBIIrSv#n zb7=*SxhcIKMi5!<57z`OQML@%ByHxf6GfQT!!;H@?cQ+Bk_Y6evXrQk;Tq`+;@ek4 zHGsRE;;X(1DhOA7MqA)}BZWIjiA50ZMCF$pF04adW-p7$;3oDmnhaiHulSPzXJoeB0|5$UJEDm2#rv>5Vx^y0^(}64MBXJy{>|&jtqo= z-_kpLa-Si{Eg~9RE94FKhG=Uodjqw#ooyFwc?%$@7OJUF%n&{>AXR#&-}+f;t-s0M z6s_OQ-bAgx#oqFI3h_h0JZ}cYJUmIWxDy+WsnUD=rq51m`fc{MXnGxc8#Vn7d&g;7 z_>!U5JPwnaD!A#98N-uG*Sk%Fqj^=6L zsI!^9hw8k~-p6%Nv>CL^F-;@p$vR6*M4*(SP3`j>^!2NN(ZO}dBfcP9AOL_&)lZc^ z>K9MMWP!I+Ii{HBr)K84e-lCRB!Xr(!I`H8H$O)D5(G99DD)552ZG>Fun!QyKV%;w zfvqfkQaWkIU`_39ehAkotUq|fW9gH)gQsWi;8Q+IQ%MIEivNrtAD;FJen~a}&I|(Z zA``&DF+%`=p1_JK)(7|GERB1SniFT~)E74ipM&2E6n0PL%n^jG$u$Qa?-zYq%*uuq zV}pB9FZlpwX9M8uAb_ntfXkJ{9H%+&MLRrB7yZYH`3n4A!^9k?iM0&ERxdswGh694 zzbjWbwD1JI^bHV?ar6-0c+DX^h?bIZ*cjLGI?pc{F0pasnA~{H)zthd@X|K}pP#E1 zt8XbD>dBs(F5~UdN|ze9~C1#`=K(8doSk z4Uj^6_=X&w7_Ygrp33C-3=vNysZtcvb5%A&bV6{5zU|BP+-v}x8#tCz`i>9anrr}^ z7qr#i^#Lp(0NhDUm!aJ}pZIdY8HP?ATw85u7X+#Eo{Jk8S&IoY#e8&O(7pJ+-?=3M z0LVI@PWodxo2WU$M#XHRHrAZNJU}D5pdLP?Umv0TnlBj=5%|U6m!P#YYecfHpP03# zn5apP6QqUuSSrVvFZfD7!uVb!obKUEI12kBO-!Y`gxbM&2xIAEwgVYUAF+=dV+qdt zlD*4|#70PX+jQ^pB9YxY{7b4;Cnk-#O1ANJ*(bjAEhXZc&Cr?@h=wmk5qrxVXkrRX zBlE=?*9;}|#TvmEPMlOwf}eAx4Oncn(rPSu>x<%dCo*GoeMwW&hqx~Uq|ieE=t`XwG7Q#DSq(y!p_Z|K*@ zDP@mxd8+1`qC~)bQ|)-xSFOJOw_mnLf@h6QnXAia;Ju!uykyH??lxn>=Pjk-?LAUH0)x# zymfQre4J*|yhJn5Aj1Vepcmz51%o+$yr73vj(@}szIK-r@W=l^*5;tl*C-O`5}leB z2N0o`$UjB8FkQ2cMlP5(r)!#tf5STqZEW-1HvdYe5y?mDb)!2Le_@?dPz8)F4Y)u0U;5tSt2B}vlf!sSqO

gL(vY3iSgI4fKWIZRj`8V$Xr1YG{niu8x>os&fZAn&dZMOjd`9$(QU)Atrm+ zmq<*$VqYo556bc;J z5qb4fWeFe3-Jn*>JAci-<}zBAWM3m0{f2$x$tXXxUzUs{W`d2V z!wf`6AilD!E@lLZn<|6TKi-86Li5tloq73cTMVa3H38q-l%G0Sgzfsyvxh2c(bd#E z`*`J-4!dAm4Q7tSshoB23}mH!xkjVb90=a#aKL9UUlWV~J_BeDDhe*9Rn%l1nGVx{ z9A@)1dt8*;*Jw67pcjFI)bll40hGS;wQ&q!^TL20n0EPwIX~-2w)u93NVd?3gax)v z0)h4r|5nySCEU%m!o47qKyVG#N(H-J2H2XUV`Stk&`?l_CB*BMbx>i^NsmR+*q|9T zR03xxzocvVdG=)Gms|pQBuaEMhP_bS$)@&%z$Er9`&LY1o!Pf&68nyQ=S*UOyR^)O zS-Wi)B#pn6=ob4E-Q2Jf`|e5A-E6l&t_$0Zko%r}FOYLjs+M(k4*ZsRS98Lq_ImC? z^3J>dz}LY9e;UZ^ zunE9HmOkf!{Du7@Kn`TTAdtVZUkS+L(?Bi>&=2;9J&?b#-vr2^>^B7RclJ903DNg~ z)8W?#oI!jW#qIbWwnub3ftLd%kPOW~)?EfyFb3#&V^GY&1MYAefad}LIE@EZ?xp;R0MCPxJOUh| zn*+dC2KY`%kq;2^1@tTV6MB~e3d|e_S-OQf|q!g5-P}@~yx2MU(ZCGQ4C(PvD3NKZ5ms2IObyM+UK-McamaX?&f>qo7 zm1Pge2JgVx1f#r7Dx8;1tDtfG4$Q95SU$s<5`vNH) zFC^!X?|rK*+bzdU6&^R-v?==`JAh?hgadpu2r#iEOMpLx1AGhzLwhPw<;!}U1Vj0Q z=W(LQVeq({2QYXdFssL4-ibY2Rrb4L@H`<><9hRe8<34~P^-HwWYqcyZ6QJe2YUxCoGSi4jUq2~xCe1x7OBM}fP@DVBo>Kwv< zRW%|Cgr3L7uZK|j%u>UK`qFx+k#yVGx+xNMG*MPueuc=p--lNM$E0hN_WAU#$Ol~G2+xUxGU0uQ@3ZH+-(D96vj>KWOs*Zl9@#gCRR?cJXpcS$4A9EN zy((a8Nj&azv$%aW@6bjbSkPseZ{gjOVE#Fh9Yv zBw%g|gL$S3CioN5@Z6P#=Urhi&%-bgH$TNF@v&)-4y%3I*u$cp7 z3XJy|DwwlFy7_sqoA$L~Ft5ZgQ8&K`Oq`YWtg!0W;Oe-W*M^|_@tw>7FWjvxF-aq_E>f} zN;N_i$wn2-$`F_bVTH$GMka^h`G^W8Buxzt5$oU}=}I?*!F&e8M5OBwoc$t`!m7Wh zsD4Pm0Dvg1uS5!YV*t-2hSoL>tb zk5~=x8`R)Fg&I5f6Y(k3gp!)RPazBgdPTjzvaaz(2nL7YUU`0q5US8i>=Do(T%f5M zKRT8Wct;{OtZJKu;r5$~I)8@X)&<*(oH{%KS~|n_DPY!w?4oHBd<3@N1WY&EiM@~#S@VX2Je{X806s$kW9+o zJ+->)B37j*06wb+J3;P34dlUTw>H48E!q`Nq}@93u8!ZX8-faATo+!{6_vyjsdNCm zJHV^t+(QO6>OpBeQA0eD8uj5_eZL0o+L4;@bH3SDv%yB52`})bv-wfG-CS z@Cdr)aQ;NVw}g_GvcLEkk=4^4rQ`}ZNC01sRDLP3Xay~`5?CC;p9mJMp`^763-t+! zAQtCnu#jW1C-(A4J=g{?Xd|%e#-9jwZK0&CgPr5;k6kID^$Lt^>|YH(xMLkH0<}Dk zS!JqbcXxUnuqjgFvW$yzJAqCQ{zTAe4<+qAVsX__v!`2&i}OLE*3tZl)H)bS4)$tM zb#aU)5g#S-G1{mxNt9y(L~+EB51kGIyWaeXV0Q?V970GuRYL2H&s}Zy<^^wyBza7QjfUOQ(*|T1SD&ar}v3awwD>>d`5BKS@~WH$;}nq9KWH9}%1p z)KZ-QY$ws=aQ;M^_$(q}x z?peNR=-l}P0C+%{P&}QXW1U5(&*o30(_9rD?sdAT1Y(}aF>7mpCgLqRJymm@-*9b# zH2fb=$sro<0>Hb7hR@+oq+zaojvx)EYI+w20tM=Nz-p{>fpvunT?Mf7_!9xfl|?rM zEOBb8=K20`c5Vd%h!Ce$lluq!+1od?YfAq>kvIIi1K94O$!YwFG`M7nhplpN)COK3HDmzqV=G)a}+6KeDn(B|_e0<9O6^dit^$ipt403V<{FeJWXIKD+ zX^u}*LwT4s?-X3#Oiq^B{)OStoRr@i=|$ugB+I)@Ip0U8`_fMDl$Je$fEpM^J#mkQ z+pU!lX^)0$qUIyh(t70I3{QJRZk)GrkH0BHV=&^bw;0QV0Af&_kT! zz#5@R+#Nz4Dmx=IH({kwr$ADN4{eAZ3l4-6b!k9B-}U9#hg?`L_0cfAx63s)Pt=<3 zjJXwCBYZ)-+FQ{2u5#<7pu?o}MumxV7Y0YIvXOY#PefE)?<9`<$ocaep!f=w)sr>% ztU*MuIC2S zEKua?Iaza}LUaGY8($G$NM!1LfV5G z__(xnvL?tM<30ph8zL}yfIksThC<0u50fBiYASU%b;g{Gcfy1yyx@{;7=Rxpn%=~p zNYmVGAMQ0R$#w#io*-&G#Ggow5l}M1uYt)nT0;xu@EDzj>1!^gCj#sfMZ25%6KVG( zC^^Y**R3%UN=J$skMJi_qXJ4Q{2Cb3Q?kSK6ufmJXnHFQC_boOz|cbhN1P1b-qmPJxnB{2H{U zYPSVRO#pb0PztUep%0(&E2!ONC8sdYlOwdxser|)0>dZy6T$E_C^^l?(5*2BO2>#A zPxB{I<8&xF-LHZBbeblw43gT@(l9;4ZTAd-eTHcFS^h-YJrhdK^xJi7oCT$4i5k!I zCsJc9l#KOjU`)q|bo`1iJ9(qEH6}Q|c-|pFQ@&n3TeSNkeSG&nYzR-J}u7-&e93EWJ}5@Upb#A@O_Ox5q!^wlJhg+dzS8KF~Rq& z?0A0`-Yyd33C?&4-d_OtTp)0Loj(y=FNBf{JzNp*$6`s6+kF$^>xlx=cK$>lT?8c; zIgp$+B~P|Oa!6U@HTw%(qrtHiWE+gvc$%pjf4tpZsc{6{8!23MlE@(39vZlEoQ%hm zm#g_nK#xg+R&VhqLaU3R?qBZYFG4XzCur6P+*B!%otuD_fb85<=)hFbx&QDd(mC!g zg7qgi9=URIJLj*jofWTKRKJffQ<(5B=m`))Vcy7Tp^%;!Ji29 zE1~2{0zJoJmxSr0&I`KQuY!7437B8;Cj#?oD7o5!$+d0>6()rW+FYnNSHS#+KM|Po zpk$s8lW&6tHTh%>SB1tUOV7!gD@UltovgXTAYGGBwzJjblkKcD`Q%VqT?6#EM$qaz z{zPasA4=x?JOwwx_iliG=|_(LM>2PchGu@wENe=r)GmN_76=@EHPQ9-x|X=ZyE0mO=!uJL0B;i|7QK&y=Dq55PAP;QB!>L2`x zQ1yB!xgPT!SrIcrt%#*iW2u1l7k?tqZh(>-d}tZ1h?)Pk6)`jG`FLjNFkJ>6SSC8R zmp_rtEr*ijX`TBwtcY1zV?8Sr>lJ|63W4=L{zS085lX--DQ%y0E8@~nm@A>)N)EG@ z$)5`;lm84$QxAeY0R2y(YT$t^x|VfMsjIxXtk z6PJbB6So5VTSeP>{E4)E8lv=9+ z!fFA%h(8hNcROS?j=5*b`TVirk%0?@j?T#-9kx zyP)JQ0y8+HTonrQZm4&+fSKS=1m-uMiDzhp}-kro?ogKIX2^j9@MJqZ!kA;m+tEUrS$^cdi;r?dmohC z=cDV^xF1UI7d0C2CsJbrlx*;7NSE(MDBUP(G~!RB2KQJ$AZw6ls`;8IJG$jNU*qyb z6X1L?vVH0~&Wi^Dg9in6P52YRZWEMjaW2mVB0KMf^M z`>@>_&p_!jqDDvlL~1+>CC~abC^l*rH+6lt8A@LkHM;UAQsWgUdBv+C1?g2NeO1)x&YwsP?vs7ZuYpN$eTEgLV8}M8zfHjI z!Ji21*P-Nf73{1m{p*8!$)e3S0PY*2&7S;;w8_1#+r2g=&EAC4H${!3`4g$ZeU5K= zH6+d6hSIl1jo$o;)Zl)_cl;WdW=l0ul1LVo;zJP9-|{ZNeOI*Ehd+@vxx4Q_UYoLC z??LH%qDDXdL~6VbCGUGRWWPRu(ho$90sM*7_z+4ylr_i{woD@nbP8LRjr!REE$t9k z9LJvs79T;$M-qzx?l9g7r8`B9!TgET_!vq)mNf!+tHYCncxzIRliloPn&q5SRG$D& zp9p-1@F#-rE-2Y0@%4K6DU^OHY7FB~q{e4Z@|mnbdzdt?QmUUo7n6qiq8X};m)Ykj zfg(9x7Sw66seYQ8&jIPrMTbt{PozU%K*<-PLv9kWS`gz(Qx{n)@WC>^u@al%1OE~L zd?|pB;!gzlS5Wd50S>241Hf+#0vDR)YpD6PfI5ah5vbol$u}Mkz*LYan!be>--=3S z@F!B~J1F^%pmKH^DkMb{R|YkE`oMPsfZYQ4c>Y9ye-9 zWvvTyZ#5v?G}$Iq>!QuIrdY;WBL`lH=+Vlee#18y-{!oU^HI(xk&4`oxyR)8iByys zWy!Kq_=6=hdPDrz;Yb!e^5kqUMYJBl3*e{W!SvU?&GwO7@Cu=Ycdin`tF4yrpO zQgOh@1I{_%yhug8>Gc-WTNJ6NpIg7Iel7Srxc+JN&w#(T*56S7!AM1edJWn(I0*i} z*xiH|E9r&k}Ye(#ysYOG-qP!1$GTUeckYRyLN+R<>waZYegR*yxZ)ya{##()Ajja_V8|&|=A5$NGQU6B$-|Ih? zjSXEJ=4qH;Ha3cCbgt3&veDn&Kfiw=+1RW?v--^%p`6xiS+kY0vDM;M;jK2w#y08N zxV3?Qv}xX^YnvV@kF+`82J_LjN?ZT7O;PS^d#deO+1M_&-Q{-IP`+rdZSO1_JM`)> zy2Du6*kfUj4Lu@cW1o3_*7n&T8~d&5x1--~**G9}z~upGKj_y%F9u7paj1T1uAzBl zU+&Q=#%D~|H!HZB{3Vs;;hinY-4yh4R8)c`EVIdjyPMk`%-<%zJHVYg-DDvwn~Ruw}z zY1Oh-nAg=ERu5T?xLCbu_0iR_vT+SxV_4&cvgDdtYY@k4zFYIln)|Xb+$X$2xIfCC z;bX($N8u;JZ-&EO1dGr|;CLcJA{Ix4%Em~WNcYIhC`(4xip1+9H%1Yv3-7->$8@Vt1X8jl2DJf3>>}%BbBJcVqnaxa}#l2gkE#;GPM4 zCZmkqbA8WE*|^tpZ}Gh)W#hh@`&#d7hw|jUANRrT{>=M}?)OI7dw<~mVA*&e`oQG_ z@ZW>_gZT~?MEUz6yF-ZEL#qz$IkX?;^TSSu;fIIk9^P;m{vV}}$`^%pQJtekM2$un z9d$VhuRr2(q{tD>&yk)-#vTbmdFaT6BbQ`jv}<&M=)x$EL|=-&it@=(hokVTqxp`O zKUxXp(W6(6B0nGf`@ryy;j_DXPGzR_|Gbd(! zOgPF%v6@(W*%+HEwoGg}l<9{B< zJjVINHHh;^84-6R4*nl^Gww;;Gue1Teblbj;~E*?2}ill2Vd z_e_N|{%3GLXBM7`JhMeMGAj=kX4Ps4zx9IcV%&~NOoG@sm3qPK6Sl1qw(Z;-zTgIy zk($`K``bCSwbSVSbtT^P3z*XXCws5V=>CnFSFg^Hgjc_Mk^Q@0^s24KV%t|uY?V!3 z?V$;vA&&=@u0$$BuLO1*dbA#m%V$n`+1*5X@1+)9GMope5cY48E zF^>;eQzzaZTC$uU`_#-&?~`=)=G5i>6z6Atj-RZZ-%V0k~) zshUnVKBsVJmj6?B{ATAFS;0@;x#<8X2Yr^#%^aMKV1+-&`J0cyXFC8n{>)3+rF&wh z`=6agvU6{O|8SXFk~V0$tIE7S*XWp!&}Th}_Zg*PpL3MVN9wa5$h$|YKlA?Fqh&r~ z$>Lz%J!+u{$`5!4K_l>Q|C#PSlFb3Vd-Q%{rIX9(nfqR{I;eM#;vH5dIgO(ENG7`j zd*^6!SLT!4M$>#mljXs^b5z+hRz5k7s`CX7~@cRCu?g9jlp=X2pDFQrv;RPmI(~X|rKIBPsIW|4q!) zO^LH)K2s_71l}ix>ZjD%F`uCneG*BJscEcXN}e_InM?5}^6nV>hWV%T*)pH8RB^I;8fKHJva$$(bfj*8IuQ8mv=Vm|ycbPBSO`=A+?k0qdMrrrLbE z)6_}7`!Xdb>zbClz}yqk+=;)%8(zMFH=cD*Yu;e)5oz+|-{KW7-x$ovdZtCMF!zi! zI{1Xkgx4n0S3QT)57gu4C>#VsI4hlh}n=OEyxtP+}J{cOx-CDsDsr z^yVryTKJK=ADR1-7$gh6q>`GJvN6J?EW4DsTZw^si(9FLu-@Tb zG+6XwsPtqs-Ugumbo7M;n@lW7ING}%&E#we&v*@E!D7(fZ_rEw_X5v~i9vghD5U|5 z|MxOl?R&qBR#fP#3me#Y;d}4zd*=Qp2F~PL?^&AO&B3o0kn81V6NT#~&GpRPPYm9B zy4|Z3i1wP7X^?(60~allo#O%gm+-?GmDptAk4g7ObDtCg_%D2t2GEomU#`lYY^rd@ zf9Hzk?kEQFU%Dd=qUn>~geyi`uszvy;g$d1E6qJq4CH_COd3ejyAKK8X&+8klsp@o zDID~_IjFglioyJkPD+Dm7w?g!>=GOmZ<8e~@zNqTTlngK_f>O$6$APq{1rANx;aW% z@#hLB{V+~y?x$tU=$ADb`y^~3qAxzCD${eV8J^xIWzq43)e>$m2< zD+c!i`>xV|&#=Y9e?Pqcn)|RA;E&+LN*9w>abS`i1_F~{aq1&stz7Jb3T>sO$ zzPbC0!T-eWPlF%-0p+WE*NwveKfV8($AFlC&kzHuOF@JPh0hZT=HVbF;WLFp;-z7e zh=1lX*I9W%c1Xli@{K3+m=Y6}jAKd}S1VYQh^yosSLU%LCM%i8 z7EPAv8w@XhIiwbg79p1WL(DwP#Dt}QFrx{xiH|JPFR$ZwdaN_EVBgsMkrA9h|uY08gM^GFpFnhGLSHCnk9`(8wA>WEhJ zh!vBXN+Omf_07MO_Udo%UJ`+udIHxxc*Vq~qTr>8mE$AT^cUn*{&F$@nq3jmoVudf z6w&eDPSfOI*Te*;z6mx>@(X;sO-yhqOWQQL^w0E8B>lbl_`gzT^@!aN(VRM?**v1f zB&X7drb$kC8dOKWx+K}KnZ@ydd@^5v!vs)s-Q+I%y2f3K+ zR37AS3G|;tpr`&oHxG6(;b|b)Ee3oR_Ol51v=Q*;K`$mfjRd{Lz@N|Vioj1hfo}@_ z_>WU;ceDFq^3&Gjn;hT;K57w@pN6tOO+5XxrcYm9eFnp3um>Uqq`eeio(6;iq`@?h zkP7gVrB0FT zhcbWEWG_Vi5cxxyKg@H8a1fD066Fwb5YvxUzx+tG3g;q~h*YAMN?xZESvZNM0TMh> zN~z4PL`o4U|?)xevXw@=MLhsM_l$KHCdXHkZ>N6gp!nm$k7ycUbT4!k%B}DN@@zC_NFu>97v?0 zB&Q*AAk!~cD{ji1L_!h?>E9$I^Q0u4NF=3ym6YNgFFpg6di`$E%lkDS?ksYX$W8w? zH<{-r;YcDs{rmicvBM|zrteSjMqDrQlE_Q{B`=wBQ%!nfQtQiIg+mF4`mYZ4hEqun z+)X%@aH&iWZV+qIlP>%JcbLHWgg>wn#`jF0LaxnVFhj1=&ANZf{1JxYU z%FaIAF5xdi;vpwbk-tR#Qgf64%1j>s3I`K8?1Sepa!%`)c`V_1O`eWt6RAw3vj3X4 zEWMu6S^V2yyfn`)98EZyB}e%X{FSre0xhR-HsNd^!l~Y7!*sDGoK0M;eUytebLW%j z!i}DA&&hL(=|x+%d4hZ70zqbE3W;`n#jUVfFZ0naP)oXB$@E4L}_nsS|R zI+5!>Nv=zr@A8X$C-R*#FMZ5yQ_d5PCvx5=%X!MYH<%X`c~9iMkD2GxY@2eQa6Xay zK56b#=D(@Du*iQR|EcAukIg6t#(zA^zTsZN3564WY=^UC|Ft9L<;8>}3P-f$D4&9x zt_+1EiYvoUer0Ix;O3u(Oy%ApFN(Zq?jE0`{(7Mv&Pxi16b|`0oKV^4Yp3kUOADtI zPN{T|&$a#9G4Jp)!ZC$oelAB;>(_LfBOFuQ=6u%M9Hnz6{8c6VRG4HZ`^P`>+Ku~& z>?yLR(osJ5wkd-O=M)(A0xvJJsK}z9J8P=-Z_1>?K}9A_c9~R>P4OF% z^fj!GyrRgaBAcpZv*f@`8C5u`$f(IOqpD}s7+zUqRgqPbLk6{U43t?D9-6Djs|sfo z&T7d~k_$I?SYP3=!eNt(W4`S;UOR1G?kAj9IPKe57ri%vQyyBuE#auL)966mGez6Nbjjzyz z-zuyt(y>U#CQnI8r70x~2No$g#ie8lG>tMLzf92yzara!*B6;tWagBVkL-VU%t)B8;aa4aqruEK+rfPSxbtHm@(KUuNkZyot!tB1 za(1f8*%XXs7uiOUv_;ZRsR`QBahnpiaBh*fQ&r+7hd00Ie#%>k{4Mggr97CDxhaPW z2NyX!)#Y$y9?!|!h&(RxcuLOKZ##BVE*DNNa(SxE<>dU>|FiNb(}dr-mf{KIdRc^up;yqE8cvo`T}di~6o2 z--~?zKDjcrv@zv;;rJrwrlGfu)4t_O>GU$GvD^&lxZG_|!gtqCF!#F~(1*8~dcSDzI4@cv>^5Q{=;OY})SA6gh{ z+TkxWa!)=`1cV5Pq&iS)Z2u}KSVcZq1ceBS)ac~@=A2#!#wk8j1cnHVf8#`{^);`9 zV>KTxft#Fvj00U`qA-#Suie%EFV2+OU;8>(e0hKf77;9|-QoZ1`MnO9`aDPkj0l+j>QHIn^{<1*mj{cW5kZp{ zoc=?cqj}(r7l9)J=R-JETCwpec<>0zL=ik9c+!gFf2i|(6+mntpDY4M1ki_ath8k3 zbr4nLQ$-MoAWBQl{~^!ybs+ij=^~IsAbm*ZN^7=W1rzt7mDB# z!If46;bWcm>j0a=7mENB0rs&REiK!99b`TEQW0b#$kK8!e9Uuy9caFMnFuryXdlzr z(z@-}!B(HI5WyybEv*N{$3Fkp0q4uZM8JuF``8XA?6+d(LAP22od`M+6raWi%mZ(& z2)s`-=)$?hIFk>U2j6-Te8MS2V)(Q^@HzlP`9=|dpLXztvy1V5a}~_VBSa7irx1zZ z^Y{R*hA)^bf>1c%=W#$W?(}D!?8E7=*sz>@lUNLeABvdxd_G`W5Je!0zcrYq|JLB= zPC{0+C~E4&uZvUp7O^gVzMS~ET|xApJm&uOTc>&WHW84?V^TzLr0sbyU9gIP6c?=G zf;AcVK>UTPeed`S*Aje(SSQnVeirL<@|l0rS}B4u8RSV37|ACvKI>f28$DPr@m`Nc zN2{gmAHQ}^=exw(DO}{Ux~52zZ`@M6egnvt?-9W%f>Q)%^74V#0h*KV69JmMCPxH| zm=E#+(_N?tP;q(n#^ser5XmD!m_3hoKrEukV@^bDhDzkm3drjCm)|Mt*IhVlW9H`!IFG}<#W!3`MR2uM~QV+xW(smN747Y{m-8i17eImw-1<>SrM?wJjH%)M-lxek7V`c zt?V(e&L)p(5#b@`;axspT5CnninUhU(xwm}P~6&1t+$WDdd{zeI`}3NgKg$_Wgj9V)0EIb1q^#bKoj`rtT$``Qo%mU?9t*d4 zo4bg8$=g57KVkY#1atD9ED=m%e%|E+ri*M5%;F+jyy2C?eZcG!sPkfR7Rmoz$zSYG z{yt(_phZBZ@Z>ClE%^uArtkc3RK84$fK0dymnZG-BNd)%CA8_H+VvIkP z511~*MPQ2yaq&)BD)Irdcg?PdMO!Q=pK3u7{d^ixvk&l;8uVwOj;w zD$L_4!2!iFe*8qK_%Xp{{H9paKmMo-&lY3+xqQI1tcySw%euHlPsKj)=B@fIv960X z=X0$&qTf&Bd#1Hr1bZsZ>mrywO)w?*aaP>AALc)aC0=+&a`#$seE;1?P0PFpc(Kfj zTlh5K16Fn#on3~f@qaR;9{*Xa^Zz}EigiegVM_1;(^@ZrJ`Lpgl;Du!7(agEG+*!i z_+7EyfBYdAZY{<*x%dFB`3Zj?Fb}^kf?qgha&b&?9G}J)O&9$l_{BxPc!MvE`9S=0 zJFnjCdmz^TPm^=S+9t+1Ir)I;8bBm~G?xF9lM{+#`Fu$cZvxgy_|WeetK^|)Ozt6F zVvMC{2Bu*-nasRUR$+r#O_X1;A*=(+L2M`+j&cec#^$12hpnS1&#{q`HPc8MlvZrr3(BJ`z&bP2 zSQlY|)?-o5X5*~4p^Ra{*1w?qn}yi;GL21hHr@vIY*w%dHV>G_R$>!vzeHJ^O|qSh zas``gdk*C#HpMO{)7TYbQ|;QL?98UwtwyxQy7o0TpC zqV(UR z{E01=Gct{wnJtlxD7&(y@&c4gS*Uyx*z!niwq!L~Z#c%6E& zZBA=Z?q%DZo-&Qjp6$?K+;z>_PF)zvEo_(WHp;tfw{tG0aW2jFIAeUAgVLWSbz*U@wVB4X0XykB9_1o-%Jn$PYwUDJ2d2rGm7Q@L!8C4D*;#jtfrrGt z^MEgSRA=WrTA^&uE_!T4xrbfy_!Z?J>~f|8Oq1zLb~V!?b}iFsc0IE-yOFsZyPCN% zyP0_>`!Vx>nI?aG z_N+ir_Pn4U`@2wU_D|u_OjCF=XNAwAyuhU*tC*(9E^g&DnOhgF#%+quV49*~+_u;W zrYUxV+ZEr$G{ukbbj2Srjkg`wcq4wikMi^-W0NJ!qc#f*)QQqRYs_o~weVv)cHzUvE zTMK0?p4WFe%9T8y??sgNdH(9zn5KGRUZDCnD1&&x>bp=LJvlwl>bMc2(|EyD!Q>Uan36FJC7Ty|hjdOC} z#>l;m`||3Im!n+G{Tly_@_)QWlRQk*q#&=^q&v!?yjGKSC?k07Cf88@&g(SI$}~-j z@w!dhpzO!%H4R0%h1YL-6Xl=0L9-l8)2t|O*sKI^*t`U9)Vvq>Z{82(BJST}6K`y6 z#+w+8D93Ss<0jtFc!f7|b@s1sfpsdV0b%bAb9LvA%RF-Kv)#aT#4M#bJcj>ejtLq&dI9g&73bfz81K;)=ijv-@7WdS-*pS`)fMO8^-tcrn-|k`tHS$q8;Non@7oRj z+wCmx*P{&Y-_x1*>sgLzde-LydQL#Om=Ek#jA?pRAX&7iA%^xz}>n;}tr^pM|~ zW~dDxGxR|-7h zfEW(Y@u>muxqwD|T0j_|9`F~R5m=kg3|zuz1^&Tj2UX*9g61+!&>}uJ=nTqReBQY1 zOf$}l&mT95FBo?h^@n_6FmhRNS-vP3=M{X4FAl-{g=FJPLXew6Liy5=7fdtWnum@r zkFo(@HogzaQGCVtaFkp4%JJW!yu(+Gf6g=$((~05nxZuFH51mL+|JidfS*tJm9L+O zz9*L88zy!|If8GTi2f#i&%-CBXPQYFc*LYCC>!v|NelR6!NY?97_{{LB!3VdgP@WmaZ>byiD$ZPt8#ebxhhV|Hc! z!|d7o=Ip!t$2s}@Modhf0%_1V2g>bu8D>bIw-)PK(*X~14rY0$pP(%^m3(vbanY3TmW z((nWOq!9-lq>%@IkwzU_B8@)uNc!gRRB23Tg_pT-)p+Ne46K5PK1g_@Q(z;$*_)UaZ) z-K+*`>A8+wV}7XFu|GIxzNlGS{lL;?93lrYH>=|;TgIWR9Ls2318f+WhjnqV;b881 z7qAgvnO*(?8wr+49}G4M%v0YNY&2Mwj9-F%1C}jg4*VMfmes8q*jTXaZbiTXz`k%> z3l<2L(`_bL5LgcPZD8ZTa=R}D3kJ)TsS#KRSl&$Kz{Z2+$=nNU0$Bdc&A}#u<;yY^ zY!X<(EJML2gB9@12sQ<*u%`qz6|9hFN3dyNUY_;9rh^sn+zd7YteEE_u$f>*vla!L z1?HVK6WDC9;#seN%>gT!H5zO#Scz7*g~)`vtI>U z1m=_dDA;1KvN;BWEdeW^qaD~%uyQ$DgN1@s%vl3$8CZo}^}&{dRnAogYz0`Q+%yI& z!K&t_F$e>zk|z_`Dlp$XwqUEls^vKfwg${EPbAn{ugRKLrnKujAdaxRKuY+v> ztDQFnY$I5$e6_&B!RqEK0TuyPC*KpWNU-|(egNA9Rxkf_u+3l%^A88x0@k2F7O<^g z{sq#3Z3Ammpf1>UuqFjcgY5uoT!6-OCs?xrG^V@2niixv+6~sCAkEPpu;v9Hf$aq| z7Q70!53FUO;b8m0S{3R9b^z?F!ZO%Fur`GrgB=2EU3fLvVX$_Er-Ma-wJrQR*b%S} zg)f6egS9VG73?Tjry_;Hj)8S7@(WlDSmz?&g2jS;?L~fd9IUGs`BfZP7q4|-C&0RU z%>p|K*3Ii8*eS4{UWdU>gY_ud4(tqA@1nKA&VuzSdI0QOu)amtf_(?pr|8dM=fL_G zJqvaotY0w~unS-Vi~a-lJ=lO^&%iE%4K8*I>=M|Z;@QD2gAFb20Cojzh&PSZRj}dS zG*;KZhIv!$Uk4lMO|gFiY($ClU_XG3_I?C*6KqroijN<`#+0D=xCQo2NiEoIuz(VO zf&BzFwyZbU9k8IXo?t(N1(w|j_6t~W+2vq&!N&RY2D=9~-lqlFeXtOp6=1)DP4t-z z_5f^x&qJ_>V3U0=g8c?IsT_^{?_g8Q(b)e1Hl4D2b`tV*T9{sNm@DLdFRusM~+gFOeEU#UOX-(d49mj(L=Y+>bG zU@yQHRB>hL+!)y6Dx76=<6w)b!vEYPu%%Vte{NP_ORC)fvj$sMEf&lMEYufcdNMzK6ilgRS;m4<>`Hs*X8wvj7e%bYKzH;m>Z)VBvn{z+AvK`Q-uAgGKrwpSl^q zw)i2Ry19aF_PYd@5p0`Z6qp;>)*5BN+`)F#$O+~Fw!KCGSSGMtHF|<&2HROH2rLWO zo?5-ZJi&I?NA7gX3bwC4a;IB1u)XykgM9&Zp#C+m>|pyF<_F6GcBr8qEGO8(M&EJJPHXSU#|0&B}r02RqtqC0GHl*k)6}3WCM7+5}bz zEUwi;u)<)++aRC16#+Zh2Km&@3+zOj9$-bmPPb_aRt)S^8^pO=aj>&(5a({*U}xGk z1uFseUE4}vCBeRJdlsw|*!i}5z)FLiYj+LoOR(?T9Rn)^cA>p9SXr=3?fwSy0lU~? zELb_PD;;`(l?S`rBLb`f*tH(>!774X?Xv-_64;GCbHFNtUGKLWtP0r8ek;MMg8eXn z`mF|bYXJ4@3-;q+39LHUPlN7(`GMUYnis4F*v~_q!D@ot8BX(73+(Q2nz!0uzl@y* zRtN0<*kNFG!R`eV2CE15Aixc*KG?4T{lOZ5{T9#)tRdLL0IX4Njllj0z#8S|5B7VY z2UugU$ALCrO~4)n(%3fz`(Gf9eKW8>1Ccx3nu9$JMDBEJ0rn&adD5*V*t0<7NjD?d zUqPL~z5@F@s6JRLu;)R$z*>X72wDNw2JD}4>6pD+TRD*PpnEL8TRT>cvvEzq+Jjk* zD+|^EOd7WZtRtAsxVd1Rz^sFv!M+Bw8}~o3&S18|-N3qlX@cv4bp=Zoya=otm>m2K zSa-1W!GD1D0CNaF57raRKBP8SFR%w>mmgTV}o=70?Wb6YeFY$#a9#aggoU>=Jefei<9 zUtASz1X$+9dBH}4Wm-HCY!sO1;uc_|!Llrw0QL=7wk5s5#(-sAdIoGPSoWn`!2-a( z2(<usorUz$Sp@54`|35iH-b zAz+ih3NHH!Y%*AZ$|fGq>7uzEPyaUWz^bfq16u{=yZUdi)nL`u)CF4u=C`I8*jljaYwm-s1FN~_G}wBu8sYw6 z8^CIZmj>GiRx3OREF7$Ecvr9pusY#%9+6=6!|6OWfz^wkao!BpFr3DD3s{4QP_V6F z{t>uNciRTmC^9qHcCaRq9BcG*bmHI0l0+YQztaxK^%u;!6Z!S;d~ zBd>t%18ceI1=xPDR-0~u9RT}kvlrMwur{0ZV28k3Z$1ll7_8mqZD3JgZMV=|9s%pH zndUMYto;@m=c8bqw$L~q1M9eDBUlVr=PlF0V!^)Nnj7pmSl6vGSR7cFt^L7HfOX&6 z4D2LWx2<#@r@(q{rSmuq)?*vR%o(uW+bCwvg7w;-9qe1MzT54PV54?ZeEbMDW;eyhEwFF)&^X@)3)n;B z{1e#NJ(I!ifCcU83-&Wu;GUaczkmhri3Yn1Hg0c8uzO(R_j-Wc2MgKP4(wO3iTixP z9)L~QN9XnsZ1O%jx8J}f?e_-z9c=1;H?TjzrtA*}djvLpe|NCQVABp<1N#$f=7B?C z{{x$GuprnIu-ONlz@CE5I+PylFR-}>e+7F6Hs{cOu;*a&4=o4#8*JWT7qEZ879M&6 z_5y6d;Rt5$&cGHQp2_mNbFf8G=)+wCTN;Hv+^xWtM2!Zs23r=@0n7$0Gz!P(ZVR>| z3diSe2e$kO@_~Cgu&^V@2ksiMl}CcW(u1u&(iKbwTXp0Tm_69qBm2M{z}7?;26F^k zAMFg50c>6LRWL2s#^{4!PGB33BDcHiz#^iN+ufbP!jD!0a{=3QG#8j2Eb{1eFay|@ zqldvtE#Qq7E5A0a%MX>x}M~}}0D*zUId@xu+u$beBRrf+* zamNv>?uEgQA4kq{F9LS*IC74=7ubn7f3Tupr{hY46$3jJhxOOJIM~@ZtiSHwU}xf< zft3LJF76swNw9BEkZ+U%JAZVVxpLw-;f?B1CzVD-QroS6$&AM97g&N|r!*^XmO>!!)7$;KoPXMB2G zomt`k_!K!`{sP;b{Im%UM={psZr?k({O zSJWM>&_Ih_TDb(%qN4_yhL$?|O4-rCH#%zYs-uRio?1r@&`Cp6NAe~4a-xnr63(c# zvZLrXI%;8yF^NBqXjVb3qZa5S+SHM~mF14wDmyxAp`*Vncl6h*jxt;BsJ*hId4`&<&M5qbi|LTcjU13RYw=hy$*APU0V49 zd7Z;8%N=!5c68E0M^`O(boEt7k1co9P1(^Y3mrYM+|dJ5M~=3ZJL;kA=(L57URdtv z#jB2TTkfcrvZJ%=9XV<(c7$Co=g9F(%N_Mmc68oCM_!gY@_N;gpXHAFDLcAgp`$96 zJE~&p$Z4QuhT>jpcM_IHyR%tmQdB3;iFpHK0m6oq2Z3e?FS{|pg zd@X5~cUZI>qO^QHX_gOKv^+s+`9{(#$62&INoo0J(k!2|XnBg#@{dWge9fZeX-dnt zl4kjiMawgkmTxD?vhxg!mS-s}^UO)J9AVM&9Hr&#Nwd7mqUCu?%Q=!{*`K?|9G zfzopMq**R%(efgtW*S`J8(WxcIM%gdFP1CwTXxy8{~ zskFQ-X_hxzw7g1bd1cZpAF?|x>>Y*TxmIJ*P@2r7A>DpT29)vs9}gj%cqo2(kw5tX!)YjvRBe9Z?I_jveI(V zq*>l((ehQLq^VTlV&-}qU9fymc5f^ImV*pAC;C%B+c?ki!ozN55UDruH2-*^9_v|KuAmM!0R-&0yHlQhe}TO5sFm6ppU&GIvgmLDoD`y|P- ztF=YTzbh@5OPXZ|i|^$=Qd&;heYdNh#k;mYm6rXIcFgrHT7IImTq9|gn_9H|m(p_0 zq**pvwESFYxmMCFx3g&ZAEo8mNwd7bl5co0rR5e$v%JF6F?&c#%Po^+Iit>^WoxD7 zkfd35wP@K^X?c87ET{Ld^GJuAX8QQs86Wu4huC)cc6vCm_)*5^-X0l&x-bWZ4@sF5 zzAf#{^zq-a&WO?t+a4%0q0EBP6J<7(U!cr^65m#4xmjM84=oF@bgUpc!1I`_2uggn zffYmP4f_n1OS01JOMJ7$4Orr^i3JFSGaXV9ez@`;#AHobT3nv2+?wO%6>i zO>RvdO+Ng~^^!GC%;anG4O2VR@LKu4{80Yw75gpWwf44&+u5gAX_r-{T@ICYUMlUp z6Ss3Xm$;q7MU{4URNCEBY4=2>-Sfol95spCIXbAc%cs(=kV-orm39?X+SO8NS5MK- zHbY#7Q}}l}etx|eZC_SwUsr7ZsMx-v*uJOOerUFxj_=lTtz_~E)Ziyz4l2x9g}JFP zPi@v$_OiaTmrI2eP+>(?SWOkyOr_tBD(wcSus{_yOU2$M6&9s@t;W;opwnT~`Jxsd zqfW3uiBk|+3pt=_Q>h+t9FlHw);zky-3V-)+$V+!W))@~E!8O1rOB+I3g9!)yDhu)!+FGD4-@ z7zMM{P1nuRO@9^hbZnt2w$>vau?l;hn7K$QOeO!jxGLLeJY75!$Fob3#MdG&-YTq$3Tvohuc-<% zs<6H;{Y-fiwfO5DmqE(;z%dU~X*Wux-B^`&<5b#BQfW6$rQPPlG41jqu@C9362Dfj za?PSwxn|M3CLR~PN8;ntd#d!2U8P-am3H}6+7(u5S4^c{No6~}TVGzerh-*dVYO6P z0~OX(g?**M+AIAT{dQ4dy;Rsh6?-F8*jN=7qGE5R3R|jV8c%&#;+WBIQ(*@Z`rJcJ< zJ5LpsLxts4VTDv!F%?!y$>jTnvWdsaP*K?q=TS|C)l@RrtE*zKkxIL!D(#Fa?b@ic z>!{MMi%Pqm%62%9eoBU8R>|3h;VSJ$tMn15Y==I^D;fHjtavT1!3@*iyaqGOQF+}0 z#p`gbW>~6h2ewkl(B~SJ*G8zc+oIBLr%JoMD(wy_+u>M_s<1c}c1DGrS2CQ(C6#_v zuGI`G*J=ipYc+$)wVL6vO21E4`u!(yJ69=jJ6AiEcCt!4t@6H2zVGVdsyAI%pca3B z=bFbg?<9*;1>-OuSbjNh3bl>W}*Im*5 zpu4TRt9#%)+j+k8X6NnBdz}wD$2y;NzUX|z`Hu4g=f}>^T_hI=7grZgmm)6SE>&C_ zx-@k$y7YA!ge%igE@NHBxlD4I=Cax4h2Bc9(L3l}^&WapeRh3reSUpmeKCDWeR+K~ zeJyGFE6Kcs8S9p>9E;SP&v6Hj(=hvl@niZ<~$7I#=rn`f{|&rorPE%FggUoYVf zC$U3&igw@wEv`LH?fTe1<$63V#~sE{4Ntdm`#>~t#&et8J_t?hd(tKz5asq`XfuR1 z@$4nHA5WX>>?d>k6(oB}wvpT>IR%l6%EdT+ql(M*`D8YbZF4}=7 zqPSxQ+JP^8aL4SlqcrWn15Mnq2<^ZZG`M34+EJZ$;CUo2FNb-@%Cr~Xs^E@QX>SAC zi)V_sV?)|(Oq+NRhST4k#Bq8c8w;f@2T$q?Ga(=ps}I5y=Kbhx94;kg#>7)(tj z&}KW@oJyNBXcJG6aL4&n!xJCeF_dZ!~+rB@fh}I z*lJ&jJ02%_0?A-QQj=sf$rO@>5IGD7lwk>8n_(%*a*{BTHJHl`>q){%HbJ!2u)}~y zBe=E-Hsw|5S6dgoX&ccFJlVjtG(y_=(O5>CMtWHnYSNpU;6Vbe?M`e6vC+iv2mqH? zld0jfBZPKLr5*SLpKB+Qy?L~WkKMU;1J&>`IoGbC9ouLVpKWvPeyZWKXs+E&hqi|7 z(LiXA(++%;%(Zc}N&aWRr?gyqfi^GECO%r_+RL(CK>A%#1o$EK5mLuKyd4v}Y|BY7t}m-kQ;e6xhh2eD~yj~(`zhz$j^cYtHs<7;!= z-i`Jaq#gKr1h>ycJMc9(Zl8~=%*6cKm&8olS0)2hs2#rS!0qeM%No)qzM;VF8_{MH z+QioixP4RFY(bm&E&#W0Nv0N(saC`&OWC)kChcewkKS|p_O#iFHfgZ!zoyNuv`M+i zz8h^$qBIgsr@x3yU8T*RN$!#S56ppMm|XDaGk1_^(~88J#KysvJEVgZc`y!B%4S!lUyeG5ko>xta67d@)1to z-s288sPjj(0}q^XhhJ$2zHP@Hexn`Ts1Fb9b$L#EzaW+qoAk6bcc4jjXidk`nc5ji zDq++935_HkeCPK6Pz?{N^Yq`@F~+mlK4ILGBr8cayG)E{r&H0-rXO(WFW~P zlEEZHsL4>O4I>#&GJ<3z?HENenl`^78AF?6sTM%P6iBrol5r%#Bq6k8Jjn!-i6oOq zCX-AdnMyK^8c!#gK{AtM7RhXqIV5vQ=27GMBnwCuk}M)wOtOSzDM=_bUPiK8JROOhW)x$A#J(aKKyrX&B1v14 zjwA<329c>>sWy~q-KjQ=BH2nZ1bexLnrtT7L$Zma747Xw z@;8Lrl30=0kXS=lKaxWvgXtC9Nrsa&Al44TC90hy8A&pl_KqNFO`8p=Hj3mJjYbqn z49R4YuC(JC)jE@0r_J6}>qgRtHuq8OJjq3p8pP_5)FP=3v7L_qvt4YvgxOA^+H8_z zwlR$TN#p#4WIw(3Fv%o(SrExelD))clT4t^Fp{+-t4LOptRtCAP5M)91Ic=l1vSFB&kJGJ6#=?t}fN;k<=$?K+=$;5$*M-T4Sm;p;}XtW+cr?T9C9PF_L^m?OKtv zCTT;gZ94o=VSADeBppdQk$g?knWPIzS9(P^lI|ouNP3d=BI!-ihomnx?nly}WB|!P zl0hVcNrsRNrOt>=4pjrWo4CpkcJkmL}_VUj44Bh)yWP`zdhJE3T_U+ma)sn7$u*MeBsWNYAh}8MBZM7AEO2K;1$QLTkvI`^ zpqf32Oq=ORGSH?LHQR@_zd_`jjFm}ui?Q3-!A_7|Ci#Qp4$03X_ejp+W$XgUBij6h zo7NLz3@E zj!=`GBo9co5Zg_%i#C5CIS%1F_)aF-L#!&1_>$BjsY}v;#Gj-oNehy%NZLRoC#tn4 z=|s|nq&rD3lD;H^NQRP(AQ=sjT&OmdB#0!0WFpBFlIbL~Nam6(AXy5L+^DvkB#dMY z$$FA-l1(IAN$8BMc9R@{NSUa1m?WAch9r&zKgP|hz9YFna+%~B$qx`I8`W-++#$J3 z@+--2B#%h`NAefR-w_(ATar#B zT}Wv1tZDMBY4WUT@~mm{tVcokJ&074HUmh;k&GvqL^73R2FYxac_a%-mOvzLsx2c~ zNwS(`9mz(LNRlli+ev8htm7xI6xEK9#FEgIS${`zk>m==b&{JTw@GNCq%u^a*|PqF zRmH1;+$_BKsOnv>9o+q5U?43Vl(tp^DWwhaxo&2W-YBs9b}G{iO&Nv4s|z)JCb zETGM$Bs82hYe~XMXb^37lI$TlND>W^;*aV$ZJs3gmgIYqt0XjjHst6w_ep*y`5#28 zO||C`TTWt4Vn z>}|=5rG`|iMnbM^TZ^PVNh1;(PTQ6wG@Q2Nz_uMAQe&!pP12Qw2G*7a)^-5NV3J`F zsRc=El6E8=N#f_D8`XM3_@7kkL(-pwW=0xHLNgiqOVc6zDb;3?%q3Ys zvX~^4WCh785}GY(14#sg|3kISB-==6@+6u(X+Oy!k|QL?NKTNPCZYL|Xd0#SBo|4p zkX$FZNphRyXOep)4@hiDXnv)~B!7|o4Y6V*RwU_297rfMtn?5`Lp662nqMo*QdTsp zRy3`jYx7u!Fw$E&zbK4hq1)EOG*bEXsh(~6|n3WA<{|6DV BY6}1W literal 509375 zcmcG13w&HpRsX%a$v!7ZH*M3VPtsrd`ZaB`o1{sTK7N}tZPO-c^XMyWy4@t3blW7G z>~5O2zy2x$q5{4U0iU2K3J8k45fC3BsDOe9s3`ct7rsD60sVi^oO}1qy*o*(^5?^^ zIrq#tXU@!g=giExcklhJ|9bBS48v&K@{6WXH&Q=UpnQLv%E)+BQh4ftWKxQ^Qb$>87y*!r*8h+ELw?#%WOUv1OCRw<@Fg21XWQswf z(lnxT*-5sZoeUa5)7V^I)uF;vdM<4mI|d}toX^b6Wu}VFqr@J~&Mu^j%lS;VX;e<$ zFJ=nnGd~nC8;;#d_w+ObgT8nyQW*-a_>!??&xzRX`uearX-53@`@{aqip@SV8tdsf z34VWs`Bx+0H!}ZxZ2SIj$m}(vvpq`r{EaBfml64=eo5%nxp%dMijie%04o z8GN3?k5xMSMuk7D@b@YF7^a79r%B-tEBqGbbGw3$pKS_%SmAdnc48sN{!!*vw4i^6 zCBHr_;Quqsm;D(FlRWp&NmX9*S^f<3*`J8x&wyfQSn}D<1?J0si$xuO##H-~&-Sk< z{Foql=3iIsOFrAb$$a*w%JFBK`8-~d&*ODVu@kE%dA2jB*cq05IWdBZ3O`ok*e@z} zh9#fv+*A0mb&maq3V&GPfAINAK`iDdR45Z*Sn}DS5c7G!Y8`o@@P`%tI>kiA(uGPOFIE2ax5)LdA7ex zk&nwr&-^_Ke@Wp#t?=VA(zE;_g}R`^Q_zf0lAWu#~M;|hOC;rA%~ zxU&L;PAU8)g@0Dz$DK$Y8dCU63V%f5$7Q5ve=aHfC51n(@Z(OT58Y7sOA3Ed;m2j9 zXZte>e@WrrR`_ve1qdxD{3V5-XFjbYOK}~S z0LGiW0x%F|q~`)_1z-h*udDz|F(=Z8loen}Sph=U3c&Vdq-Xoq3c&UizO@3dyo~hL z#NZh(JA(JrvLizF3P2sidurx4s`9)t(3UA=tqg2mt^jP`S{c|Mg>S74EbpuUA!TJ) z;ypLpQC5Z}Wn~B{E5j1+xmjLW8J2j@&3tQRV1JwyBV?@%?2lX-Sl(J0SYF{QcUFwhU4_4-@E<7rxU({Z9x41KhadLw#H03_3Axpm z^@an^L^boy5g;5<<(-8gT&?i)3cpt2C!B>LT&LQ17LxE5g`aR1fbb5*PTpBa!q&pT z{>ue`{Z|$SGw&=6VP#=36V3t`ukfvff$hr$fbA;_gPC_0hOn|Qm}3}#;8D+_~}a29~DwJ=cJF!Pcx<3re57}$U32oP2l1~cz03}I_w zV0q_g5LOljGw&=6VP#=36V3t`uke+H!Av*{K-gLs!i(^~RtD~57`cbA70@Mr z#Nh|5qXf+(GcWmaK??gA=QQ8VgpB8MzJ~+MXL-qIc`LUe`3Wb^hpQDkdC6xxwThjD zjOS#hB3$Qd2%A%T!s{F6E0ghLr4K>c=kGgjnt^_E(g*%?Dj$0=n_m@B&uPZ6KnyPf$}$H-2G`00j&__wU{~y?1tVNAb?1^_O!KEmL>4v|S$D z9`FaFk+^xi>u%7j&zs4fJ;C!^?guOV6+=~()4rabeZh`=GTpGT;!1lg9*+hpg9o-8 z*=<%F%N&1r`uv4Tf3P*Ol&){TJzF>3vDfdfxKZ`o`n2!J}>Uo*8ADo+neU=yB7QVp5B#C?}~-1cDKhzgZ|*A zQTWwZu`Uo#AG+FcdtwLqxx3)&uFMa2=CXAs z∨^Tt0WUZPWbKR^P!R;_{)bowtI=i>I5n99!;h7afBWox7*ZNJGQ5&brE~<=y_C zo(sWqt?6{b{(y;VvtnoA+?IjaL*pGY6C=e9>16w2lKW?DeXPF!PI|MyDtzEp^_GsA z*#7$Lo%Ft5-}=h<(j_x8TPK+*EQ_|UZ_27@ynH!!8=>;pECWuspHeZp5C5` z;EDB=Bdur&EcF~5Pu$EVn(j5hzjJ4co2st2%=d3OdS-re>+RXVhSP_(R7Qi(ZoPk& z;zZT4x`t5e%;`r@ojG))J7#992WA(>TQZ|VVsF*;*6_xv%8sQCGM9V* zKr)s&SN-r*&Gqh^vwIpZAKcP5d#<|io|xz?X6qVP#CUtLH!^*>${(mWRsG!V`i5RJ zn2I*|$6KdQj7(q7ZEjo4R5z`NYw`K)uHkrWyyrq?#qMxA-Ri54Z@ZGal4w0~_Tk~G z>xa>w?LqWsdvNCf#;d*JW_W)*e7$q}GW3S8IeL3ZZ*$`A?4xsg5AJBYdHU1?(udy} z+wZFflacw^OAq%PywPk)}?vH~47lrr9fr zd8#iq;ncTN*4I`zSNHJn;9$%2)k7y6CL6jco)-!BBlkVykEc7DZ(tq{&8^JUcg$g2 z`*Y5?Zs@Puwj!vWao}!i>&;By=siK>a&4PEE}I+tG@t#Q)i+ue4utE&>o9MN)tM{6 z{j(dx^+#Hg6}Km zyM_^VxIN}BpKqh=_jsoN?88fk0=~)+;j_B8a(%4fY8va-p1ARFZ_Rki!nw0YFVAo83U7>6CG7ScFvGdj zqo-DK+qyC*4ma)1jiX=T*HWK7ZcU6Y!pYgDO}Q%_&_C$tCxi3-k6JI+Z0oo=yr=PA z(>Bzfa_Ud|+ve{Kom^?U-f}lh?LF<-t*HmDx3_bB3g5!>;&)Pmrj9D$Qu=$wvAZeW*imz%C37b*y+Zg12e;Vxs7w1hGU%^U2XDmZPaHnk z;G3+q@pr|4FnxM{d-u)$OXBo`toL9m_fy0EhGclYf2i@^z*WSDy5b7O3D)1>=pU2# zom|@Uux@@w*GzBiK&Z#x`?L~gk_!{vH+v@sR_2`YCSCbJ{n5#*dm4k#KV+3x1}d{9 z{ek+fnb{ule>2x__WI#J%^#|N&%v#TKe4K|(l{qWgyXuZRQt`|vm34)tZ#h)937c; z=2y+tA;iD>W4BM7ZQERRy*)!Xv&so)!YLm(jW|`g=-_kMNAogpax?mka7cc4Y5m@A z`@O^Iw^Lt~k@2YMGpvq52!0`ke;U(Smg%!1Zph>ZJ+p-`0AwZ>I6e{O0!CS!_tokGIUH zXd;d}0b+N&aYX{~QST-MIBZxR1`cfnz&osk2?3^|vLkZ>3s=>6e7RS(Gv?TNitLOZ z&GZKvH_yv}4SVQ^MyDT=6*QoG=7Q+Y>CvGk0KTh`I{Wa{!u2=;5&OT-ksn?~{&3BW z&ceAz(b+>g;pb(?PcuY;`rPGe0OItXZCB>6v=%bQHvoXGnT#!;QWo4G$ro0Jwk76M z)z`5QbWS4hNTBjWJjne`6M@G&m+IPZdf|Fv?nL6@rmFFl<=!0+FHt)?9lv?JyB^LC z)psnOK4i6TPjS`?JcpeCP)*}Kv#_mm>gw@r9SfT~7JF+S)(vetdNUh1%7ORW9Dlie zUVoq3RCObMb3QP=sagi6(!y1@mi)Q18}1EJV6U;{X(7?}2iu1~Gy!Lv2^jC8z%y~Y zh>Zz00*|J!VQIZd<4+U$g3}+S#DC8mHXO7ucxqqG&Q9Qd(B2T-KVS;EAU0zo(|2jx zy{7BfxFiNv2CuhLz&Ntt1e96|7-FJ>HwHYu6c87jfM-g-(ubQ6Si6g~foLY2oN)Rj zT|wg>4YpisnauIRPl3%^pb?nOpcB|`aA3M+wFmPmT?$NB;`e%M8~0M+m~+axy%e}m zo~b*!3F8a<#~k}u;7Wn>#`NVuTHt1taZvpm8ra)Hd) zN?^V*y|Qo>8?czY0ilIQE#HQXdOJ3}`|H!r2EYm2fuo`G6P?Q_KcbXZmjZX-=;qvY zET|g~#+^9k*e~_(#$#4F)$g=FS1V38*H=cPdz}qUgVX+dvm+b!0Z##Ya>SwYdmhdrJ_K4E`-=bZ!qvJW4i3FroO$K=5C4jzx0|SZ zn^W%cf9lTJZD)YLz)@%2Qt?@!xI*@#5bAd)$4+9sR`jh63)*=oZ!|`*@`cV@D4z9LjN5Nkz z=hM1193Lo?pP3+em0xw|bk+9mLb?I+gNnSxp8|hL;m0P{hoU>W612YWn%wG)W5ba> zH5MxJ&~Ba8W>16 zOzsKPM(Sf{w{`ofVh1Xtb-Nq<`x`EXHy-Fek$85wf6x?cr)6;Gj`bD0lm3hA4T`F6%0;)LI_)O)sZGfvPqgT6@IH{O{$_o#dGL7cdPfpl_yTj$J)8-g}GCt;Vb zVb_#$LtXGZ|oaWjgI+REJa?#XOj#rg(6om9K` zHE->n@$Zk(hU;EtPve!UelvEpcH0>&fDf{DO|uKwkexUzV%VS+u`%1U?ndj(1U6vE zFf{m+d}5%D+rcfJH?JPYNzijrz((cfHD84EZ_)ykqYd78Q^!0u5b(FZrm`Y1S9h^9 zb~)&eUK;~0jt269{@9`G9e1-b^AMlVNx+>P2 z6*TUJ;JO=K%e^B_n;P8L@K56B?)iz9nTboWbi9J+d$!>q+r4tMZ~_YfQeI^D)WQ1h zNnCGYySxFD^;F7C$eHGP_)VFSE3G+ypTt=)8=#FoZLki^qJ34e-?p{R=4eBC-K=(9 zZ;Sa5uF#&2%-{`-@0L#AR`+#-|Dd!hGXPCnJ1zOv$!vf&s4?G8M;?K@?dE8gFnh0d z&z?v$&d%>>rH!$all9meal0Ppy20NcqfBXGw&&rV=5ZVZ>Ne~{=D@c%Oqri9%=7hh zvb@O~))@I|^UqK}`HwjIGB5*g@S}L*?6y!2{W>~gA2dW#&j;S*ynX6&bA1Q=7RerJ zM{U#Qs%_nq=P}RZHMh&cf$TSoS5)Fa#-$-_d~e{uMH^VO^Pub>I+;GifhE3tjx)kD z6Y%e;`pyR@YGs__xF_R>Nb32BE5Ot2qxGFN6C6(tnjvJg9`4C)KXNm7b^saBnD41l zzi|9Hfy~W8Gvbz$2NoNzQ>O=UV8h1V!fWXo?W&ON!p71U<8~CY=!d7a68@gr+kCY> zH;>HOz>bcLzrSB*`ufj`O*pt9KD3^xhChraE8bmc&(Szxo=p`-nl2AsZ@EqVAp4c$ z#qEgz@~VidGM+&`S$S1n%ghPs#~F{5fdL*H{OyeU-os6&=WoDXV2R^$VD56&_)*}o zVttb5;mV=wt%dV-avrRsc`$IjlQUPc9?U}2OZ8M=YbPA1o$*JU-h+8b{Y~={86G;A z(R^V1x8Chd;J}MGh4#cG{)Yxm9~^I;9jx1ibqsz3-)MJnv=;5&(l&E?gy)6qpDW$D z**!;*SuXX@-H9PO_^>_c$I#e4s!ztBD_zgBKSA;b@uVHN!bT+NzQ+8Q>r&H>&f@5f z;wHxTfm;g`?YGEJY1f)(Y*!wXL%V66amP`tKTW%E=Oshx72@$YAx$paVKH!3s7a(-`0vmEz_FVe6};*<~a{)4Q?2jrM-i1-LA3XZ%*fUaQCz@!N9(PBSkDJ;V^U78Ux?3fuHRbE8~hE7V`Q{8 z@qR(ZcktsYHJot;zwKWCBa44k#<%HI0PFNb0(VS+!)slWeTk+`=>WwA?VSS55BEMI z6Ie=Ck9RHey4spMf&NYUczzzje3$bQSd{hjb}gsQVxLAE=fKrCCvdFx9jiZsct3N34uZ5_Kz(MPB2W9G z&0P(Cx7>WHOm1pL%31B6wcPF4=H+{ggh-R0ZF_LoPIk+11Dt9kx(%w%@Z`q=5)&gE8Ir1oT4 z-^$=qTx5UmTppZg!@h~)BKMQH5x-^k6D5kU-?@_Md1_ztAmJprbZ-0p=)j@$~ft| zMx3mX^7g)*{IKe^_usURSaC@1%k27Oq6_;h*0=UW*k`$}F`u?7{VvyoBUU^2%%LA; zoL{nVV4VY=yyrMZVn_3}wo=@?)3t3g=2?S( zyFE_A(%ftf&ObKaKPcmB?#|)1GdMTeb`S^e%l%0XiRR{OzLGbKuO+^$dP?oZ{(#Oq zYCnq!dBN3qWd&SKvITqPFzdm0g^%$mXDO}7{~6=%** z)XV)P+Ceyy=aK^LFL7s(@HQ~fI@v#i^^?bI2KC+U4_swjaY7mACm!#K0gNQbSF%v_C#jx>LS&wi@e6YP@Oh`7Irbxd12D=^Qav-?^N5^z3Z&maf^W$Kkgr zs6Y7JeOR2%)wkbF*A-7=y}9L|ko`W{UyBT~< zMdccCBP?-<^Hw&{&+VYZBGw5TpYj}qedu;>hsyMO z{&hX17J zmOE80cZlVNSx(|6PW!dwNx7cMeN!6qq%0GPe7Ekz4Jh9ei>-|!KkNpNd68`<% z&*=9}SpO{?$hcwS;F7gZSW3%zvvpsKS;_Nu`|;8`VC^UEb)aHRjLW?{nl_m- zUxxEL*0GIrV+A)#(y;>_2a|!5*J>+Ho!?&HcdnY&$6Z)oaSo_@YUVV~eTbjK*|Xy0 z{_zC%_i}yad44T1C+*7orp$9KjaFaZG(X{)YOC)m!NN;ai{XvHTe{!&Z5M)|)%q zkC0zW$%|b}4cO<&^>T}yw>x0xSFAjq%&$s3QG6l4577FGeG=yR zTV$L?z7_L2fG4I9?`c0T^DxL$0%vrd+Qj)PYQM~b(0q}39J?OQ<5=a0J5pcT!+OQ} zTGY?+R_5&}pK=O6_NH|datAkJop9}|OhWgvMcW6sn@!SaIx7-hw%xyo8yb3)T>C`uS8*-IyIXhp6`y6;c!RbGXkGK`~JTOA} zN9##R<$)8*QzCwN`j6N1J9xqt=P_Ta#~-<_BOlqDI7+yaf+v%)Pv73Pn0d67^EtG>g-s)2zYC%7-r`(#DqHN$ z%`ax>GUpeI+1x^*(S6xFmo5~7MipLsu(n>%s4jdi4~a+UtFTU`>loSiP-3K~zDMzxz~8oO_& z@1~pQ(hIYAo5kJSolNs!rg$qieI~syJ(npo4&-uomKTG@9@t-7G-&KIjR4D|E0s4~ zH0JM4&E2^*okvF4n@vV?1cI;Vl~ldUr{?1`Dywa$Y%ju=tNu;l~t3hWSGiIPfB zPv=des$?CL0LHhg*GEeivg+d~50h%4m@Z~4dL@sEfi9VwE)-MrK9kHs>Q**2?_tg5 zh!vZgmi0oScsDbZnnP#qwOT%vo1?d+Ah0xN=jWG;>B+fFbN|A0=3ZucG_!=dJDyC^ z@tP)YH+k)?_g0nwJT3jTe3@C0-jujmYAD=vbJ=gmx-67ri5nqB?g${0E*9<;-947d zEUenoC7+|DI-M@2rSv+wl2+t9ZODMMJvTkGGM&o0FR9c~r@|Uim$Jq5tRtSmM9NG1 zwe+T(JYba1q^DDouR>3H1+ApQQvJa%AG!LAsX}B$~ zP&qn0J)fCgk=NC9y^x#F`5H4sMU$qs1TS5U+N_KA5V?ag!Wn^2urkJ^-bN+EicSw5GKO1H#uZP zByEieU))X4WzoMhdAK{N>FVThbE%G~v|`T_8Ic%MAp$g$bu{2pxipruDa7y8bhbcI z0RyQ<8@Ly+?Rdiw%3LgjA+REaVlJPtDyeZU;4&4f99H1^EHpquWD6-Yd3GT)O$s5a zEsHR1b&i}=)$&4OkO9bodBW#9SvHf)Pr(sFDe9Fh2Qjv_Viu@Fqh&DzkY>1gP3ml1 zPJ__p{DopZE`5_^3z6LAHaxjD6|n4k!9t^~tu#5fDcsGBTv^WJBuX@cTwY4AE@Qvcy^tXQ}Ws}Pzo7r50|#n-1XG)$~3eK?MV`pg@p&$ph>CadCdCMN}iTU1Q^pm zki#5ic8OV)BkoE*Wp%R*3f4r&Zl-sBda;;qvF5irIP0V$k08`(NFuf^8K3P7T7NO% z_NCJ?2%jZ?7BdT$m)u4wn!FE0$r$U@n<7E<;0&hbbPBCt?_`UqP42+T^GbH4j3;(K zy411#kfD~;Dg2|SxFOcGj!MrLas^rQM*F>LQwzm>Dt8xKqq*D)-n&MVRpMnnU7Wfl z{luh|>l9`&xt3W#KZK+;IS1^n#|}aQrB)UxZ6#O>$t(deKpjJ4%r07JWlPH3kV;Ol zW+Q-pi>$~RRW!U*5NGI0J#v;-BdcFl9Orou?Q*G7Emb6zSqBS2A+;hI+~Sy9LTc4W zo*hG|oot7DSrN%k)2hsajupaLq=6{)G9@QI8(|&Eun{RYY23e#MOjT)dO}@;MN`rx zb)}s89}!BHI|F2Mcy4pwvJ7+7PNfcE2_7uE=5lsgi7h-RvInVWByxGB#gvyW$oV#m zb;))fL&;5*V~%;to&qPVz}9UAG7ThJp%EKX!P6<7&!_Lh)Ws*=Y4X8CZrT%`eW!Qt zO#4u^nuP5@oOZ@?UBV{`$BGqPWwfoQ zK!GFN}& zedufToYw|OTyZgu4md~k^OLu+ZS4NvaR_apG3@m(=ki!CYVvoj^Y*-a)um}{8klq5 zWQlXWRSY%AT8IACWUXSzR#}IATXLhvs>}pHF4}WuER{TYawK)8U%eVQkNRZU$Vl>P z$~r_>6X*092q#C+q<~{{91ymdqy6LP$PisJ8SNSCQH|SIY@DuC<5eWxGnPD^I(2^F zB$`mVW@{Vhnu-#s{-Kk7SELh`m}JCd8>E_bO%*xU2~T+fnE{pczA3BNpRIe;cBH=^{0h9P7V?aaY15YI{4vZm^OWY-Ud;3O5 zW#1q!m}fP7^lq6fLQ@&9Rl|cfWpeEr9#S-Aavhj}s*im`$)15ec&XvSM-3NVYPj%I z!-c0BE_~H+;jM-Xe_d@DOpczT6;o{k@x1*>Ja2yz&)c8G^Y$n4y!}Z$Z+{XG{#Bps z?Hx?@B!|wWdiuvku{+Q8gqEd;61~8LXr&JBL z!SjUcWE(>l*%3IwHb(kR_n#lKT^dcE94XOqIVmy_^my)D+4R6<`#kht7qwmsReRs`H&DN7!AuUfS_ z1QAOV!{#*594tlkHL)g~b^dN{u4a+D^(*grn%G8cTv$XdaEL#W_DTfY#b7 zqrF2XQv>LKM}UKx?aAm^atzUmn{I1SG`3o9cN?*shT8*2TRDc^8?L+fZcC=#VwSLM;Yr`D3{U-%Gnh61uK^jFGVfHGc6Gx=|6Q5 z__pwDUs!myFD0I1{X@XBJC>L6DDHUWDDHT9tr{N19j_e49WRgKj+aMqT;(}&yqrsM zyqrsMyqpU^HC%YA;Zhtgw?J{coJ(Z zn^B7L#2&?_h!+ZZHKs(~*wFbDD}-mAR>xTvCwo+P}bEgtq}@>*Jx zMF_>I%jZWBFXP3^U%^Duu~yYYs&% zn!6%mU2#uXH(dHo!+sx@p;~$;JKryBp`<+v2b?k{H6E>zvORBZ>lh54)IuSLucn!jEXFn>i+ZPVE5QKvh5Bg+e8=&8n_`7vO9@chY(1AUEj zk7Ny5)ba`Qcf;n#&EEsA=p4q`r;zz6tOvLYhU7hN+)+0a29t+Zn4dQPfZX~F zg1mF`;yc;q=P*RGnIez3X*{D2k=6I*Ce=RmAV!#fWd3o){6q8eST8dR1$+b`IW?6j z6tXg@eOR^cQC-vOpPFBYpclSq8h-SEX`EIKaYL1s|4%Icx%n5=JAa8C0{Ze+Zn`%; zbt{9ZvdcR#WeCUo2(k{ux2#@2g*#8rLv^#ma{C)J|6VtH5t#)c02#4-W+^ovGXK%- zGXyMmK$XvaMDd7Bz|zeiXn)nMjbck1RJk_dz1lyxw4_0mOVes)`I?d$>#2AOC+d*- z4YwJ{S!O_$o5A9tR*FkY8dSM7mX)ZyX+jx|R6gXZaI2%-QU_J8j$7(#Ww^AYL6u7% zaZBUUk_NS&#*?R%9eJ_*u3N~ZrdN=vNO8^<4%T2@Q9%c(kOe4^8Z*F|O99um0!WPl zkgyIna-4J~lB*%#CXX3N*=9g$%m5OudU0(lfYc}e6=4Fv;+-N@xYMJLVp|`iMjvo+ zRfua_0i;F&h;S+3+ExImQ2++i=>#XA_LzW#Z33jm1c2eH6W6u^NR0xp;Znf0t)PWX z0w_=w=-N^svb=_b2OxB9D`)|dz<9fAaG*d%ni@P%NH|a+Qlo$YcGcjFeL_3-3D4Nm zwcQ5o*e5(=PuI4BcI*=vPM1s0NK=uf4W5xsI3rD@M!_@E31_5Wb$3FmGx9`g3}ASjEeH(U@ECBA(5gj3s|N{mZMRX2gjNp{ z=-O7$BB9lT1iH2rv`A?6Ac3xJ1uYUQY{|ZJb0jMTS1G5HVk_0G#*ak0@}3*X!jt1uI*mZBB0%a0J^pnv6mMa|gj5J+aE)l6w@Qn0fXQYYLD0oKturty`Y7{&peb^akA~g!0kv{B< zG?5ww&qyD3Mw&>Cf@h=;J0ne`MghZFYezM@8*H6Xe81x!(8CS_h}0PJAmFfr03tOC z9t0eA5I|(PLWc(dbZsGkNR5IA0UZtkh}0-}5YXWufJlvk2LT-p0*KToco5LxAb?1X zf(HQ|4g!eOD0mRi;UIuWjRJ;Kow^;)NE4}1@QieaGtxwA6g(r{;fyqq0ohU^v6bAT6YDe>xNLea+pZPG{hW)aZK#zS9|aA~g!0f$ww%o=A;?XW%=XfhSU< zfYEKR*Hq`^np(^iig*~&dO|Yf4|tmD;GHN}8}c`Mn&5zyR5V=ysJZ&iLE#ZC3XcF( zE(Hf~RHUiFgSR6N-iXvF07tGG9Ecs!LhJ}YL(fR#sbu-!kKL)ycT8E5MPvW-v-R zpmjQcl3NFik`8E{4q)Tf0i&d&$5b5naOn`k)*)I`PaK2q)=`i_g*qKCGU5s{h}P+N zkr7vrL9|ZCi;TE}45D>9USz}-WDu>>!BD&VK*2#=kApadx&~=#SC-n3lfK)K0uIv9 zRqh2xTmc8sIvp=K;tDv3*62_c)a4s7Y;X{*)A53XlB6XaJvb;A>aIrt2Nmk-AuQNA za4e25_nJ_!La9@o2`^SCV_I@mkCjAw$atN%Dg`c-PSsWAg$rd-OI7LNLdjBhuPDf% zLR~#xWKaUNRF57RlrnYKqacF{HT6)|)TKiVyB?x-I$mT@CbguaM+W6e-SsHQph8_e z7;U$X0tL#Q>U6wNpzLYMH$4<6U+S($!2uQO>ha=$a;T+x^f;ibskk@=cEeN}#&yQE)(o zx_Z1gprmT49z6~yjq0vP!2uQO>ha=$QmCbR^f)-&88ZIBTa^L@N~-Fr@J$krM zs?}YO0v9UO)#HT=rC&?+=;0!Bul#$z>_Vu*3mz&iS74T4@&QsvSenw+n zK0j1(%xgt~6Xk4mRsa|I%cz-rCX<>ruc#g}Qn$)NUOG2b7T2>3DHKN!OBZdK^$5)m0BMY#pLC^-#{# zt)t+83UxYO98gNNRF579lq_}Equ_uFb@h00K-tk!J$f8aKGa>0f&(hl)pIyxeBG<2 zK!H-GIyH=TQ06l6s6vG|fkA20lCOGPP@=S=m?;!P6)*HwuONiPbkzd{o9sIc$fnL@ z^77}+^b`G1#dmoPD)7-KRue5ih}WRJAs`KYkK3S>w%RA@at{+NfRFO9(c%D9(mEZ$&aLBMGSQ;NWTFLNi|~D< z`PA}asN&6D8xAt1u&!QU&0VhpzC;UXjSeMQCHUMwbjELganGmX9Ug;9dN!d?&n74X zt1*bj^KpZ$;=Nvj3J~?V+XSU&U9D1bx3W;zDgbCJ0oUCsa)Me0M}Ey~RDqm6xtpN; z?P|aKman!6Rs5#cssc)V`Zqxt-Yw;>%J>FfLlt;p!QFfXwEFaLf-=Bv9R;-d^l*Zb zy>1-^wE9eNf|9*%9R;NNOmKpdy>1-^r20&7f|9))@o~ec0>3_R8Bo%~Qc{Bl$^x?i z+)u3dGp_*!ge0jm01&P=_A)qzD!$~ks)R*-7CAwgVRus$6zbE)3Ca@J>G2Y(z>fyp z_7wb)qON6tlYIU!eJ_i*$k6LkLKR>2+EFm4&oL(`r7U;iWG8JK`VX%m1&E}fbI1!s zN;caoo<8xMpggm?;R+C`P*)Fd=Qy0_mRI6u1#UwMI`!G;1SOmuLn{o2%CN_flA@Lx z8jmO=?W$Z!Q74Gj=}>eeILP6%>W+EsC{Wa=trL`)cGs;yQJ=O>P?p+hc;2eK-fKv~ zAZh3tK^Ro-Atxw*Z4bFVmz|*0b*bTY-3?wl3fxFRS2w}U?m!y_c;}?s9|eN?oOgm! z+$B4cR$t(qlWsc-EJ;D<4*=)bu}}$wx$P*Z)aS+%l>UyE+LfISReC#FNtR1#jWd)R zFBzF6BV8U(lbyWkG zrIC?|%24HZd(9}=)!$A?(A@*a3|{t(ars`a83no|ukprvhasWdVUV;=2k>)(L7sxa zD?K&SeFht$`g;xux~8lAPKtT;R+ziEf86#^B2Hd;|*n$Aglbi*Q^3u{aum--4v0h zIq!?lowER?yMYQ~=`M;+2T*kDDA?5BIZ4p15Uuy}^#RiRKk-^s zpsK%TjAP=pKn%M}Z&}YFbS9MM@89%XfrJkN?JFNx7Ah(BDc)(A^Sg zX>nfua6VPc-@}^y4_-@3VAbDXNznZiS38s&EV5A74#3Qx}V~%M?n=8>goYR+&T(o^fy=%bPq-5BJ2^E#|w`6wZfr5rPrQ< zC;iQr1l?73N?OvZ@wgyn=g{q=>QV0dgz9W-KYASFA2KWBHyiOx0O?Vf%RTf z%KA$>y6U~qq5CkU>Ot#tywFkZ$s~x@=>RFN34-kV{ZL?s*JA}x`a3lVx)bB>Fa=Qh z+cXKf5#!cT07Zp5kG(;N?!lCL2DDDc3mUr3Qqs|arj>5FsJ=;tr6cL`dRpnOid)A4 zjV#pZ008_m*F-8W?@b3h)47%In3QVP;-!`Dp15mv@FEL!HGA;Vs@xxuv`(kYHwPK5 zbgx9G<3UENa(_h975U5}UO~EZU%&f>viseac)Q~y{!8OUJ*0rgGMe_O@8axUTU`YD z181W~D1cX~RTOV!3%K7jfRjgaW-cM`U^zFQ@OG9Uz0b5aIe_U=dK-{@2QIzPblk)G z*1pozsu}Iu?rxv`RwBy}5f}-KQiI0eBsF5PI4SR4+)5X4xZSbO-F;=f>088MI_6bG zwFlhQu4zUDt_H@*iEH@uf!7v(5l!DDsMGQrMc@XjrW7~i28A0?Ypa!bP?=;EcwTDq z?5%u?HGl`#heX_0WgQS8EqeK{A0`wZY=h{EBWrcVn&w3y8@Np!a|gdP%HPf0#YaQ% z!lrETKJpk-VDildsy@to`5xl+<)UcyBAZ%5t6k-@^b+=%mj#6)chfSA=kHF^Ignnj zAF$sP94Rp&d!LOzKpe{7&EsP`8Oy`x?K@jGrTAr4{5ELJgyWA9S?z_mI0@NY$1wJwh+BaS2PfKtFT%L9L8&^REJAu<)wI7;uz`IDKN!s{`n@LOE;&os?$fY@PTy1j;{ zCXy+bZd8IND5UrqX9(BAho;Q4Fqp;*zD1^->8q)=)CZa}dN;R^=0t#6NZk-D&~JA$ z)1?mKW@C&mt}@0Nvujo9=>!q@xxgD}0FflZ#B_!rMJXl-7iPWj3|-@ek0JXt>-fbn+)$#Q z@&a~YZZWr#0`f{5ZH30U2lw%+m&N5`hQ1aC!HFM-)Yks=8{Id zE^(W=oeclBG;Fa|!y@o8Hu-U2li%!)hf&Hr<(Ik(bBDQ;%zcu6XUpGwa~u18Huh=L z*n_4vQV^rR^o;>LOq^xkU^(1-2g1$@v(r3+)&zwxyO^pLW;avogn5*y zT45ezYJ)J3GgT+d6HILu<}*xf5oVHW+9u2%rgjLkm#OCo^CVNdgxSYbqcBe~wMUqz znc64JGfX`#@UcDVUz5PA?Im?cnCF;k5#|6>31JR0)h5g#rVb19JX4**yuehKFo(JQ zM};}U)Nx^sGWCow$C&C7=0&DX3iA^Ca!Qz&nRiB*SC~ToU1e%OnBzb5YmOw9@NHd712yu;L@Fz1-c3v-^SqA(ZOtGmL?F?COvi(L9Zm`hAO6lR{O zN5U*H^?YF#nRVklrX=8OMhCJ zFJ|8Bh54O~lAjaicQNmc!u)QgeqNX_Vd^cyd?{1ED9o3!#xDu;dzgB=Fu#|ncM9|S zn0mJ`zn`i13iAh;dY>>~&eR8l`GZV-P?)b^%fBYfA7bh^g!xLQJ}k^vG4&B)zM84u z66Oyx^*h4+5vD#a%pYaycZK<5Z26PI{Bfp!Uzk6^)E@}*HB5b0n6G8(4~6-YO#QJi ze~PI;5$5Zd`hqZjnl1mCFn@-rzYyl@nffbX{wz~}EzF-|>TiYl2B!XAm~Uk2AB6cP zrv6EoKhM;^2=mQ69$yvaTbTE6!u$oU_iMuZMdtmdFyG45H-!01O#PQI-zKS$AUWvUvlzNH02zFpW^$>bMI`0FOTVyr1N zaGr(as;ILxQu-DO3+`T}O6L2xYtZ&$?CF%aO4NLCR*p%Bz z^(zT1TCwjeO^PSch(EdU#FLA*KDnsXV^891`;%Ke{N$n?Ec*0gy4U*1!~aCs>f0x? zZ1wJw30wX9WWrVtKbf%A$4@3~_41PmTmAgFfu;{av^?49k?0fRmqecsza;vE_$ARN z#4m|HA%02p3Gqv!R=?`P7k~fA{x}kM>hp9sr=%-=3WG&-i?rucN{NU@l1*|I+&)qj#@bh{{9hCVUEQrbasK1Rhthcqx-yo`C?h! zys>1tDfYPQz-|f3Z-TM8HHK2g2KrRkV=E>}`hpk>>gJDCF?~$yvGta|H}+U_&gOQF z9#pwx}meiSd*}gur;Qm_2o16QZGmAn0vj`53?<2gF)Xvwv@Xe zy7(@?73Hj;`XPon71gT>SzwSeL=R_JVxOEUSM3ka61J-M4)#`c>~X7H>9cPMc3GTs^3IH-CDAqdwd{+892a(I z_9FOvAbqHi1#}^ezAwn%>|+^SNV8?u4r#U&EtztG(8YZcqOi{&L1a1IKF=ek>|k|E z07+Y)Ok|U~wMhy&Us7a2UDz%Az#@z4de8apBFpG@60XlNvY0OAXMd$}Z9}DxH?HlI zx*V=nnX}Zb=C$+1M>(Flu%!7gBzvYCeE0VvSx&bSmp&uOBDxV*zAm|XPdFc)T-_)4 zwmkVRRcuad$TK^LV02QSVt^ zn4Y8JW5#hR#;?!t&o;=%p759oJ)Kf1)$!>^TeIZh$@}Ev z6ni*YOi$fu42Ir;`~9UyEY=dG4>*S26%M^K^lto2b`kG@w;Cxz?+v{v0{$=K(E_{D zK3{W+IV@zX3qxm5NIP`m=PX(Sa_ohP2+-m|a2-+nk~DmOQmnJS{7IXgeUT%_mcnn&oF$8Hh&Lg8-k7T#`JW05g#j{2P8{lr~#w$S;$f?+*8&Q zmLl|5p)cVHn9yI>1VZ=$%r32CE|qnmzw@IrgY=JydBcUHnS3@qmwiAD4u08dH9OiK|5M8ga`fW>--knA zt2NBfe_*(|kF?uA(+fSz*}3Uc`5b;Q zydSZwtV%q~i!qvN?o~v)Mc4?Nk+w_q9NN}3w8WJNZVDd!Z;xxBM3JLBgxcL!suaYw=jB{+AEBcOzp?u zD@(gLpZEDnQVccP7=Y%oG zyai#*v+ANS7PvGoj2!cd!dPUvyTVvv>Ygz2EcZYd1(tg#j3QHygt5$}&lkpB=Dk1| zD@?se827mJ#lpDH)OQKv0aGs##}5GxiG$sOJ5<3=QHn> z!uWQkUM-9lF!dw|t zRv0f~>J7qpDN}C}#>-ga&BFK|w(<+Y_+I9{RT$sLa&HsH_cQMu!uSEE-X)BeGxZ)} z{2)`mER0t$^?qUe5L3S*j8`)CtHO8{+xvB4yqb9*62=cR?>B|@Pfd8WQBj5jm&kHUBhQ~xZC zUtsDh!uUm|{#6)nW$NFB@k>nohcMp8)YpaacBZ~5jCU~g-@M3D-gsI)a_$X6*h4EWV?H9&xGj%{1 zzr)l)VSJ3KW?_7sskksc!Bnd-ewRIM7sl^#y&b~%B=e34<5MixEsWo1>X>mg^J7=a@Pzj6YKnMw)cuedZVj4v@YC5*pjDkF@)VYyjh z{4Mjc!uUI;?g-=WnVJ{Imzl~5;~$t>62?C=RS?EMajz{4Z1hM!(nL;f7 zI@gO>{0(jgV(~YbhgkeyOd%HkH<#j}S%Z16z_b2+3sar<@T1t6y^oVe>fSUC%aK>% z=vs23#HSs6Bvt%QI-9uJs5dC z)^gjuzI$w{7r&&)&SlOo(pk5FHvSxb2T*F`DpIn=ZwyD?5P1`tK;BLjP2;e#XIe$k zU0W|+oKxr)kvB))5`isbXX% zyupaPE%J7x3?j(b1W{4rWEzQ!8_up*N|pNMTUF`1BJYlbH$>h8TxpKA!N@P;?6HD0 z%1CA=na`)u^rQPUg7yYnIr7;#3r@mJOKo)Z`y)t$gf6#bfk^6`}#J4R03_uBOel`kE!1jrk|;g3bTUe+;0oBlBtggGr-g*gc)S& z_kQllD^Su7FFeA+Sj4-2Y?{mTw9E<))m{m-DUYONf`lrIIVd{&*T*nlCj1prC zKSrr#jV}pvJyZBG$_6gQk5M)<^=0}cj&m5`A4rP8I|J{+@hI|7?u6qAexjG3Mp!x) zdJ7eQh4!-QkDKr-9-7hr8Zi4=diI``P_;;A4k3xF`6R(7BQNoh$d|$f8lCR z6*({^WXh{j8msjC+n~%j(eI@yvJ3Rb%;H_K)|gufJYXcZk}MQ*Q}hF=Pejr49Z}>H z*4Z_cQgu<}4{A$Q+H9#52uCZULDSfcoL`y546+*i3&pYAK$emLbLgmD(QpJBNC}u1 zMO1_jM2vU2b1b%>!zLySLB zd)y!&jN&97Q^&+ktwf&}iSCRx;5|SkC-8|!{waO7c$ePVog={P2afeGK~M%-MoFYw z8~MEn2b@lzk3b@!+T6czH#e20#bzv>N5Z!6-c&}eJ;5lwDbseDGxx#hK2Gb(l>+^Q ziRhTLmXGMu(F2j_{%Dghf7yH=W?l3UFzbl%fCQt+@CG;yDSxi-73kK+)iNm&O+;HG z=9i;wmS^eZ0)E0vzYKI_OLMtfL=Q(hBGJ83WEn)Mafr9UXqRb>Amdz`B>!h5bA*n( zEqXK(?T%tkdp_Jn-GM#rD9tNhG-)kv>G?$w?Uid!^du4(EO!d&6qY-Ke7`mSrn7f3 z2SxNO&yRD${0HvjLCjdd;-J#($Br=)8Kl<(12S#7u?I3y|x&IyobNpL2FbSM_ zuXC5+)HA`(zNE|sYhw^$0|V!pZIkzl8TwkZ73U%P^y+b53G~u$SiFr1YFrARqUiE+ ztomh1eO=Bn7<~n{kF*#$A=6qmkvNXNG8+A%=&O*6FqFLk}NThQ6@PUW5T7XVM zGl53@3k0quN>K>;#1ptz2=8Bqo4O@%DxZ$Fd&bGEBWu6fN?6_?CvsRr{WH-QeXIHYX!IAOzhr7|AC2YHBt@Ik^9xhZe7k9Ms^`@;_B>@Hye|4q5&en440Xc0 z(FyNa7ntFd-QA1?wv!)@zOUA(h~f@+0Qa4jrw~YYdv91Ja7HPsL_~ij`oU=Q9noK1 z0|HoGXlmSU#QlM*4B-zUbI<{q0q;14V`z0vce3Ahwn$V74l-$ORi%BKk3g#>des zpQtq|qi+_`k8($S60A?v8UgAGcL=3d`+GQ;<7gDJU@zoGeGWNao=Si8|8pa4;k!4A zTkM~W{t1>R#LVS|bpAe_rWa;K^a}{Ah;m;n^BB{$;$9TEmHKkflZ~kNeg?T z-RZS2aj)UVcl2*+jUe^fpL4JMJy>6^HA0$RqmjGah}%Km!F}{EWjI+k#e8tt^V6q4=p%28ZV*!gij!$`!b1A zQHhnQ5vTs#f_z43*jWta_Wu98x4a}oP=qj^0%_uxa_v!XSJCGL+z_M>1Jv3 zW#{G^gQ7-#C5}@-Cr1$ri*=$FlRj`N0%`;9F-X*kx-#<^4H^`{g8sCN$h#!y#MUxN zh>woza%GzodbPht12Uum$k3m%Z5F+J-=o3Up~V{-^cfI$IlV34!+{KmGTO@L zG`+{(qrrVZQ-c)CHe~erd5;FSoIaI78f7h~*T#D^xaIWG3(`QV9K>hw>7^9C*9tG~ zp?!AgaD&H^Fwi*MRF}|4EIGtS!=hW@VDef<5)LLm$rO_9Kgftivi+4z^-&ms@EiFO zXOagFyyaG{RDm1p?yLXvu27m2k++Gn5qN)Y)lT5Cqgjm3qB*gp6tZMz(N+uZcFQdn zslQe)wCq@aFwC3Xc4VhpR#q#rlo=fK)eFzSVnp|?8frXS7Btv3l}9qOeG9Y~z%Y;F zL66cX$ocG)$`QXF7T3fCZlCcW;`YUl@Q9~{`E_}k6Lsty(m0;tTS>I7eLefUD0~Kc znujJPiPXg34W4m7G6>21UV2C_k}OSWzWJ8=9V;szj(I{)A(EGHd;u}XQpwG z#m!Lew-*Rsl#RRyikOnpNcq%U$jxVvUm=I8S^m3)Z#`2l#lwuW*aqZT9E6Y7T)qO~ z!O9Wgt7E;F3*T0zUO_ql8S8-X(F(~|AUus&#uIk4h!VoLgVlc&+yCss)ZFrPru0_L z#XH4RF(`f{W`*PzMbC&X)o?p)&oTnu>g|(ul)Zt=-dPh#_XmyVQ)S z&6ZQ-Jz?=~@m|~;W`lTF)|?aP+_-jMeTyO+=EVmhZ~^xO+#^pPlW=B7jspHlKDCn0 z$^f?Jxt+K2cBa#K4>#>Ma3h+R^bfCU8GG^fvh+vG{K0^GJS-n{45dc~6U@WGG+RCC zW1Va%hL_vog`GazL*=mJwoIRIpaO__3NWouKnp+pDVt>UbpOi zf6_+^sGzJr=}QDu!2L;I7jYY=FAz|987Anv0aU;h6GA+SJc9I{4o?A(pal*3ZiW+9 z?a7!~7^cm{ldR>O+%n!LN3#kq@A1@z2jV`$WidQm!PNRPDLhBc{c$eC{RDSsb>iUo2`)qLJ4=VGx*(U1PjbZ=T+gWSEF<6kfkn!o z)QQJdKEP$zMmrIBCWoIhq|$UBShnrE*#m5me~P6~2;Y9W;8kHi{nO0r6~05v!^11D zW8P_6{7@|~ejt2wxZx`h+8Pt^*cWF@cy{pL+0q5!Yh&Js@O3aXM)rVqwg%t#8Pcqv{5p@8r5~;z1+kP0~pLSp}^s&r2&# zQdIOx#jOgy$!2k1w8lwX;5P!(8Buiy`PtBCtL9eGw(8*6K;Liizy%y+R5m6Bl8zLwwAXe&$D2fV*ioJjp3t;cP z|DWW^W;eUpAvgQ-`}|+uBTq8uNP>o@t!Bcc2|9TJB1oBSnw{U-lz zNV>-%G=2Ss{qjPJeSs%ftqJwzq1E6yG$jXi88LaVsUqb%)H`uIvX!q^&E+an{v*h8 zEl^tLkbK7S0c5!mk~TS{K*JJx3UF%5Zke>t4eaL*m;s?w76APeI?$0*=p+`s@}ELF z+o0^H9TH)59!EOcA!&z0qKr;dnx225V?Tuee86uch&Fm5JqvUUMn~8RIHc-;o}G9T z7HSh_dKG!S1|(j0NR08?jlAB1q_-X7=y-t*fENtK-LRj(NByT`ul<1fJEOFZ`n#a? zG4*#x=~LEIfhzV?5d3VZ1!Exi*=h>LK=89g6^wz9S}m|Yt2g9hfhGg=m(+SUOVs-Z ztoM&l$)6lj$m;zK*85jT`pqFlx_SdgsCNtS%E9)40|af9{!M3y)Yy;e07L#GIw`FS z^-pEkQZg(EaE1lJNT?v>kYZq1KsdvKTu91uNQs6advgVb>D_?6#4;M#UD)sDq#6`V zn8K!UqXe5mWK#r17dxbsv56p?GDxc8kTM+`C@rNJ_A1PH;9bOiS0^3t)cs(up7tQmLS|*-!W|Dw=x3$u_jK@uqXm~jHR$q!+0;KBFU^5VBL|NeP7AKUOR$pVXRpSb0TcY} z4H;t~MEc7mM*b3$Qvlz%Q>xw+I>gmzFL=C|i3PF83-(Hlnm|YeiEbb&m|k`EW5qDk zKvx~ehroi{sDBpfz8$_w(~)^}CVUz3UyfH%$Sd@7Zt3^Em&Ew8dgYQ{ioM>wp@|o*@YkC3f2_dp9eV~p#B9& z77;K8f}gDc@E8+Po3lCf zFGV%4!bSq@4X=ZXJxXtai#^uuZE&$iX)o*%L+M>`tVan>^u3R}1QftG%v#AVbIHCx zVD?_)`EFxyVmzkcBk=kufL9P-Uuf?ncTu@UH+ZV1RANC}wD4yIpM$q#!583>k5&8%y!5dW-+<>nrhNxnv9N`Ip#J4(o}Z|H1xmlb z_AI>m8*I-)=@06^7q9*W+p{q3AL?I?Y5&1SEuI$fQUCosEdn1TNAc1kLD-+g8ANhe zYsGJ4CivNE47MEzezpXIG1j@*N({zW=VHq*7-OA_t+`+fgw!@~LH!RQi4r)yJ@g5D zAB~j3)-a?~6}F%t+3K(b1*IclyBJC}n9sVr>$p*6ETg+PUxS6uL6M`uZ!%H~wiaT2 zVb&gpQXSZFhQ%LG?REBgMyVd`N5euJz%Der+7Nc3Ap%}+C*xIEbod^n=IpJLeJ_u+ zr2e&7MOcd8fD+8a6)2rT{g0v4hS@59>oLL4R-@2z>`r1!P#9x(5?hJlF(&xg@)O2D zNVPE#cmj*)0u|?ufRS$0{}iUd8o)Csox>Ex8<`1ywrYiHStGNTaEyVFTF8mizXR3u z2Y+$23w$_g%WuU9%$&RMDw{dkYdk7q{evxKVocLNU~Za%28G!(rA#)}q$YvCVJ>$G zh``H!>P2{y%Ry!1(=S5PU4j=UrC)?+fQztBQ`0ZPTt5RZUX*?jp1x+{MfhrzN(koj zi}51(sHI$FPk2?8si)`kQB#J-50=P@z&sp@U+*}kd~7+KqY#13I$>PB?>2rMeBz7D zh0k-sPn@T)IVdtOH*!_vYM2~*mQP`WWZbtoX#+yS@eA_6=ikURk!xY=LF78vgp%fy zq-M^ETn`^?%EwNaGJ|I+?!~?wXUGKHpNu?}8`&Cx zlS`x@33T3({QEF;%4cAeOb*qF3%qY`&y74AfhUR=alCkrMlK9bXD#?V@OvSe3oE_g zS%0VoOIR5^QX(%oq(3C1ePFb zMqZ}=H}K*+G%^k^z6TfIcgWG~;@fy}AB{}Jiyy>G9-xsa;o7XOpTWh? zqq(CTQkz|WAFqE+Bh&Hvw{ZPChaAH$?!${e(#TA__%mGm#UaPCi=W`d-)UqPUi=d- z{^gK5%p88U)`>$en{L<-PGgKsH*A#>V{E!%i*h{11V3Aw!x(!oV9Q?^1HsQ$!7#?= z47L`9vGh5EJsQH}IsBV4^fiOY`^8F>!FY%6%5PdpJUNS(P(wvRMDDH^wADE9*d4oS8PEm6%CbSdf|a! z79ZE6$EW9MO8sA93H52T4p#02D50T4>S77;R$;%-oLU0ZmsbjEz(X){Y8F5-67YTH zQY4XX;pXt%g&P5)C(~$4BylQ`XycH2NFv@D*!op!36R7KhwAb$y%G4QR3HJf>Saix zbGjOM9{K?-(S=4kA&G84qPs)tBMD%R&758Z_Q=Bvhi2emy0O^f3$p~w-n04C9_^P# zq6I7!{EQ^dr_sLH;1>XifevZFNwDQHPJ#(3mT1w8hF%T52Dfaq9F7>kLPh|gq3p-` z)U9VY;?U@*=!Go*XzKqB%N^?@ofFfA`W(yOnB`C@2Cew4&o8FFR`_;C$HU&U=mfa? z*pePnPrnD)V;3_HJiSaq-qX^n2d`v*Bgq*wIt7h$F&O6(hn#@MiQoHd*)G*M@Zk9$ zqG74p&sOx1OnRSXgAF4CPfM3$cY&vqRCOm(%a7`=rO~UfyDR`Q*E^&kl8FyCZ0#-u z&BiU>P2znwy+^T8EfpnhGd5B%G+U!g&5~XlHt6x(aWrH}e5_}mEXGY8JB@AA8aIP| z|IK(Uj*shu`;2d_n=l4;vawx2(Yv|lWb~eNTIu&B8?ZR7c$j|2Xhs}%|8rD4)EF*3 ziQOv<#XcGGFx_yP^=8(IlD5sMoj;|w^QcfR?8Lr4rL+#~bV<%4{N_sBG08g8yA10^ zoVyrv*=K<|a)BJ4Kn9K*KW+%S(P59>VEENT<{Sar3y1MWO(l}v9&B|r$+cXs4|ne! zG8@}!rTDQYYa7O0+eBrc(UaWW)3U`)DO#I-;7F}WOKJu2K4i;1Y=InaYfTM>7Pj`y zEXmfeQ?NUVNtKMqQGES6bQ#DEdg8WCzTH!HMx8s|1tJ~++B?Q zN-{G`N|Blc<5nQ_3;Afw5 zF_v!2R?VnY9o2jRS=ov<&&q^qi8HG^$Jj((Fz0?}^)00+{6PnXiqHNW-Phep4T z{s2Gh82u3zAK|o9IJ$4r;ITQ;pTXA`9;o99>GB8I5p| zA?ngFdHfd`UIE<Mz&!+Q}N=m=76n{-HePvd}&FhPN)I?3;8i3?oI@wu_%Vs&8zV--hRXpB>gnL5I00+VuLr)Q`a z8-ZeHlExAvWpCQd-hjIi?J^Nw*|F^uF*r zx6pk@!3vqY5qKJJxzV|?3uCZ6SR${*zYM@mdu-fsxujYQ770t!valxz%rh~Ul*J}N z&t}W`Ww9x2b5>`WpAT*_CP%Zh(_{=!IRR$;CYqK9#HK6z!2ZW2;iwGv>x?`w(@dLm zx5+uMS)SRiGfXrvxFmfbVW}O;hYy}QhFK+cX>2xpNr_zs<3@aRO3slJyF4#;1%1jU zJ*icb&wH_}Y|@Kb=;?WJ^aj6u0bc$q2UpDVJBR8&LPcN>QEXUiK#C_>TZX$V5!4scoe3-3aPI-q$Nv* zeYqE6Dl8MmV43hlmO7kZbm zEOnGkM%!eJO~%sjI0V67$r$XFJege{XOryQqyn`rn-?k(gT4@q?$(lNZ1TIhT0 zz*CFrH`gR_$2tvBQzL*icF5^W({-q+86-7#NGIlZl=KESY+%Z^{Ul{4qOw+iJjo$v zu-hL#>0|^eV~2Apg4+Q2G>3F%;JG%riiY1tOLv3|r#s|Kev`=8C%z#!;dkbAMlEMT z=Cd4fR_vy<$|qdFoNk!8J7hlFAzfIJ^K5dpP3BXpDIegSKGbS~(z!OdhFYyK(w|x< zqXc8xwKlmf8hQ_YotA%#aE5@sp)jG#4>g?+@uem{{KmgnqBTxGG~%y=qy-M?rNN(=z~6xQMUeSMhxBIn zV-bHdB;DeWKJ1q1fR@`1O#q!6D~s@JW`eK>VYSd9yJOw{h&m9|_r)>hy8pXOvvv!WN9W0hU3B#nxXD(-FuCv2= zPJM}JlH)v2t!`-X7vYh3$PDVR%^!5R^D-RA#T`tXSEnD^MKACo7@1W#qxy{=Ur;`Mdk0qoeMtrwc(KX$^0Mce8|@+oqaZ0 z1c%BZ;1iqNXp_a%IuBt7sWlL#&v653ZT8J|GIhR0@K-ju3C^5F@V7R(*(SG8YY0Mq zq*ggfKeIy$;C1^}YM~FH^E3wHBdNm0Gu;1n>6CY_c5A&F1g=g*9w)r%mp%$qG0Cmsh*xi-1mCihZn3FO3_n_3mCabBn3L~wkwFafu)Orx5 zQ*3e{wI0F9X*OA7ll$RCT23G8PI7sV7k1$HNFmHQg)rv~WcNr{evcG(hNLqcGAO*& zCJ(@yMZBxf!fxC-rLen5WXs&Num^AbLU?(6kXq}JM{jCvLa8scHlx&!T6ln1Axte# zV%h*|J&n>pc*s{dCvWIZ&>oDmVFD@~>X5;Vb`PXI9Fj&jWC)}EkdM?*wGCs7zkFMF zLf2^2H3ooV9Ws>Z>W#W4K+;5q3}d<;hI5ax5vNjXJF=Zl>AAEYJfIZLfSfZc^WxEn z-JXT;fu_807PX#3eX}VYkNW0-zRMjlyl|FH9--C?c>O9$r{Q&&9SUJ~7{RWurPfP$ z9p=3`czq#Uzri6R+4XhQ!VltwP_wJ?`Ymw%R)>sY*Vj`Ezlj$vrF0QqUk2Bg!^w?| z9DWloTtVsWc<~;%c&|f7Gw=p#;b-x}`zXB+uip>XA8^PR2F7pVg%4A@7B7OALm_xM zjAh`BaHccfESso>AIJ+Ir51h-FMN#B4Tyo^x)6rzaiEYqfPkl{h2NeFpQaXm3NCz> z(h3CZ0KiU%jAw;xvPp$a9<|A4N}uKr&V?_*<(C~YAqMvpe&j8D%_duH^0-Z&fK#5a z2ykGmKnWZeA4Tcix)cOUb?@}KEnkOdV!XHpI zyr2}q3(92n==c;IQjO;LjaqnGT;U(o!jlFH|FX$8o4_Rcj7^|Lc5{;#`68hrzeA?5 z2eIvxzJ#eENX>D`RF=Af(w8wc45`#1(^%?Gn>=TeT{d~%CNJ3JMK~3kSFEU*TK{tT zMWr<0L#Ya!l#N%bflpv=2^g~I2skqv(~hF_75XYm15bgXqoedyhfIeqKwsx~d(p9w zTE`(5!9xLk3p3V*qyS%X{^yV`92vM3B{(wh1(eQ!W4ci~lLi7< z=`J?e4QF;^a(5cY!Q>t^fZt?_dfMbA8py|FSju~u2BH{&C5u;Rpb#UlZ1Jj1UW3ED z`FG}`L6q+2579+1$`-*WI~({0N-*Q(sdDkZI+2nmV-y4(QK)Cl&g4fRv;81#016$!HDemLzLQE&?New41Kff~s12AdqP$w8ZZW|Plt0 zLKQyh7Qv%#5j^T%&Q#%1NJTJq7Qxtg1yl7M4Kzc#+bH=C>B3$5J*B_lod@G)5saHx zvYz=nc2@A`Dtf^ob6L`#$PR|jA{aieVztjd)m#b@8u3XG@0Fn+mfDQXU&_G+P>yI=)ir2yAst8=Ju7`OffZNQ9ey91xcoEiE zieQapA-jn01V#VS{4##Am_$OwaFX#2>|z(Lwm3lZtKmf$#fo7RTf{En{(wO7m;-d8*ifi-itZ%r4@_qT&*oUmGvNeyC#D4|Nl}hx)>2KpnXS~R~d7JCd_Kh`0)uu1owWR%Xd$*(jp4I^D?ek+8*dPOm;S1e_)-;!?wb(6Nd4T^j5 z$*Qdi}b~V^FY;*3^jmF--#Lw!y1%B4Td{p8LPpcaOyr% zxR3^Bpp9Tv@o$=cJ|CZp$3x}`4q46?j1qfp+b3=8PUxS^$DHCR0GaBLJ7J*6FX#7I z@kNj{!y$LEf#RQZMYw@Gp=cH=x)e~e9kPNc8i$H5hombUayL`-uTB1=`4f>RJhT?W zL+d?^XTrH5X{UBV`*o;&0U)k-$h}Pabkq*(8pW`#u@bbyTQ>QZk7C8Q*gl`_gOh(O zI2s+3meRaiu-s*ENIIt7N#T{19nxF8!uG)pJd+Q5#o)4A3@*E?;DN&zr1=*k3>GPh zVUc1rOAXQdOE48yBZ^@);y#v|L-S{0>IO*N=#Vum)u#EEV(Ml{eas>Es|}O189J%q zpTHV#1;~>Qc>o&T2W$5*j;umm&%%Z64tbF2QWu|EC7#xbpF=IXAoKGMc_@8VuvKDJ zuy{9ShT*&zhVzG6=9G<_jS{Ot#jj!R*CF>C4tYeEyJ?~U-^Sc~AopH}tkvaCtke|0 zhq>Q}+#fh(oi4XrQKrQoVQ!cwiea8uugTpw@oZdt0COLN+@CpQ19YW=Bd{xd2}xf$ zWFuQeN~w6`B%6MV3ciEf-#cWJuDIrjivNVUVX7&Hsis1gJE`KoV{SOYuo#Xoe3X%E zi{#)4!(uqXaI=nFVzsZt#}$|0WZ3W^|iu|u{p1^rP0OkgE2fjy~Hkg#t_byRQ!pSEbreGQ>Xb4G- z9P+GAL8AMWG(`o?Aa`?zY}fE@nq*)6R8Rt+3QFKp!46j3Vl3_yNIKOaJK>=wav5Jx zFKG)&?Hux)Ga{`=HcM*sj>zD2$lb{yyFh2O3hIQ<0VVJ`;CW3InkNRE68s)e(j9W2 z?T{BVRcM~LmrHtLalIgSZ->0dife|&oeN3*9I_j#K<4m)wdDLX=FJoRz2pK^H4t!v z9P$!V)d5uvg`{B)d0EFi$@n8s!AQtG$|0{X1-(!KtlyNt`pv5v1uc@g>jYFV5pqv* z$ZMLaw@B)n_%WaaJ_eM)$AH&$xfAz($xPG@uD2zyvh#*6cTz{3jkzy_+;bfArY?6< zn_r2!=R)qQ9P$>cxaddoA?X^2yv-htalx}>L0TiUOltjwsOkp5Epo^nrs`I-;Z2Zq zvqSbWRk&PQa$CBpq^@y0s#*%TI~?*3Q?(RT-3du|Ipkf|9Eq2qRIge&ZkF7GnqVp} zfvNaCrs+=9bRQ(Gamf2@#t)~nNwPnFFerg3x&)@^515WssAD}OZE(nkn$FQOsY&oP zssvu6O5inWA5(BYDuCCh5_paJNT(pFdp?D=*ao?ucF4y}!NaIvJ0$IJ$R`>FCnmMc zF78-R0&iU<@YeMyQ?Nd|0iIY&V45y@IU0pp>}Sn0k3R^OyiNnxq4XvV;4*c|+cbd7 z)Fpf2M_ll>goP`1QgGsjH73%M_xU<*$p7Fo%}F9D0zIk4w}g@NSGt)FtpPjO)`S@WvXP20w2*jFx;4FV=K<3A~KqiggLR zi#&*Y;XPm-O25&-MwI@reUt_s!w8&-LHT=m$-gv!E5)UR25_ag)DJ&0E8nr*y?kXS zD-H0c>(XE(R2p)~XY3&>p9XgF@=Ej4`qqhwzEw&Qnh($dhkOnV6L=AEv2k;pu zIhxzPLikM}-t9_Hgx?85=_L4dAe2r9N0{WUD1PgOmY&K#A(XbUeMK~Y3&*AHXy9Yi z+kplSqI5d^3=!`brSJxc>$jz_9`-F>?E*hJgjc)4&k$jeXTwhpp#(lsDUW(5Chq^z zUi|JWh4;r&cz^ts-F+|eu3y>@lFoC;ce>j*asQVNKr_S6lv3E4@;&P%KOwClG=NK` zrNe08A50rgg9N3KG-#o8Ar0CnjiEtYv@0D)gScW>I)Mg@@#-WREJJAu4IY8gG#bPe zuhNTX@EA;+NrQD!x`YNBqI4+@;yO_2Wi*KEDW#Xw;Hh}^N*ZjB(p5Bw%Os^&)8JW{ zb`1@7L+Lsi?1|F#G>8inrSP5cXEgMUG&lgS-b91=^{@068pP#-(%Wco3|_sR1}CC) z2Myv1K!6%AgEY4^b|;$hnTG`IlM9;Cq=QF@pL zZ$@b?4c?B@dK$bFrHwRrFG>|Oh{IIrW*U4L)3(sy29%zl!ObW=NrPKa+D3!Vp!5t4 zK8MnF8pQ84r8{ZxB~06u*6ms)o)Jr5z+MBcN~OCU@&g+q;3{le7!JQ<&c-$uzxl*C z@d|2r6|}tOkRRDCUQC0pBZ0SQa1Tm*Xz*Q>-l4${P8c_NT4dOC=>31~bL&Og>glpHO zKhaPwru{-g6s6y2D1y=-G~}T47Y(5sf9XFoR29?yqap0}Wj-1@nx~anG=$y0EJ#DR zfLoSBL%4cdmP%)n$BmdWl9nA0AB*jZGVs+Vc3FLJLTCQ+@S|bFM_rWS-5d9j??cN@C~F8V@nx_N z!O!+f;I0G^{OqJ0jDg^12j*Z51V1~v24f)j*$Fln1HsP@purdjes&HG#z6450wfD z10xX!3F5#>#6f~Muo7|3kL?Y^IFl^CM@+;yKX%Zvh;x4Id?^v<{Md=eBF_1-Gm%A{ z^JC{6i#X@Uj(!wz&W|1bDB_$SJG@cEIX|`q3*$_(8ne@rM4a}e(Xpl5$F8aVM-#-`LSarFwP{a zF+1Ey#5q59M3IPde(cyC5$F7xNq$TMyyV9uh)aGGF8MJD;*uYetR`~);1a|oKPEw3@?#RjB|j!XT-uM%A5G-^ z!6mpZ`SJOqiJU*U1lJ`$K7TZk^9Pr#CUXAZ62v7xCP7^CV-mzAKPEw3@?#RjrTv%$ zamkNK5SR9262v7xCP7@GF8MJD;*uYetR`~);1a|oKPEw3@?#RjB|j!XT=HWQ#3er_L0s}<62v7xCP7^C zV-mzAKPFjCGgG&&X{FnrB$&X18m;9InamkNK5SRRz1aZlaNf4L(m;`ajk4X@h{Fr1lmGcLe zARgz(C5XrQaS7saeq4fhoFA7U9_Pm;h{ySHDVF##Jh6v3r^+YreLu}1AaUjv+vS>5 zWj{Y@Q}OxBsdA7f#=R8y)|Z?rpVPd*^I(s*zsi^FS4|QH#(%^yTs2&cUH*oK7lv;1Yw<%pss?Ge7fLxaJdK|^QZ<)`=b;p);T0(5)9`MTA~bvur5FwWhEgG=1t=9$ zdIU^y-+%y(r4(i zV5_S2DBXop14>^+sUf8YFkfRzzeA}h%|98h!dZb`QEEx^2jJCKG=C&Yt!e%wlun`f zcc9dU=0A)QxWYV%QhS>J3Q8Sm{`V+#q6HR8ooRuC(pj_s&o!#rl@`>%wC=Q^9!foE z!AU6fqy-&N>P-vIM5!+==z~%}T5thM{b@ltN&{%Yc$5axf*B|crUlobG?Yf8D3#Ob zktmIzQBm$F8f}efqiOUkl*ZC%f0V}4=rEKf(&$AfO{UQ~C{3l&Yfzd_qqm?0=hfVf z(#15o9Hm(_x)P|Y6I_!0GY4izfk9jn@6{Yz!`Xowl_{>u%EuhhD zC@rMXorqmTqc3CHVj6u7rJHH=ZIo_>73$i<$|sjk8U>#*W|nsuJa)*i!L@y)W%5RF z{F&>9J>$8CVK&__Wy+BuzVQjOc1RSFQx$%$X|YCq*YYVN#}7MW@VH@P$|u+EGJgE% zsS{c!jRs;dC@DqoLSU~M6Uvnz-BzxmT7|K=-hS|yF+Jh;8i`}s@_p`c_dWz zPB<%R3^zs+by{^r)!QBNTh+V4xuz%l2G!sxQzyYm4}tdMhe43vbIRbMqq`2C(2MdNrNY#PDqOM zgc1jL9ybQKu)W=|m4T-KeNvP7NdW#r#P*FLu$hyb3c%6 z7U3fzTr0wLB3v)R4I03njxTx0?BHSv%C&l%rM7T|) zKP|#%MEGoq{_QF89Vzjh0{5H0}ipHGB-5n3V)B;^PGzF<-u^!q|7 z@tl;nof6MY;tk^#95bcNd%mzJm!e&K`64V3=@Ah|MHmwyY+qyZg0E17MIyaegeAVx z_&n(=gM3x^{N2u1)mII0?R?dJNAU1S-%(so4V>S7HAQ%|2y6Lj^Xtcm_^~3aBf{fE zc)SSfiv0CNyuOGx5b+a4*ieLxMA%q_O+?sKgv|uLxd>Z`u%)?XqQB0O7! zJw!du5n)de_7Y)l5%v+~^%e1RMc7Y-=ZUbt2+tSc01;jw!hu51AQ2xd!XY9YD#BqR zEEnN$5snbyND+<_;e{d`Ey6J(94o?cqTKN!oFLLCig1z$CyQ{32&ant(?oo_2rm-h z3=z&0;l(1nMBrwL_@yG8EyBx0I7j5WT!dGM^eaU;SEOGh;`2niT`l7CMR<(}uNC2S zBHscLUN6FhBD_I_i$r*%2p5a+CZXqM5#A!gTSa)A2$zWPb`dTW;T=NHG7&Bp;hiG9 zON1*#c((}e5#hZ;&q@)l65(nQ-Y3E}BD`OO4~XzV5k4gB_ppdRBJ{5n@pU3xFTxEX z+$h3LBCHVMqaxfa!pB6og@u8;NUra3-xII`vJysxOGtpQQ_nXH#bt?WMfLolciKre@Ie)x{(5ZA5&8Q zc(9bORI${*lGJ}Lq}Wf2A68Oj$)xLMkLl<+zaopF=}Z zK2Oc3lFF5&^4v&;4>PH%ilwL|mG4HXz?hUj>ZSRrDWqbOl;cLK(3q6B=2J-(Nm9jb zq)LoQ`OCams=8vSDw0%HH&WG%NqMuBN~*dfb%YzKBaKPWF=k*Z}( z%A2KBQne+iW86p`YfQ?UrH)iARY#IK&W+UZ=A`O*X}+5jQuQUN25zLl)zxrB_cqO_ zq#8<6joe6q*R3HbpO;4$->r(Jnn+Sj-AI80upueGr{+^hHJ7AXxRGjUPRi3$f=cQ{ zNvf3_sguk}d9u{wO7pdrq)v7tb&5GDPnJ?iohnJSaU*q_IVn$;dP1>OTS=;&8!6b< zVcO9>SxP0S9jHlcjbl zmg*`=b#o&H2cDQVpC?PHq|TP4dbp7~=P;9cS+P`4Nvf9{DLCNeuv+RBg;XC&s;?U< z*tca$>O3!xE-I=1lGOQbqz0Ii8kjw)L6X#9H&R2)NqOq%uPV(qRFWFzMylMLl&6=` z*A-I3C8-f^q(+*P@?@zu6jGxksSDjmjXunz-c(49k)+1Dks4=C%F`S2TMDW1lGFq@ zQm`GsV3Jx_h8kh(&Wy3&o*Tys+MyjV&lb+sfl z-;LBY=A=B0=qjmeC8_J&NWnI5)4T6~KE{i~4LB1t{&M(PQ3QeHZ`KcJA>DoH)* zM(QbZQeG_O&rwKilcb(@BlV0qDNmMCNj)n`ZFeKJ<1mxTQ!KSpl6uaK)ULx!Dy)!t zUXpsjjns?gq+asyIP5Q0NWCmcz2Zg+PCYXn5W#xps#GEMrX=;2 z8!0#i?yy=)^`F`!N$qtb1?S8iR#K{~(z}w>dv2uQxVpniN_AEGK$7~XXAvs=CtAKb55RyOBC@m`NR_kUA(yedb2$^TSN4hC=EKN$N{CQePcr zQZ*G)UrSQoxREmV9rk+~(T`S0eJ4qM??&o}!)&Qq3aK9@sh`|P{d|~7)mBLTB1!$~ zM(Q_nQh#`PN;pO#^`|8Dmm8_S%}IIcQ^zW#{*k2qbtCnkIVn$;s*_C0B5_id&xMrb zHz(!EQpYKzEJ-ThMk;7b%F6N5r_NGH*^*SQ8>u{VQq&8nnF^_VNvgn&6r8qc_>|zu zC(nPKLMkdr#oS22!JmettRgR#Qb`p{QYCJr;0#hzQdP1iRaKIz=0>WzIjJMPkXoQL z-%*lO4L4FX%}LerK#I&&NJ-ye%guL~{d&D=^LZk5l|oAT4qI-%!|W%tO-XtA2uZdp zq@?e#<>ougeo)(#R3k6Vw?iS-SoWzVZhfk$F)44m))ZeCtC=L#+>KNVb5dR$nu$uP zr6hHt8!0%n*s%G0o_4J%4mj3Hl2mIqQYV{}@?nE~ekR-0QfGM~rFPHLc+KJ~R?sdFW%er}}B zGbUx7?}e1|xz-vWNnPMZYM?PGzb8wnqy|Y+gWX6CIn1PfRGM$7BsI*9RJl1RFAmK_ zB_%y#EjN!?_6zrhgQvG~>L=8?TQTmkhh_&22Vy#Me#Cm$0`Bfn$Jz_04 zk67z4d&Clzl=O(T+&p3}bB|bSwwGx}C3Tq`JmLTmkfg43BQ@8Y zloyX!IJh$Dv=ZwoNot-OsjJONdGSjie<-BpOH$Xkk-FBHl&6(E@~1-TI!S7Q8>#D! zNqO2`n?h=#Bz1!usYS-5ti@jLKGjk;Nm4hvk-Ej0l(z*AmDH`0)NO90mKc+=Zuej* zpW>=yEtRD1a3i(Mn3T7@?LnoZFPEh5bR%__IVmrXEuzsq`bU1_*7E&OHvQGk%HgKG<|XK^5mI9>LE$$VK-8b zn3M9!9aq&$sN zs-+&2q_((`GCz{e(*j3|rJj(awz`pe(%e#>wr8rAdP3&qz|w zx{=y$Ov>9?L5laHwL_BH=|&2EkJE7Q^hQeYUbJ>eQqQ}Qf}aLGtfUn0Me9XLYPTCH z_?6PbN~)^Tr=**%<>sbqnY-zFYd*z$(UNYumYbWdW$vcyjg;cOXh}C+%gs&KGI!JU zMoKxU%aU%omYbWdW$vcyjnt7!pOS96mYbWdW$vcyjg;c&X-PL-%gs&Ks)U=a7pE?t z;^%2eH(krkP1iDa)AeR4#n01{Zn~D6o32#}H(gJbQv5tE>85MBx#?QwZo1wqrTBST z(oNTLbJMlV-E^(5y}Y*9RqnoTTlV6|F|_D{N%Y|^Z7l!_qA6n^QHe*1Y-Jdm(kXLP{Qn9B?}fIbePma=;U*D-=@F z^*i9^`W-NL{r1*;S1P3BVaNfu!;k~!har0-rR*>Y$it8WZigWU%nw8MMoQUX6p)7@ z2iy)r4wxT??2XhsrTOGx$N{&*kOSt2A$ucrwL(fBh8%D^3^`za7_v7~$`30A`pI$X zJhySGzxg=jY4E&8vDEpJ)Brb97aV3%*D9n2N>YQ|NDV&Bq?A3QfgzIAP&ZP;4l^lb zduE_qk{a$tYQ$kCb-mJjBPFR(Zlo?WCgu0ExUZ5LElG`WBQ@5Tl(!*ap<=0VlGJ!N zQWFj{sT&kh6D6rhZlop~lk(PlDyb=w)KoW8(~L=ZYraK_rKU?#7rBv|VNPnMmnY99 z3MuK29&qzV519L-2fQrA`j1ygNq_W!n?HKM+#fw~r3Xv-m9JQVxw84La%;YM=FKAl)UnM2ItOIUd)&X-b>%eW< zTS}fg9B?~#IADJ6aA27iQp%UnfOKdMxH&Wj%pIC7PbW(#pVk7>p*i5@&>S##X!f+n z!LO2%4$T2KhvtB}L$jyt1SzDXLvz5*p*djg(EPt3B^{arZVt@>bBE@@!(KYN+I)}5 z!E>$K;JMCt@U%Rg>!OlcFG+21Bel_(RG`9(rJ5@v`lFK6W;argnUi|l3#pb0De0yg zaC6fQRKiWy%hz_lN=mxv2Hf0q1LkhJfoHu~>O{p-+hz0ZaBIGu=FPXu3#pS7QqN0L zFSwC<(VUd0lVg_LwY4Y)a<2F#sLy^(68kdn@)0XOH< zfVuOjH&Ul5r1r=@wb!jry>poRR9l6VbUqEZIiCj1olgTFdb#^l2b_JSJ?D zed>i&2gOqRC8+~$qz;;s`rHetjtVL1QWk8Y%XGAHHb{3*ZcRwBI@18&}n0dw!gz@J|FluGI^X{o>6EcK7MrTzz` z{*|Qub0HNZ|CgkKzBs9%-;I=IOe*MQ+mqU-f+0yN$BmS2PAbn!pE^7F?h8tvyr7#; zUeMep&s#@VNlBl)pqo!#(A+04=yKywg62+L!PZ_Z)kh(9vTVLn+?wxH^X5Cv3#q;eskV|-J2z784>PHA6;jfvE9mCb z6*PD13ZCJ`QvDQCou#GDbhFf1=9cpG=yJY7s*5Dm)s0j)b5dt}vD5&CR1Zn&95+%u z%}Mq4Lh1sAlyp@Jy16O^&0Up(=XoJDP$AV{TIzf^OARo$lovN${~(2wJf$+|c1mT? z{FKVzFfW!GtdNopI6*fDoS?Y_PH>bLQbQC{7s}=v?bdu_%$skV7g9qNQsX7532vk& znv2xG1g619^!3VsM znxv3=P+ICCH%mQiZmG3iNGW@!W6K@qZlpdiC$-N@pSo0OK6xls(CtvH zp!uO#-Ylh(l80gi-44YHnjebg%>hRxB@e|4x*duYG(Qw8_@$TTQ?~pDzmk3GYqvi2 zjd`E?&I>7JBWqBeKNWO4e=2Bx{#4M@Laa(ko<9|IJAW!@e*ToVZ^$Ys>A?|n^WX@Y zdvJLBhOCm3=T8OQ&YudJpFid88?s7Do<9|IJAW!@e*ToVZ^$aC|KfLFh`8K+A)oo( z=jj`=LMkNBp9;C1KNT`Re<~F6G9)P5$U`~OQns6=a*ZwJ=}0=iN-9s13cHb_=A=9| zpGqoUk}7Z`6)`8}$@N<$6_uo7ZloMzQXx;jU8|e|5R$)L8*=;Y+K~Bg*9JX34y&Zf zq@}93S*ohBrMx{)sidT@OUTXFC1mdF5<1Gukf0n*5UL?9RnyHUw*nIHA!&CgRMCB1?|ZeBqlbFZL~C$Avo433cW3JSS-1%=GL zg1nJZ&fo~iqn<);M?Hnik9zX<=%Sp#5t6_18*=-V-;nvQ{CcyLO6oK@qPKM$(c2l1 z=-x;v2ZMy#OHv&&lk$Z+hE5m9v34XD{6|Pm-D>qWhq|l<(O-2n{0k5H@NceMK7lNu zuAy#_#-vW<{$-C4EADg_4tqAN~VYb?a>oov+tYf;lA1Q0QFX=HuLgK8P@01N15fWubDgUgfYX zRF;Q^i;5@OryjJ=7`@IK*o3m?IiV4(=3uX!(8%QW83FAxlG|Zyh7u;3mM~71Fh042 zaZti|UczL(S*Ga=NN+q|K)mrlEi~Tr45TmC>r6Kb?-1N97bTO1t`WM3mvBjF7O|?X zge*Ns5dMQ+UH7OXssuKN=IYC+O9CvDG<|Mpwyza8eQxM7xJlT(l^dD^r3FOCzLeJ~ z-wMr!QZIwQIlSbnLi0qEpA9ORQoC-w`VBURu6HZgmId3PtDz9q=5}a4s~nfEAs0e@ z*xd;QUJZrKhrerhfeS-7hytgO9Qcor0#;y^K$T#X(B{zX`eM((oU+)0(4x>%UTi_= z#?T$S*n-evRxHyPx(Os!nS#*GATfg$gl+*T#7R{Ng%$y!8{uy;{M`h9H^bj8oZvEj z2U?*gmfnGuGke54&~mQw?$AA=dCwQkn}>DY9C|>nwi)M~C+nLRS}Dw&7h(l4Gv|d? zfyC;Y7g`Ncyru8ug~tK_i9+TmWQ#%u){`I9*X{?0Zw9WXE%`?? zaD8pbKbwIYXiNUp419tX{JR;rp%(n78Mu)a{I?mnu@?NV8MuiKoI}jOO|@XZ8Mv9Q zopSwHdgFcF;e?tdpFh1-CH+_tb*hnt^+1!R^h! zy|v(uX5c>ByQ-5JxUaV4&Sv0qwcxYN!2Pt~u4dr#wBYV$;Qm^04>R!jT5wM@@Bl5i zw;A{XEx4~4c%ZhE^fLnw(w5xc3_Ms19$*F@q6H5$0}s`L2b+P1X~9Fyz~x$Sxfyu4 z7CgcXJVFZ|Wd*J#0yn1Qd=g4daWuhSZAgPFk=Xu+Gzz}IWRkD7rOYQc}0fp5@) zA2$Op(t@{|fp64;pE3h4)`Fil1K*_WB+r_4lAE>Q9cJKLwBYB=z_)6_&zpg7(}G_# z1255nUor#Vt_8nh241QKzh(x$L)%H-FzY1CwBWbQz{|DZJ!arLwcvNmz;|iE@0o#D zXu%(tf$!FW_nCq3(SkoV1K+FdB%hjfl9gKU0W|X#4gbW_^3Dw&cIeN?xZ0 z|6>MTuLb{S2Hv0p+deb!MlIMf18>rTgJ$3gEjY&v{HPY3YX;t|1&7VRk7>dAX5cMa zaKs2~NA-S8A9(j;vSWIc`?65!=v984g~~#^Naw({-&m!uc8UX+U94w$&{$=fPncbz zSNVAsDogb$zsy2qnO^1BS*Wa{SNUxgDy!;S{Ci`S=`CJO&+BUC;7oW0mPF zkI=LH)mUXZ%OmwFf6qeYQF@hsW}&i%Ugh6esH~}1`EM2~kJhTpAz7%brB~_CLS=1j zzsw03t4!~g$LLjpU$@SmS?8XcHUuB5S7~RVvX0)CdB!T!@1EoA*ASxlsI(?YerEkt|f!)2obSp|ZYSWnmU78|YOQXQA>0y~@%oR5sMBtdfPwMtYUi zvQXJrfA<_=t}^Y0Y@%0rR2C|m>Q&avLS-|(%34{dY_3;%Ocp9z=vCIqLS;+6%Hy+8 zd7@rry)0C=(s%U+<|@<1%aimf8)l)hwO(c8EL5JXSJ^ZRm8a-cHqS!ksd|+yvrySa zud-DZDo@j^Y@LP5w)#hdQ;b!nKN_^tt89~n%JzDdZL?6>L9eoX7AiaHRd&om<>~s7 zsFShE^hefCdX}AyRi?N28G4mxWudaOUS-!TRGz6<**y!DXX#b;$U_hsO+j& z**goB-SjH^W}&jXzN_~$R+-+_&(^c-Z>%!CtM|~W9FT>|bMz_)W}&jDUgh8{RQA%V z9GZp7-g=egS*YxzS2-dJm3{RpM`fY%T)oQCS*Yx%S2;EdmFMYIj?Y46f4$0yS*Sc; zuX1u0DhKFQPR&B)1^U5ly0Oai!EK;k<%}#;4$@crVq=x*c9HLh_I}4RV z^(yCNp>mjBjD$`qhieBYCS*V<*}r|TDW z))=cy|B`Z%p5+6^D$`lc(5rkX3zakVDj&&0<;8lH>#|UJiN1L@7^_Tgo>_X8o3c=O zsb1xyS*VQ%m$h05#nUHuJX zmFeT<0=>$&vQT-wUge%FR4&x3d?yQ)H|SNqmxanjdX*n!q4Gw(%6(a=T&!35aTY3X z(s%Vwja8_xFEqaxoWufv`y~;1LP)=)EPpdrnSS@&slUhnFjkp9QQW0x`IoWEbe1dh zD*wqs<=uLf|7D@_9<55-mxaoE^(w6_RIb#k3}&Hnm0o2|7AjZkRpw@)@;<%Fa26`p z=vC%tq4Ivc%7}NBq_zEkJd`kgr2IzvA%i1>;RM4|?1werwMJljoxNW8r`}3(2|LcZ z(|Y@{3j2v}5UjVKUT^PQZ@*|&*srX&-z>7^})vZ~s@3 zYgOdtZp#f<~sB9+^hkh-3HJ3C$sLT5d5pnP2TBPoeH)ZxE+Y zcd|FKQ>eM~Ypx%XqmTe6Oxx>nOT<~fo;c?orRQw#)p4Gl#<_-;^V$3?$v9_zkZ^8k z8h6r0w}U75XrmoGq^%ZQ+YH=J3qIBi++GVl&J5f^3$AMh?vz_Ey?5s}NHkUQuQ{Zg z*GW2S!41v8XKKNX&A?}A!A;G;U9{llX5g+`a7#0AH!Zl88Mu2c9FU!IYWl|9Qw+d( z@OEx%xQEWsDrr+WB|Wv(XltMZ81&MD+na%VYr!4Oz+BO%b8iTY-&NEkXE>vfTR!N2*oKXf+!GtLps#S79<(Lf9D#`FWHJO-{Yn2SC9FyT% zB^iF+M#qG8^ATDl!_Ap6B_p*;Mw%;OturcjlxD6RZJ-3eqqX117D{#*u!Sv1==PvS$j&lajw@gSZ~H)p|Nk zORg{jFVccHn}KiCg14A~7i+;!n1OH7f}b=4->e01GXvkE1wUg3zE#`K{D;gA`GaWW zHf_l}%}QRPEqRw2_;xM$1vBtcEtvn-+M(-whZg*@S;@<^;8)GS%eCOw&A@kRo9az7 z@Lk%H-!=oU(1Mc|h22&f@7B_O*R14wwBYy6!1rpwADV$zYQZ0wfmdn4pO}GHYr*@? z!1rmv2hG51wBXOp!1rsxUz&j*(1O1<13#z*e`^MQNDKbn4E(Sb{G%E85iR&1ZTRwP9%fL2J0^luLaGn|Xaox<3N6o-bXu$<$;H_G4)C~Njw$6?j_$e(o>9eof zY`INKyTq*Ir?ucRGw?H7a8)z#v)XQ2-2j}_O}A^699cOfJG4q_R8GlGtu2l=Py#p1 zb6Rk1Gw?1g_*gUW^IGt6X5bgJ;JRku7q#H}X5igg@Cjz%m$cwUX5g2#;3j6^SG3?} zX5d$~gG386@N3#Wexd<5X>H+kErXK`lt3SULtFQg&A@MJ!Ka#m-_p`P%?$ju7TnGZ zyhjV}UpsBNlq%)tA! zCHFD|f20NXF#~_B1)pmM{zO~n^UT1XYQg85f%j`^Utk74pal;y10U4V9%2UmObZ@n z2L4K{!R;?Vg~+R zJJn7z04F`z{-AB|iwu;&RQscr{!BCQPuh|%F$4dsE%{P2@Gn~MWoF=CwcyLmz`tq1 zSDJx;*L|+bGxSa<_21fVYx2oD=?U>4Ed!HJ*IFe_ztjJr-qw6d3D(cy4-Ucpt)tq%R=iE{+8d5Vi z&78SH&Dkh(PEeatvsRfir>Jd_IVTwJ#o*T5qJCDrpvvX6%%F;TDK$LZC9kTrede6$ zhU=0!b4m?6XU>^!xN|aRPEp%EbIvV2`vvL+)XMeCoITBC16}f}TF=j%b4zLuF3+4d zrD{Vm=S;WQ=**c@)Q-%Yb4$-{c~4a2ZL7$8wm#c%q20XTTWPHq8!l?V-5s(vu7nvjtnDA~ z8YlrU)jlY`ZwAiSfL5ty8k_oD{tKv0HR|^3 z@=V>0q3zF+NZrRtlYa1^_1mV<_Il5*CZT%I!a*%Q$XQCNLtd-uD)={_Sbvk!9OCbg zllMm^7n2%d>Tp6YWh`Rp*Q|FMMkUS{hjxZ z*w*v_>|un5WHNSr*n-E3y6eMs^@?z~B3zJo$cRphPRlrLhnsw@6)%#f6B9Xj*VT&aD-`KqW#J0(?VFKH;aoc3R1sS4nDGG_vXq9l)a5Yhv zD@9#ScdN_v=*1byiMmvTkJgZ!o<#EWaCJfQ^zab`_eq|vkc13TxD+Q@D_k2%`ey*i z5Fx&2>sI9b+_ip1xWVRd!}`|NaN~+_^VKA`TZ4*ltEb@MXIr>+^r+-#ZgO?BU1sX1 z)~yJiRt>1@aG4)G!>1-%E(Rr>nYjc9N@!P2%|13`_Ppc=KTw?cc{1D)6nEm(?Y$s& zR*G=zOyMp{*675HY*-n2Kc5t+65s~!RwW=U9zH2pCCF`_TLhbY6^${nz(wU+7?Ogd zh?Iuw_@?@<^34y|5ra+#68H~BAq$2bUrzXV0{_(Mm=kXNpKmk?#uMWg{v$bRqCY3M z2r^e)4L1RPa=x1Py;C7pNhaosrrKV=ANV&O>P%TbY`!NYR$oL&jBx z+o}|8X*C^R8E$J*w54&IP#JCyr)W##HnB3?)~0An<2I=>+%}|WOXD`VGTJsBO52pm zXnXun+NM@U+f#?qHZ43|jBnq-*(vOe>oIsDn;{;~lAn#XM>DUfhGzk2w(_XB-DRp( z9~HMpyJmdm%45sX@x^8&)3*|$DwR~ ztzz>X#x~z!Z1WwJviXk6+59^1Hcu|8N_c^^`Sr;*UjR10p4)t3_y%lUUt{R!>;?EI z=(3BeKNh~tPv9*8BDcePFngI&O!{1OT;?vfG`Vv=7tOqa&);~KsfuId%8Z)T8|1m@ zq>MSk9Tg5SGCyk29Zf1h`t;=4Z?&egU)pnzuhwMhXjJwgULv^EF zN;leN-i>w{cOy_*nQpWzLpR!&ywD%?tGP|QQGi%tV`pS0ZAE?_sW~;Q|~tu zmK(pB_RE{;K=RGBA4)pFZ>EEWH`7;{Zl>=t-%QC=3~r|HwcY&2 zGVcB_nRow}jJy9!(L;29^^p)bn09~2YTErFt7-R#td;5hFJN4)GL03uKpm8~YKtdp#|T+F<$_AfiVn)yG13j5TzUgqOB%d(vcYSC!E1AaA0rL^mKai2$RPzj z@1z<00V${hVbOl8_=fG;LVZ^ z-V_Ypj2pbUG}@cFw>Xr&4_0ajPcFRyZ7J=2 zVzRwkg1t}V_HGrow{Hz8;BRwd*HduyqNl-MyQCS>xu}9@gUk;JodDQ5BQW!h4GKTfAm?x3W@j#<3oP8bmNF(YRw*Sv zdP3%$tdztfJ^#fAUp{H>EAX}P(s{(liUdVB9vNhLv_>LdG9-{d~k2l`ZB-lxu`{lwy9J`6wXv-;xo^a5zbK?x5&hz()R zxLxWoS6n)*p7g}cW$w`6`%H(!|C48f(&$B*O*u-<>Ecw{v4W1_gSwAYCC8Kc(p~oi z;{T7-Cw}nrNI2yNWjvTe`{&qu+5b}%?6W;ma|s^o*BQDiBt>39U3Sqm?EbSg9;Vt}rxHS@i#L_Z?tT6-%S%%m#LMc4p4( z?6NdD50XLRE+`^VKmDMSx^@VV!{CCgkG-^v!ZfE zUUi>Tb>?)>EZ6^k?|XcXbGGMnb#+yBb#--h^$6*k!T$j=RU%}XUlOnY%D^`*&wNGVi!>INd+m(sX&U1wJ7M0N6>X2!&CA8bA(B7U%6t&Ra znUc^}iE6i`NXIHFSB*3H|F24I6(Y5FIZ`{{k=g~0)ZT4L?RGz@U6_m=i_6Q7r75wa zYU=E$`u{#VsuFfAbJ(%mVaE!G9V=DrSmm%|nl(C}rlVtZB0F-|l;y4|$z7YMW-P6~ zE+vjsi)!;y93a(HF^)b1q=VK=JGb0wy$Mm_ruO$y>;Af_8sW%#ha(#VN6rPc{-EGU zF{t&87Dt};8z7r(j%bg=EWow@8I&UrOF6Qca>O;R?CH)tfLV_lS4pQi_pp;*-O;;z z%6iP{+vB2dfS_MLo^bln4f?@Nud1RSxm*3Q)WA-4&R6L*M3ZJrRaHOmPs+e=PX&Cf zWZ+92@Poiu;Mh0Wn!6J$kSYz ze#FKbY@hpl?jFdh3ZesKyD`u+mnElxa$sW%h& zn6Vjs%-#XP`p8y42OR7+S=dcVhFx=GuvAsp*u6x2Y0ZuC(i(n8UiIdv6O)`yOpDVAk z6q^uH>=xBNJH?(g$vuL5c0{!I=|9!8&zzq93-|0Z=-IzSOP`k`^hrM#iJIS$(cFJK zp3wqp#`qMbqc4fVzfMfTip`U+p~|W{w8I8V+898IiWr|`{_!&2~OISxzd z*9jV#L*`RQoqsA*=SNSS)3_y;`<=t-?=h#p15ST0IQ@gen^sz`*x=AiuXQ55CmZtJ zCQ9$g3TBEOj-MnOT9b|8Qbq|U^e@tiibyiz9G+}6mN((&95$0_2-fME%{q9}{Da<3 zJxEqZErD~`36jmlL9$S6KmnhcelO3wI?Xdk6O7J39A)M|ug)+UNa>ciOEUvC*Esi2 ztjqos$R9s-2{&Qe)6ah#3I7XA_~GvLNTUK=IeF?G zGhtgD3yHJ$VBWBhjyeX#1{6R`p@7pd|LJG8YK5N2GTgH!p)}Mp_=C5X{!jHR!)941 z6Zb3wdX_0#s*t>Aua-j?Y0t87&$2{&+5c3}a-5z8anEv~XF<_YZYX3~uXJG=ikJ&i zp7y{oWp6OrLNDEgX?DK5e{L>JZ$Q%Mw=fMEPJ7>h#^ToU9#{wq4KJF6pdQ|2!;(-Q zHllAdilp=v8@NybXLiwVRGs@ETbehj!qvL^P~k?SO%f{Hh^Sy?X(1a#p#p~r)R_?~ zblZa-MYzi)k;pe8@P5vWP$dVvhpCla@D5YwChg8#)SfR>tH|1`=F{HINrmX5(?1+!Vh)m9lC@XYY0o1b_1*St@kj)J zD+2E;9&s7?(^3I%CWVo^(n1X#?s%|o>~hD(K2f-DPlkOH8Te+YfWIRd_~tV3EmHx1 zXEN}o%V^&^724mG4160I_;#s)$GLWVC8xau-lJHGUGUC8Sm;qKiIR%5@%Sp14z^b; z?IOtY2U*nub{acrLNR@@%GQSzXCa8L3v~i~eY}>7RXdPmLRzc}r53r!%+zn8&WTC3 zL>e+cqKiKgON?65xh_bMSYlL^ze$#ni;dCJH+g84n>sDjO`fC*aPA?)xrY?K7iYz( z7J~B@R zFV+X>O9EN?;=slF5}^`!=D1y2W~e7@rle^PX;nk#z-CH7AE#x7dO=x+K3J<2>J4R( zIW92PUNyp#|Ee+6#~yjYRUyQtEDUZBmsgAt9@{!liWiz%i zm!~}PmZv=OmZd)O&R32+iMCj-N*P16#d383F>+ZiSB^ZPEixG#Flq91Xduf(AvYCR z1vk;6Tw5$RCP+s%)fUSQc|voN3PoS8I=_jt3W1oWsx6k4Q(L5YwM8Hts1c~`XpQw+ z=KqG);JT&)PC|*piV}WM=$vJsbC!Y5Sq?g98R(qlfnEBtw3u$@mg^5{f$N+L9Gx@B z(K&-1oil{%oIq{RLqnmgLLe9P(1lP2lX+S#TMxmLL=O!skK^|qWFGlBenS^I&c!)q z_A9+NNa@Am)GA4Oq2H@ma0YQE3wTo=EFb&~PSd)XPeYetZF8^c`~w-e_bQyg+(ADMeqSa9vaJT9ZV) zLZitZ#2SUen)<}oC=@11egeqKqohS{0GWiZQKd#D623+eB`%flHO4F{xv7M&Q5*`S z)5w~rwpjUz-n(7+aPc#>$dai=cgs3j2b@s5a3z#={#M0|n}@oUPXH}6lT zH?Oq^j9$weFxq!8N+$Veowce{Cq!?qRqT6s_2y(e%GMeKr;X2(*)P2muCm&aSzwMJ20Uc<&mK+9%wy0 zSy)3e%L6T0#?H{J@<2*3;|l1Vt5^f{z=dBI7z@29N~k`VN&I=KhKs z#V{j@%b}8dU(!^`Rw6H`KNkynsgW-ibe;gdyIYZ1E2Ty=WyR3tiK)Z%QpJjpYUom< zy&OETK}wAp(l@y)BQ%dMP|VQL(5-%b{5NWSD3hmyNsx^hv4DLX4zuRdN<2P5OY|L3}PK6M42ya zmm$A7jjnEY8LiYUaK8I+Wmn2G;4YPSfDEghihxZjtvgg!9bb)@>nWOtP~W9U+8?}! za#9gSLss&;6gYEREnUA>zd@f1-n;$!JNo;tw>I!|Ic7<^<;k<0d404@=O%zFA2S{A8?>n8rG29ojg(RKH5!nWpKbX$|#V>C5z;>1Fzk^l$WCVv3uf1%WDAp@pz; zPuH)}s)rWA!aYrYAIcU(S*E@h7V}G>EF-N4lr4p_thAO;whYQDq^*LoYzKY1^SSw#aItgEPHJ?8XPnW0gFc%qrPvTP1os z-~&Gha2&?!!@{`mjMe8<_i^x?p)w=kIh9$$Z5h)@ptj6&syT+}sOMB36Z)nqO>W{c z&P|AP&ml@OKb27e;NH0@(_Nm2FrWj8Uy+S>YWvVEtP^mZKrc8`` zA-eiVTXgl2p&h2^LRo@p-QkGt&Qlj%vt3(scR8Z_3>Mv8AiB>8(S0^W(cL2x-52Dd zi&05IbPqV98?Y4NUXZt!{S@JDm7(QPgv3X&Tcy*8-Mm|MOA^s(yH%2z;G@{>%7wPq zmJ4lf=tWDV?M;-67ah5H<<#ZEY}b~HR~@-Hh~?r{kc)#tE?!GfE)L7&;$691U{q3& zi}!4~$XsbI+tZIImhF3t0dhSdTej~}q!RKh+o@LCt6HIYmhB&6V|uUALV+fIQ+}L- zE&%o_a`$l09a({YoYD$>pCVO}&kFoVYAf)4A{5KtA5Xiq&uAnk6JOIvR^a=TQh>>; zyxN1eAX$Mc@8ckvWM9fF@V$ziV4oHEXQ{2g_Zu-K4bckx^VC-0`;B@^h_V&JLB; z;&|X>nvoWR6>`6{%hIl(E9AatJ#2@s{QtZ{-UBP-y?QHsU*KhZZ{Rn5fBL2RzVtiv zJ?Y!^{mu%xFRXI^Emp`KV1@jJSRrqKvM%0^zY;6t7?gd@SIB`AQ1*>jA%i<0 z^sQJS_l2^f<_bCeh&^4W9|^s0PS;SDV8*@gOxNF?`gCpf!=A4BnH>I)g?_-(HJr?W z0v>YzqcdHrSIED~rt3fbrfYMBya!gu7@ZWR?Bn*7t(~+?x!s^iPxzT~FDSf@K``Y) zC;e+FjslVe#|wshyPb|+$8hOS02Sva`$3ojqa5kS|G)uVYk_3q?3& z3x$3+obKaG)BeC-U;F-m>KvZOz9(kY0aaWQwa2I-cR-PQh&qQ4q&yuQP>D7Pe>|X? zw#dW*R|4HBpL-Le)VvSJT!r?xrBv@94qL0x{veRl!3RItQW`mRDaH5ju(07sX%tJT z0a6+jQks{dloraQw2E9xF)ArYX;lZ6eW1DmFt`?il)~PXEH?R7#T9N;a$Z%LKZMJ# z8iUHgWPDM@LYv9jLdmvwxZ0_+6}QB~)g89-l<#(4e<(J>knA0lPfeSafs3^Wk8suB z7AK0rwMlHuLB&PJIgq$ATsITv7}pOf#ueld55ImZpu>s)iea zB?d!Mf7_OFc=C7BhZ{Mv_m&wbtuObJz1I|*f1(MvFOR}D+Wrf>7S%&tbRx8{V%F^|wP}UC0D(IDsqmP z4&)SyYi1IwCR~y9QSd(9y8S6J>l?~g78H^_)ew@(YDwGg(ry$IqTFXO0#uq z`4;Z%Oq6HiiLx_HlxK^HvWv|+ZNHWHVv!%~-Z0LV>UY7qZjk%pCd`{R6mG@@-n^kO zo)b4X-Vg*fyST_9<{}v}yPrBSaZ4=R!y#r*Ow1lY%$|an=h(#5FSo|Q5kF$SX>?H& z6GG6#=VD^Msj@q&qET~R3Zv#tqouU@1kSvvO4ex(o2#^`+6+2uW}L#XdD9s-Gho>C z6T@Z{44eMeuyK4K+7TJ2&p&leo2}c!W`M)#ftb?+fYSp7r!R0geE>E)xqaE$kJE>e z4I9vS#9$ok%Rjn1en{!nl~3@86e*Rdy?96!nM0Nfhsra`52@0#o3eDKcCOaXk)`Hb z1@}|P5@f-(Z8;H6gYx?wa>S`0h|>@uPG^8P4K>9{?`$g$c#>%03r}5~%+_sj8s>=8 zMOd7MfjC_x#A&!APVa)r$i=CzpEw;(CQg@#T128QOF^OztL~mun2d*0c3m7+9TVX# zbP;lkPMjT9?1Cm}sl&;{kWcTnsb@~_Vx3S<(+-K(VMn~Ub#=KAFK%6pu*9pcBVK)F z;&p|?bfwkwmLgD7OuZwK{=dqX+tg}$%aBK*0%fVPdCQn6oh*3N%qd$<-tqdDLr`ut zjdrZ2F{xWkSD*TLHCwmG>sV*Jj>F@1ER5H2V!V!bSl8B?=pj!zEY>{kg}0N9*J}{# zCML4Z$%Bi=#oNh59or3W8)Ky0`$uiww+Z)nw)ZrR+q^v6JNIN+`NhSPMB7e2b?%w1 z+uWPtaF3skaGXO^fO~K*0-QtB9PT{_$i6YMknoV<7h&=R-QaGWW$dl<*#}%e@gmlPN_47 zKK72G@DqHX+hfUj?-P+q!%8a0$69!+?|Vm#O3*BRZ=0hyLNXG3sybmqDDbJmyGWyX zKUGBZgtr6!PZ>4HKGLU(&;YT&(k-FFwNeVx3#>jhs>|Qxk;M*~Kc(c`0&}kcE@+;d z;z@0<_5yr=pdHnI0Izrpy|dmGLV?Z*^bPd44GO)cmUB|8tuQFkDu7cOR_Odnn>8tz z5^(UR9Hh> zz#7^qtf3#EY!{SO(B6ZxXP_)o?+RtlLRq%n49cE^vaA4j{KI8X77TQNvfWUY!>3i- zlFFDCUaoa=%;F&2PXz_sEPmdeivyQJv@1Ul1UF9l&Bc3Dn2S~S-150N*b|05IZpa{ z%5(AOUOnP77w=DTENjvUJ}x9|E8F20n~%Bv>T{4- z^Qu_Bhs34yMUbjA*iNq+ehH*1pm8aE8Oky=E~T$PS-Qrh^i?P`rSu?_@jawYw)E+p zWYYJVEqy__3KecA)Usaim%cYrkiM@J8TL)-GZ%b^pozEe)c%#i>%`5B@H_B%kJgwe z)wk4y`AW3{;P%{Pfc*i5-Af8vn5Xn*`6*~>%YH?r6j~P9VxaYptm8WH1%G`~68@|t z{6$Il8{E#3LLJ zBFDI^GqHXxl7?ZsPNAx4 z7Mt%Bz7)azLzu4LDRhcOS9>Y;mux9U&vkvLNclr^A$+GeE*5kJ@4E!L{%z7V{2m|9 z86$`h`n`fs)TaDiv0)ivW&pAtTdKZ6^>F2O&gO2sYBDZrteALAB<iW-8dlk!;&8j^uE=IIXId6$x^?I4x7F70KmxaT=sujfA*eoCaxE zBVleAr|*Zd2$W@~KLKS1lx1Zcg|aA=1vB1;vOFlu$=nBJF(?aVJ`QF1PzH|DtQTyX zI_m|AO&uw)ZR+S6Ess}<3jP zwCV4*=9=BZg5MN*k*Fo~n`-7H&fDLTxSE4p(bjT%Y1ynf8_IIFmPG1;R|$TvkJa{X z3J+J19Gd@4F_(ymt-eX`21J^U8C9fOo^Q@Y$Te5T6ut%S^iIaiV+sqHGV@rHY1+fg zV+#K|W#%zeU_N2yG1VN~wITEta$7pwEQvJ6d^@Iy#1q0;Zb>*6)iz35Mb~-o>h1DZ zxsgM;8r60xUZ>rX$2^Ght+4hEk@Gyq3_}V#!8rKM2&ew?uL@zL3-w>U=2@hvX?I4N z114Xr#6ub z<9Bg^CGyCaD_7{e7n@CY=o}0f?_S{4|q~^K#OEGVuZvW-gGd>G<>L)#+ z8hjuy;AFC#A(1m=&JZesClt{($i;DjF0OzxzDsf2$IvM?AH&~Cmg14j6qVoKic5j% zT=%!qrwRRnCOxY1q+)1Ob#YQL#W>^HPpO_%gvn6+PpZ^BG3Wn|Y73-VQCMG9$ie}Q zTR2HvQ(9GMvlJ#l0CJ8T2v$>iJCtSTTm@x4pe!rrFDUB?Wx<>;pzItd0~b?dxb3=$ z441iXdfBdjG_u(&+|jT_DvJVu_8HkyvS` zE7p*azVuC1iVPUVc)bS*O_n1Bt9ZVFN)~ZYBlQhbcsa!lRCtpCB0grKxkv)Mk*~zo z@PQ1)j32^TY~jt$(vF%-C`l8UMuD_qq_1Vix1yC3eL0vznF74Nk?UJ)8Dg+MD)#qb({&yh+-i|CRYh=y?$&6-d`t1~LL!fy}^{fsC~C0~Lf` zw!%*$13-_OdU+t|(LmZ9(5?KYp^UWApj-J(L+NR6LK(kls6yH}PXR>nFo-jDz85unswfZQ#~yVAj|wJAx|$8YI{NK3K_<9ja9nipkRPiFlZse;2H-5%Bsjj ziUG*Fh&HEZMgo--N1bJ?s)R61fmM@&b*&54AGL&Ost~5gWW1vlUESvkN^N2WTYo+=~t6j?{6k&aYWr1VaqBb5~{G+9R~m#-t!WgVGGI#NY3 zeWlQmDvDb%WF4tezK+b2b>w=|k*fb4N2;CzN2->uBXjJ@Df1baobog+?W~=V8;8Ke zWZonfnY%S|8yF!ak-JJFi=T=t<5O0{Dy5P8G_54E4y>TL{I8AnUzhT~9d6ZUKExOM{0@tmdi z*9XG)O8sGdGkpIP2m~_VTu;xy(7;9Ty*98lupPetOv_0N*`_aC)oPs7HYPjg6WJq< z?(|L7^mX1(xPR7;155Zp#A`YAd{6V(b$x%N^`&8EHVo+t6pk{)%!Z|WiNcGh;@WU* zThbRP+-Nem7QNfsl3s z{C;zwKzlb(QEwh7)Nc(`(vJjKpk1JH;O;<`z*hlt<|u(lU?VJl{uYb=C9wQC$rt_F z2`$Ue`0_{lQmbX?eEFjfgt7o%^y_CrSsGvT>zko09m=xxvw3qn~q4Q@O)a-l35_#MhZP-gH+$~Kzd zN%Nelf&y6f7-46Mo@ZHq{mrF>9UKo9vSrqZm5#?gtr693PO_FrwCif1$=Aufs`WP_ z9u%Q~qi8rL^oKC{@^kkWTgu3s?~FY8d}mZN^$-bu3jo#&rC6JZC$h9ct+K6Rv^dZ7 zQ(2*60u{u#7jh=9rwN#ywmI9s;=D%jGiMh?%dJb#rTdZy6u(p+qwQ*>Nvke}dt%1C41c}?qgr$fGOKnA(tQ3T$w(5YtOjv45 zgr%KVSlWr66gz`hA}k#h!g7|MumIL~|4$2x*-2YiIy=I0cIv{?<&;T0B0SqYO;uVljFv$t%oYm9z= z!U9;&|DP5Xvy-;4^ml~i{M3bIz^MxhCNVR3c1Zq@85dw-;W;8N5F{StkeGTWjiD)d zC+iwhq~w%M`*o2JAn&9x*ee8s1%?+o7)qx7ixe8;QdHykiKBml;Bn z9Ex}(a7s!NSYMIiQ6_=)B@%d@R|2mS5;)btP$GfT6UptUq1pea1e%!J5_r8Mfpb!q zz#E+2ylgF|ARLxUU|7WSnGz`S*@&}4M6Pa1>?oG2I$BGuHI=K@rzTf%N3L3fT+J2z ztPOH?v(?Y8j$Cz>$<;i28q{0B$+7^9v=gxNV;9K(#+dNE< zZo_K z(T=HX+$=wJ+XJ`6j1`XUu@c)JE19J<3Oz~I(`O}WORaxedTl`5rK^rccJhwe=|XiN5MB%#Zwaf-dLH{ z2NS!op-4#Rz2hp-*k!d|)n+w3N!*POI;_6TVs%r>>c*<&QzEZX^IB75qs8l{#wN_` zjlgHPnH&`0LpHCq->v5ND45qom17Vh#e7-PL=kUG4M*>Y0(Zq?PuZhbN zZI8_oc#^Q>VTUD>(bq&_8YFTAx8B5f#2J139(OwtF%%mH+~5uz*=%z}zt9?eamtao zST>s`;fQn`HdUR)ma(#_;`jz-Wm98>e3%nfHdTeVkO=6eQSAmf(1d~5)aAM!x48~a z60Seya9uJGq4`zP<`dbDnume-xHAx+z-)gUSpI}y`xe`Dh6K%K&{Pp+JH9wP&`e>C z1oOQ5znM!3E%}{u##ZA=D>g|Y|IHM)N#!KiLb&xN#x`v<*h-q##Mll`j{I)}B5W6g zC^4S0TE75HG96xROalH}s?H?O@^-zY=z6XR$9S4^q4GljGed_z_;A~itg|hZQK&s_`x&qnYOe^%4}Mk>WRy&4f%Zruo!iqi+3jh@GY**=TV(np zDVd6rkqK7dbop7N$i*jY8fpR+DXvbTge+3sjfT@S1d0?vqQR~{ge*dY{KHmq+8;6{ z_pC!m9?{NCyM;*!S**0!Ku{@JiWPGNB}=jD&Kg3NV%1~?rl1v}PFHNjiYU$yECM3t zVSR5UdFDns!xbCTrLzG&hElA&%+VaM;CJI;)IJ<8b~&ssv^fkS_c@OOcXzhw@8$6Nd@PRieo<>PP1l=<5+W&UfhugKskl^b#E8uFr?SgKlO+^8|`(Y2F_v$6%;oWLLw?BRY5{M`RU2v8Q7Zbqev@D&$9JV z9$E!YvYk5_rgqxGFewic(j_OkCNr#%U z{4`ZsptsgJ(8pE4fuZ(jfhWmmdBYZ0{a%<1VP{vb<|D53`1f>GdRH#4NUt19++Y!U zC1L3>U6H1O>a^)frX<)}(^bJ_9>_}fh)Ho>>#kj(4RMrQLmpx|M4{y1VyL#D%)9LPJW#ZYx+ePc9Inu;z-7-h`^$_4Wc~{b&@xD)ccO-4^j%4k9 z5P})0@&=fb&IO~S0u1)EME`Pr?cInUjxauI#uAv)1Cp^q`@%iO~vdRUoo?f*7Cpx zCnVr5k+XwR+#3pA&x~?ULSfYVyF~A60&2YX-#WcNDtZs-CJa_h&$%yiKR8=AXlc7H z)GFx*G;QA-4NbhBGJa_IwDAjk{(#SMSWfIH$=bC&YcnJTHU4V2Q)@k>;Zw%Rl4x2i zn!Y2NlctpgPurgLg!ywxH23LfUMw0DzdRi++@4+A{)LHOD!aeb6~7o+qP&V*Uf-@! zEq#Ret-AYL1LwDl2eh1Y_!%~@wnicGO|)7`w4QjqzWaK^hFhbJj0z>urhOXkjy7MZ z8;#4N&0+LMPluhtqI7s@%ZDWYP5X&uz>nhQ1M}w!ER+8Zr`g2s2U!;XonM<~{+`31 z+7`jpVdD2kSdjnD50ja{hxk)lehN(dek%*}-}&(`^LK+kwJm~kTjKXCSd{;s$MUS- zWBjRYQ9jETzjtQ&{PzM@VEtZ^Kea6?+#2n`3QMA$R%%suMmrB->8t|FVIgL)7_0bH zv}^B;THTFWrHxv_Mh)l{*{J1i)Ux5|3>?_n8SOr#@l(-ro@=x{+S?@VwrKB?Xx~`$ zJV8gG)AsBp=$G?j(E;u+&CoA{V$s3wFD=k77sjH)++R*dzg!%PUgG}J8vSy4EIPvd zr7ikpWGp(${iVJ5WovZo*60M7oY!xSPJ|i9s99p(OcK4$9)H>#k$Te_f6=L^ydo%B zyL?70I@5$6tg$`2qc8N#MBq1w45Bs=7x)b#d8u6vz-PI@&oqGtvr3{jm}v73IIt5Z zPVGk0%G_A=W|t6WnIz(g6)Ukye3psc!YZLzhB4R$fLSK%T{uBxRH%T~All8UTzzN6 zqPM#3ob9$#nN=3;+|DYacB(M2V(fM@@r4rFyd=%s;Woq55F3AO&DsxWrj=y9CPtsoGT1j?xEV|61=hE%jJ*8FdAyrnpReG6Kg!EMK;ejJ0w~MqWHXmL7 z-i~i_i2{G`wSa^0^f7@W{#H;i_YTqoFn5hhjlQYkc_#tl;(4bR&-+|F`;`yRyGRp& z=lw38=OaAb(dc&Dq33*j69^iN^KrgBPS3S2o&y2mD*h>rZUTlzHZ939y3v2#_S>3j{*XfBV|5JW*vK6Vk}LDqlsPigcs z2}1YbGxEvgkQK}z>5p*0i!M&VxW}2 zGF!~f-<~}tLBAWFR1?uUk!s9VTL9~86BaiG#}Zf)WY&9Uh;LkE#{24Ie->{btaMqt z!7Fp$y5zkEK-J=((&!Ho@;>M%b7+K%lqfIrrRdb5Zed~ z0G(`?)HeevpoEQ4rFkIN&r4LOCc^MieiImFcz<{-fpPrqpi2c7RsHrPRuDLwlZ(44~C? zp+RPP@R(F(RkwkHQSQ`9$m*3QK5wUA@UjkC2c=MS@4M+!6$X_hHfv- zYpj9K{CSaK(c#)&tdRJP_0VW_R$VmOlvPKK)?hUhjh-%Rw5?C0`#g<;1R#%!)r}4a zVl1ztB{bkpS!2@K+wIQe{j=To@As8pVoW*Ycu8WszeE%#4EHV;d>HNz0H_c7r!=nz z;NZ9Xf7xTamjtIJu&ocmAG;1-A>#*@EkQ^pXM3M4TJ@LIebrM}5E>00GIhnR1C9XV<%;%Wc|%=-KkO2`E~_gV8OG|OM(VM8Qi2~U zFToEf4pvcuA1W8Y4<*?Q4H1GLN>1?ltiGVda8@7DBF^Ie1V5C7;1|0Df0PUnZfd#? zOP}HT7ST~Ko1h(x#}ZV=C^&kXceU99S}@2A+V{yi#hu;XH|Qos-dGcVZU=z}Ft?C@ zO7pIfD8>(rTo6Co=u2EXs1?+Q1S#n8wPxeoApovF7!3_r1Hqh0tN~)qY3wvxP+|Ve zxyL1rm=(kgy%U1U; zdP}@jR-h_nIlD@7%1UzfIP==a*nMqH^9>n*15L4tHAJ*`+=+$E9H22z(I3j=7g z&Tc#jZ8mu;K5AE#(q@ad%644Emo}x|D$k-SiM08bhc7hs z45Bp5pa_vCpkYbg^JXGEtk=I%9>|I1?Qw^>CG}amx8@xH5Sh>9y)-1vjxz!oZpxYp zG+tp%5uci|W&(}eHD$SLO7dPa<8*kUbEGHo-hfzcE_Bv$9e~!HH5bqhvE~R`3kGRG zp;IQb@XaQ)OkZdj2wF?lQb2o)wM5WPXQyLmb4+LkHL-jP_|r>+Wm+L|!){^i-#{7!Kh=02vb|q5LQLA}x zATokxAAui=E|miAvfpimSgNsM@(~0Qc?}#erXbe$k^wHtqq} zs-g#7SQqj3N!A6u-IaB9dqA|)uL@EJ2~&O*7Li0EJ`-qT_1%qin&alvfk*`KCF)m5_9obs&}Vr$HeZggmAF3qRWC~s?&xzz#QQAk&cpJ*H|pq zq#R$+9&jmlE<0CrGtACK-R#Tyy4@7yIFFqt-ZR*F=)HcdpZgvr$L}hA!8>_7eY>3; zb<)Y-6}JKNPFlWK-pQ9tRiNGj`hz%K=f+}|bCm;l#iCMZ9;_@b!}$KG>K(!N&m_zS zne5N{3(DrR{)n>Yv-ABa`={a_t(?+WtiWaSL6^+~*Z|Q;Asc`i8OR2@jR-bhz%CH) zF?IoZZx9<~zegg2HPw~NBsv$~Y$#`Og47>Z8A+!1VK5skFs;G{BTR>|Ap%oVlk=gR z;9oheNN3CM+ys^kWkUt*YHTQieIdJ0z&4}DsF&xsDsL${P6L#fD5pekEM~_Q$H;T= zAwPh5jvOWo3(FJDrTX<$hQ)t~a}tJy<$UG<-Xs9bZMRr0$4l={a?bqym&dmjtL-ZB z!vvbdyLZB~YY?mB3i;cq0QlPrpsovmr{sYV9s*xnE<}&S>O&9U3s&>|gaGEpN#(&l z`lkE}S$>;uZYTJ3rZp~8aFD*)U;ZXhJ||U&le|h8;;^M)Im+R45fZv4c7y#VG4b|D z`ZnL&SGob~^lfrV8s3y&Ma;2?;Y=Cu_SsUnbU2+C{kwXzQ^>8*^Akl&iM@U@E zF1F_@eu6sG13q1_KxPCemF!CKUI%t1dT%5fDc*y5kh2)x8^uP6_s(FW z(0f<0tK9c6L9@iBoRXktx~PBQqMj%z*-6Zb+)U!k(6beHhVscjw~P0E`Q@r)ZvY_6 z&F|c*w!DsJqXnhTVxtkI#;`G%^5F&)i5%lJxd8egoulvwQlxVXxzbM<2ianiPRX{; zF5A8`*_P@SpdR#W0miI-RqnX>#)RcKr_H^{7~cc++kTGqw!E;hSRWUmqezY}bG5PH zjC|79BZ|C_vGcH4M-)r7@OI0x;BHfDRzM5#llZaoMLpLU0&h2y3qx-RHlQd~R=*sJ zp`$F{o4ObV^wsQYA*L6wtC5(FWn;0J!h7S`IPun78zpTH&v zh(p-~1o0YnjeuyH^|_lV&^FROChTkKQN>wC{x;b&g|`PQVFL-SW!DOXhp}rB!V}p< zfw0e70e~v(Quon-mQ?preYjxa6!pU~z>MrnSL4iA@q+8_p)7u3g8jv$;!! z4bWUH1xZ$eyhrl8Z+Pp}a)Z|&mO`c`A-uXt{;G4yHFQ4;uUXrHV7q}iE~c`{Y_jO{ zC2TV4^AtA4zt4FhrruvChDJEHPoAeWzc7^86*6^pm7~r^yXx#mf1Nm924tcGWReR6 zP7Hv%$x2BLJ`QnzEsv@elz9UQv~Z5wB9<&5jC&nTndDJJ6+x#BVcB; z*#gXbHXDJto?TDCEV95XcES8Xz|3Lb{02AeQZ@&Hxq;n4z^t^upcTe(P@N-fa^J{q z6i`;P8xfS7*i8h={Q?TQ1yU!s^Vth3i`;YBTmka|HW$IXnSraDo4*zdAG9#r=ynix zSV5^d9)fZUyM;j6oPb$%e5%TW*{$qW0rPQoD}s3&yN$pUmuUhAx4D@8 z4VX0nRRE(c204Pc_rXkcUvxXWU4VR=-Ht%s!R`v^#t! zyHh|aWp^S-cd@$&aWXY&#K1#E#ornSA8g5_ng z=5BVkfV7w0jUX*#3kjwN5-_cUS!0h;WOk||S@gOhV~rgZ0*X>jnp9w*T`P5=#*qYK922wUtG6C?!C zcKe2Wt%=}lGPZrn)w09t^r zL{}+Py;R-^c5=g;=gHqpumqdQ*Q?YHY^uyFv=ugpoz>*lti$sJ}%-jooHqJo>-M^G+p0<%?vfG?x>_gNZ78k}$i!!Yixhikp=v zwVSJ!G_e0*9iQXl!Z61Lcl?DSrgg_db?k#S5GKNZ0^vp0XA9M$4bCosAci*yK@2}i z>&d-0bnV_kv33`P;iB%dc5k7`i7D3ZA5eVcp6vv8OACeASZ+t_P$8dT_<;XPXwfBX ziO`})*%G8hm$Ieqj4a+;#+HfqzGutOd&}8!`#rk4YpHNTQ7LPg%zKMNZuFLln-i#z zwNwP>2p&lkUQ304g|3NP8Vc12x(Mc|6>No|+K+4nqS{Kf(w?Kd0pQR<)p9@i@aHEU z9WR%kCTgk3;7Ts(08~_KerH~7i4Tg~#x9yyl@`_Mo>P@E>r^Ed(tK-53*8RV3Ms3z z(h|L4trUAYlv}M78koxiaBCG?CAf8*twP+ohutH%<>yUmr3eNOekOpfW~&9zzu0O7 z^j>zaJ#Zjc6DhA&#sKLAN4A~xR?3xdEOx>*4l0^jRBfKL_Oik{ZOPSerBnd4@fx8g zT?{Il0AxmJTVhzdMrd1NGR=tPYbHo;gjR(q;d1^d&4=8DzD8&}y!N+^P#F&G$sU%A zLwipod47hALv=V!SB-y4^Rp#56k(@8G8~GDh3Dc>OgaK|$Z>I~3GLV5pVIuW1cwgT z^tEwNOG8HwGxM!8lw2Cj991wNMIIiBv3iCVfOTw=1E`ydIGssoF*la)M(gC5Ij@pn z(21;U0Dv2iTl`n{#xQ}<(9L6ct5*eK&;H}M-bPtwJtxp`Je4rDE`KP&o_yU_qV+^~i zIISiz$%%Ket2nVPd6V}x_azIym-by%nTbihS-q<&N4E!^k#aV1bhzSbA|ndibZs8X zTE?4R~{Ra4;B(hJ*{^)j>yY;0F}On-@4(8F`=J^x&HN^A-Pi63#wKaUHWS1@`iwz8=(_Z0DnvJt}PUEJB8 ziuec0z@DmIDsu_3o~;*z?$6dELT_Lj{0ZGttrGd7Md zi>6IqGW42ea==bZ?esf2o-@7erFujWb+j67h{R<>0Td_3EV z2>v8{5(#WczPsl)0BdS{^Mkui`N-!HkNMZ&4h~G-LH9^=P8ZTaiQ>P&%ZG_B!Mmjb zV2~Gp6`8=5Ne=;lYj*e$f68Emdy$$GgB9van1qvoN>eeF1{+`#=cK|G(?5XK8OmXEgHJ*kk_BOb+4)nup8>YH9!|a@g-m17S8lDEQS4t@n zoaI4dp8Qo}E(6rL@>j_!ahSrgrZPE99r2X)ZYB;Gz$`Bpy9isDGxgL-TfQvoH za`JC+0bGy@fJ?l#x|P+MYuv#EfH|otGZyNX5??NO({3}-;Zx+$azne!OP$+YXu}9J z$$WIV*S%=v(&n8TE&zb6^Xa4z%h?E{v0QeDn2k`znp2q76fi+O+(qA31;D|g3HGML z@4$!biH=Z6GTkQ`k+P;3p}GsmLyNxh9`cnsEco&l;9g!KobLW>398wyP{dSnF8~-z z+t@Z?EG=Z)kg>F#Z5PH8#7EJ+%PYi2h=1F3@A3-8X?m(vM?|&Tr6l0%vPJILyo88v zDnsi^Pc(eK8?l#jnF&oyfeB2xMOxiLZ_Wv z5Ptbj^-S)!qW1n~j>1b8TC=XmkfDgb8HKV<| zMmkogRE{>}rcw~#!r(7qC1UX3%SzDTe~LZjKln#SwHu|4)6oyF&XK;#TTk?1jZrBp zLa{O8w4nd!5zfuKWU79Q>bhSNu{B0va#Qs+CgnqxV^kaR#JC!x7);c-0zz+45ZZ}E z80~k$(zN~U6ID;Mr-d}!$DT&gu!HTe*UiD=3@nOQD+U^5xZp06Tz*#2%=lxi7U0Vc zddPdjb$alGUg~^7xn0oPXt&~Og_;(sly?vLr$`sZD)!OHg=_j)MKj^;ytB~8D%WlE zk8~P=^zZyw#a4~kc|xhGQc1BUo%`L1VzfC?P!TC*r9wm=V5LYzcCwuk5gC_UM8XaJ zF%bzj@Tbx^1@Al}l79`jFo}qaPgz99E1JO~BHH+;I&F%G0O{ZP@#PVbamklz>s%4J z+D}Awv0Xw$Hn3etM4n;KNJQisRVIc@I=nc2q&~KjAkpo55kaD=TJYsTr#~_N{&1)ErVO|8b+~+($toLZ&Oud7H5;vaqJzBCDwx#`*F$1u5+fZRj!I+y=7J7_gZNwPrHh-W>M$3}z{U5x{2v%|S)M#e|Ao zr8raH!7Rld7Zs_Qip>tbs-y?0XDPMZ_v~Z>!8O>2GT29wz#b!IJth2X6$QCq^LV|_WmtTr#v-b1 zk)zQSkHlHVZ0VbHEkDDUDt%MUffY2_k@6t=Xv(Ln8dze&!b6f58ERq zF>h{p{rZ&MwzH$!f28Q!j*lwpSo`ldLy1IAw-Ku+gXSmUjKvqcrd8_BpG>@sXVIF3O z1wnIJ3+ zY-HYL?+Pf5*t-bId+a>|rCCA;@9>H=c)KmmzR%tlAX~8a5y%hN2LvR>~oR*y?Nt*TA05Hw54V2ln&l!dQmQM9@_qvyTP1GuX!n+$Zc4 z1dhLVgdGv@bz(=*d!MpT#d`^d`sXXS{u%pBfa=UXL!kb}{)L(>E$C+Tpu5|HIfCm} z7xp+^_?&$%;PqsmBY6L2|3>h57rtO$i1&K2FVK5mvM)&&VgYvNLLiN99U!!(P3*e3!4>uQLZQ^srA7(}OMlEX@4Ox~PZN5mX>Fgl6xv_%tT?FTv z1HoCrf&I2#tlwh9w1;loVX5wa2X zX<`&<;wrZZ>sB*Q8)Kl2Sh^J&#JAb+5EA$e`$mv;Ec*tL^;`C>Aggt7-gw`^ZY9?D zK1)7xhZ0@M=G0t8;@I5v-zv|=eg)&KuE6>a_BBEm^G|8Pwb*WPFD=&Z@w?d1hg?$- zx$uQk1v4zWCstrxUCxQKK+4%0WN>bF;8?L49FBF(8Cny|33MOXgmejXA33@-BUWIA zZtw;2VjvEz-9wW~3;6vUeo>zHV|8Yq_wpgo-Lj6sEgr)3jTNA6)uo`v;ILU}G`7wj zP(WTj&(x05Go;*a1kmYBM}gK%0=ifQ0FB7losriAoLVA zQEh~L6mS{TcWs8$^~eOj>Yqrezu=jFL00^#bH9wuecbm09t~6yuI*R-Yg`?9WedKu-8v{Y9l3Fl z>waU3AIzUIOk{E$^!CUYBa>bz@y^dk> z9!1dIm5zK?%#gtZmx1ZtLonaK-4rlq`ruiSpR;!uhw;qwf%ztuB>@xS-V^#>u}}sR zR`>~c9!kJ-q94qf7$)N8VT=+V+6JUPPeh3ym-g+Zb6gsc?k#+!rx36rKbTErFkAX` z^KEZ8jiG)p+hUlgo9}og&JyDszv}oxSG-K9*vSW_ck$epo8t$s3oJg98w2JV57omPG@`(v0c0RlBKvYgMkFZop;gsWqeF7!dE7M2~3QpJn> zU|uGJd8H4`+F0Rnn8D6|FvrMXj`x9C$4k0=*dj}0$0Q6Bk*=9m@~aLxMBNdFs@KN^5sZT<^hD%ul2ng-TQ|_vysm1z6<5c6zte}FB%vSY5jc2` zvZLISP;ovxiaZJ5vF}_@f*;z8W$iBaY4~Pax*{|Z&ci6A!)!*1}_N)BD3FyEvc1*nY9y^BK`Y7ueJ7Hv#InY9}GYXMY+4;6Z0^Urd?jP(AL5d^n z4@8PT*`GEkI4^_UODp~xq{dmD!bP|h!5%gzP;#6j&{7d+92x-&3!GpM-c}@a!!ZT$ zW;Z!OfC(gB2E2WN{A~~10rEGUl;i-g2E0Zk^Ef*$X!k8Uj%fEE_8&|;5VybBU*f&* z*k9y@h1X44N4%5oOw+ym6KM+a4FqM;D4Z%;yfoG zegcbhXbDn4!tD45eSbE6l}f8gkGr62TNi4}p9c0M-yq=J6-eWE4uGZs#RUlJ&6Yo6}@5-ZfEE zsA>Y@u|kwg;YdRvz&NR{+v(aqb*-!9xG87e(F6c^0GFUM?`odtbUpq=Ivsj}%Y6&$yEf$K0%`akPoW_i&IjQ6qTvSoi8NdQB?YA6SfRBe&wKHO z4Xolt7O;v?p`rlRh(8fvg-}w6fJGX|3LCou_mvF{;;IUp1Nq$f*|lg3Tem@4c&kiuejhoNCfVMo|2fnZzj zZ90L@ij2JE3TJpzZaEcpiWQ#aGU%w)t;&FUWzns(`4j0@6)341X?vHsYaj`JJ7l$E}#Hfb*NEYKQp>JIr`E2D3)HB_?Wzn=bo$iQSLxIS3~CGP`tT=$T^%T?<5Gw# z9dWCD7P-~FurDy8pS!PyZI5oMPh#^cm4rVl34c*S?mRC%->87I zLIfbW-A&T@KDWCo?eq?4r$=Cmz0G+PsPnu3Dl|JP=^m~Fuc73 z;T%=kPMFaPIQD#8mF4Uz$tf$bFBo_9Q8&Ev%QZGnteW7EDa0W{Ww2x|9A;02t|L9r z%j@9ChrEEk=HI0W28x4FZ@ecc;w`$GiQX2NUvSC-LM)L#q_5(tY>40$MT*aSA4Q}={a%&KTlm{ztNOYjDA}Al@-WXbIEHD|v zp9m&Rprnb72{+E2vlRfoP(B+FCre+vPbNeG0*eJ#ngaNyqUo{xi8S2|N}AbCJ7jAP zrOic+@%)L@XaOZH+!`3!^Axl|OV#rdFumTwv?ai9DcZe;KaqA%hmzCXcC8w%ptO~! zF_Ax!8m*zEwOa#Y+AlRs`{9ihLDTbKK=DC^6NCl&D+0}PO8F3k59|iIZ0)abD#0)$ zZ^~0kI8F#|PPY+MxQ;&&Dzt@?wk{Pcy0wGScA~}<{zPiDhm!Vg4T_E2Z9!5A08NHS z1vkfusE5}K*KNTm%=6j^sVxF5iUfw!_!Ggf7)pv=46Pa+ptOUiF@rym8Xcjeqgw;_ z>3l^V7$mjldohhPx7s}eV4oq{oyDI>yJtelnQpsQjZRS7Nz}NWKam<|LCINe4UFjk zkv?A%I_J6!2YAO9&l4nQ+8JPX7VX}^pGdoW?Q*tgSGa?mDQ2K|BQVm^g4P9UbP>>Q z;!gw`U%Ptakwp*x=g_kt*=9DcKEbbrTS8=1&A7U%zy>A;P-DFJoI^km`^= zzF`R7Y#^0S#PvbmSvmrjVmUI}190jg@V$jU5qx_>NzY{X4ptonCio6cjrW7`_6{FU z5r`oaygvuO0h8&|}wAy|^k%|Mt`d&Aqk1*ALp6M@86WPJoAYfZ_M zqmZ0Wfnkb$193D6Ul|E+D^e;Fhx#z1g@U7i1O8p9rn`LP=kj>ikfM1MMOl65&8Q4{Dqzpe^7}1X@2R>E}W-O*zP0V!STA zCf=Myf#Hh9x;S^}J+ALw#$?;aIeV~n8m?F)h?DeA=Z0e?;B>A(bfCZJ+(Q0DI(I&l zfMss06RUIX`r2IaI!>X*2t$60RM2yo6q;WzP8sWqeX$+@m<w!=*5Mk}8 zEQb=8_`RljUF6U1K<{&5;gkTDb-A*{e$p8*8aP)eYK9?rThT))esjnOlhGqu~ zlveU5g3=Hu8RDYEMb4;U;43Ermnkfy-0n`>mtk$|2*yx=KUB1R4}T(UUkD`^x^26& zf&=q%JbyVbhe5qz0_MH^iNL%FN-iQW`Px}5DQypq1AT-qwT1(P;R5=7{E0xn7)mZC z&|#yBv&(^Lrn>XG+Ao26mk5|^`4fS8DU@6)U<$44Lxn4S1?@7ZcbR~>jz1BYe9d>c z3zKhjdNuhd1y_Y8Cri&!sw+Z>CLg7`a{xBps3sqkvL+vunkFCROREt;j}d}a8~78U z6<_UM;qnyR2pg^N{rm-{|HonORVtc&f?msa?P5o2uY`846gWJ@p9l_oNj#F^@Q4F# zwC@-g1vN$qXq)*HfyS51SGn8=iQ;1bOR1UT%qyj%q26c#^Kt$}VDg3U7#F6WM2%Hh zIYdTO>8`l!k=rH$V^xt99=I(Pv%OdL#;W|-9=K;I;5wWd>#I+$2FhJ6sJewe5vuYv z{aDO*WJQegwIaqrjd23nll+N5Xvqv7X?I^|gT6wF2v>_!GgJJ2oaFtP`z>YkXl&f_jq#%pLrRz~pX% z>kv$0MZjQp^V-25e)hz*Dccj*`bzX!ZYO^t$Z?Ov6c;%^dt#zOiz0hsqOUzM z72r=5Z9l`GNZZ`kFwJe-XC|HGt4pUtz3BqxbNq?G1NG(znEUt>fyq4%HxQWK z8D*+3%p0NJjRNKi{E5KieutZsFsJ##oD22l3YahQCjygu9&Sc3{eAKW>+H`4d5xJ05Ov(Y0#a3Z=J-8t?Ka zQiCu5Z?kJSF5las^mb9>ef~sh@MZoTb`84aJ4+EqN4I=uDO{e&;WA5%Y?peb^Wsjx z;7) z;%<2DZUN#m{zO3VRq8^!T@mVmSHrUvH{;UP@N937;o_-89e+JOT*w_e0>4Fo!yRd%CIma2k3hJ*Cu#fU50-G-&?{Q&U_^*c2)uP7t z{E5`y%fox!8WbD3i<>&b=PH&ce1kynat*Y!Mqu$He7FLb>q4a)H<7fUv zYVbwkTDJzlJLQ`Z0&|UVQmYkt@#p#q(gT3P0|LEY`4d5puQ%7(=sEj`>!EbLsBw%x zks5r}wZX382-1U4`k<)s2Y(_pHbTipw+1G`%}G|6f+3rr{w4wYIDaCr`TFZ28SIoS z{hPge$)e4N0q(=1&A<2)Y4Z^%dBkqhq1mHQ`lzV!H-92E__}VhUBjW-V^I1SuTe?k zPoxH4wLR|Ez%-kuh>}FIFb^MEa8|BQ0Nf`;n*shr+T?4REq0quzqUf@R#79JKam=I z-SVVe!|B&HDBUJ%Wb!9cgD(cQ+coGEcB?`b=oEHqD(a^MS}GA(Wbr401vl=WvaxXR zei}-j7BzDC6RE*X_Z@Z(FW&M0(q~A$DNP!|bzSXPKLS3wcHLwNp zEFk@?=#asmNQd|W;5pGDE9qCId~fQS!0q_h8Q)k5^>Bfg0e~_Aydr-hz`6aun*gtz z0Q?RwaG_bAhnmj|s8#tBfy!3^du$$nsbD7Nfqw3VANGn$)%g>t#7+Et1Qm#+r73y> zB;gWQ1{F`b!1n`y{Q`Jh{zQOtTmJRl^UhruYsS^_$yYa z$&N}b0@_9mBBpkLO=tX7lASkbi}r5X!L(1(J`2Xv+oX3-KPMP3&}=KzzL&F2?2%x+^18~~DnAvBSM5}_U)2G@c(q~G##WmUj8~sk zeSYeq$u-{V>FU@#u95pNzpJs58=t-yoVNS4y}@|H+=kT})(pm*wP@D4Sy%WjY4$?1mxA%;W1G)z zegk~3ZN9bncKANp{6q^a7;ll^qFxKYt3`(veOjCsjJLe9<&>7wgYnj(*410rg74O? zyS45K-?y}0(fXcXyiL0{J=^pS#@oi))@>UP#@khBSE*f8Rzc*nLKdvpXkcf6tFqK-?0@iT8abIF;@gYhmec6q!Fcz#x_{CA>tMV`!yd&w&IrbPpV#}+-Xq}qf!;QO_KM+W{Y7{B0y3yxm!Lohxl zGN|UDI>GqhIfEAtUJ{I7y7|&)FWnuCU-9r2JFft~k9>OMiz8nN#;^L|s-suI`(ysT zI&yVhFg~u{xHjY32jdf7obdhxz<0|0DfdlzAQ+$8XljS4XTtY2Q*WGlGkouz`qtEU zgYjvF(;7@`1mB~l&6oywO?zhA!D%2D(~ar1rh`08zkK?n=~LkQsp$u%zYO1h&xp)` zc4l;$F>uCU_+CC^(~L*p``a0R%{Up1&n%kRYbMZj=4CS{&YTS2n`b^d6Y!pSVpe!o zG#H;%Z&sUGfZwbuW=)0nciFPHmO;Ol_gy|>`AGP_dHJg4_XguD>a1wD z0^qOMyyE#4`-1V6HCMJ?*)AAgRj{hzswVI~f7QBG55o5ctA1SdOE7-Vx%XUt4~);% z^;Q?H?g-x#SKqq&4*1@^`t8;4!T0a?=H43##_wHv@5A?Q4#poiu`X|2K`_3q*}5+4 zy2JPUb?epvyme*k-dYFvtUqV{#p^GF?|tjvUk`j+|NDmA4N!l>_ziP6+ydWgHc zmW?O75ZpqL;_gmx_ZBN&+#wL$io3fzAy@*0prtKPXeoudQ0n^CmG7K{g=I3^vXm(I z|G%C)^UU3MX6`-jJF~m90mrkW-H!e{2BE#Ofzq?k>C==eeimp0;~Bpq;;G%bx9)u)Y2FPTD)w61FezzViDpe)~r5 zo4s$IC2YTWf6o1R&`#UGYCpzr|C{^2*^lFiDj(H2su|kZQ5&K*qkTW>$EaT{VbPZ8 z+|lrJ^!(^8(TE%UZuI}6f3k!fEP1frLFD_P8Hd&!T95Ynp)U@7Z3#OZefYv*)R7~7 zjzk`ri1x&hSC71I2|L>1=)j{x&|W|K#ZmN&DHKyJrY71MF>7M*`k1$4zKg;9#1@aO z6B~|pO6-c*)o5?Teii$zCG6PrW2=v?LmPAK@-g`C*cZp{97p{;KJ)n6;~UV%9e?fk zbxYU@al(2c3)&4Q4xflY`(vCr&f5|eS0Ju(Ts5@q;|9bHMtdmkr8wka+$V8=#Qkjv zJK6u_q>~u0lj}|%#I-#vzG{5S_%>(<#ZQgLxW{jdKN635jQ{IY;3=ym?9`A`(@)`g zacc9am{Z5mUO)B4DV*2o2B$lp?uK^k=>?}Tzo++}K64uLd#3c6`ez!U?Rh5ROr$03 zto3Z+vzWKD;b)&Y+r<)gF3Y*1=SrX*acfsYdA-mCpwc=f#(dH?&1?)5c0?R&3_N#Et(7ES<%JOR|g${pdAFb|#q zwH|o_?#*A~Zsdm|1d$(0L{Cd01hs_S5uT4XsNIQ{l6OQGk?nCFuj4U4_P`Fu?SES2 zc-+TrKlX4>4orWMD>-)Xz)rLsB2RMd#J(fCCs}vmFe}ncweYEC@vZ*~ynmHi8*~1%X&rB=$4w*&kbNmm7iE>p^R7@-5=4i1rbx`+ov_6dRiPp$6-vf2l($-+E7t5t&Xna z2&d_T{D)DtluWqj%oerN@+dowbeccVe;Dn%??^&L-LyX1jw7Bf4)z~L{jSF+itzL> z>W(9yZVvb#M*pto+lU6~W%M0qAYC1_6m-+>_tEEq_lmOOLbQI1}mi1Rg9zEz;{eIL<}7K8Xhllx!hdrROPeoR)NdA`ecD zct*5I?^EMAJsIL;9;{~VGQ#{g&QXRrAzdnM6df|kR5?yphB_(Tl(iC1XQU}}oVE;i zVtg8r-(e-*aVLHaxRV=kZJikKqTb96Hoj=IQrr>G2%)0g`+prVBiNrj{f*kj8gtc{LPg8 z_fKj-?*53(e$y#0i!sV1_kUPtH;Nh*hhH1I@v9=gvkBiTG!kQ#U+(+G@dBg<#=$cj z82jhgcdb)RL@Mh%XdOrUsKL?M$IwC(l!cO7$k9e>fDCM8Xr)QYO2$@lw38YnCw4Nh zR8=uWS<1Pk9Brir>K|;yfl7EIfA5}qn)1~@`^wQ4uNjoKhGMp|+{0VW(ROO!T(BJn4u6I5rYHUuB@|EUdiP5B z$h4-Is|@Ix0Ub@K2G2zka_}5KvTrBmEC0FfKSvL$0el1x{zE}rs0{gNhIBNg8pKC5 zrLI8A-^60&$4B?0-IEEw5A~=jma4)0Z-Z$cQ2rKG4d#DHatott9rj~6|0qBAD>?xJ*x)xF?yB*Ykw*Y zAJFmFHjTwvW!=YXT}S(>!F|m3<>1e37pH1#!v+{HD`PtFaYM_(R(>h+X0c!A5I0Fo`K)Q+jDhpCR3mh{+O+YGS0w>_Xze0QO z@5Ucc36VMp;g}R^5>hECI0=@73^4Iu$H3RCNDSx$e|2B>5eHS4q+XWTGbQ1PV{?9S zSWQOiPKJF#_{6fBj8rULoCyAB|Fq-Y6C!RuilZt^Qa?)^Geu2E8psq*NWv2+_{}b! z2h==7tjd$LktdG1q9!Gc= z`(*KBQZ-R&v;uOH_@6t!_PO`@saPV;tJF%{spXhnYO>OJdL^b9{xnN~$^LK4R23Ig zilzM&b4)WeVd)^vIAM-|PrjjeN#$Ak$TP=WQ=HC^Sf9Ws(9CJ`jU^>jfq@po?k<8P32?y%}2+aR1=wwb5fs|jl^}8m+3n%9dlDnW;)MJPNw~ja^1ajRueZ= zil+Y*bxcz=p&1}e4N|qLcvGcn#zxOGIQil7U91r zmP>r7vN>aBvtvf9NzR}d%}F*rkk#J35)!+XxTO+1<0iIaa;u5Xz{$;t*4=@Ji`y!- zGj?h_rnj2x44&SJ*YLz&m+EfLKUImI@e|!K+0}$U0m;q@_e{ua{Bc72yYajC?d8Si zD(9b!oOjH7HR(@E-s3#o_=Y|4Au-uhe5vyN$;o$n&L{i{EdQF~Yc=^#*5p5605M2> zs|@hu8Q=jEXrAJGWr8Qu1P>TN^b|iRBRsiAc+d=a#ZSr%PqrEChTxyzRAzXB-XL<~ zjsA1<^p4=a^p^PK#xJTq7!Q-t&}C#MJuP9Z5y}uw@+KoszR|h4->nmg4|z#V{H98U zDiwC8uv{d3{v=C_Ka??)G3>@*d(RwrH1scJ4rLBUbJz{Sn+;_Sb+eJ=O^U`FkHmK; zcU19E#Y0~_91Dmth$0;cQq>VvM~v#o{aRvCCUG`EitFo%o2n1S9#BLtP`;;-#L)8^kSM1hs*4k@}GK;D$|5#gSAjkT$P$vFh zpq6LIZO?!be1Q0o7u3-I>(D#P-}Jy)V;UuV2sc@p)kR5NlpfZ!_l;9XT9k2=aURw< z>}S8TQN~f1SC=lY58mbYsJf%-jw^M?UV9Sncp{~rGLSNms|I?|M7?ByGLbUTqnPMH zBYh@=l#!H?9@R*8Gu;suWu*Tyi0ZZc)1P<$z>wx?$(8WwP=9Gv)k#&S|C${3H~z{@ z>W#lkZ~Tpnm$XXNkeOALQdP>xEUq$prBa4cmFhoNDw@xsTH*UxKK9;AhNx<#s+Fsi z$ytAU#ZsnH73;B7EaR&6vdpHcma1CLs?eiwR<6XaWNOMB%3R7^k7A;KdX1yOaw&r; zgZ-0P9(CJ&lNFMAl*yFI9@R+y_B#8GzA~A*(SK|=`g+qn_@q@nRnJsC(_7?muHUAaq5&`0))yiRqj-|vpXd@TYJe< zhEpXk*-IXcY}OaNyCpF3uYR?Zg;eEJl`lCfo?~o#^;4!()h|V=UxNAc74Ys~DhriG zRP9r>&#^uw_p-hIDdVa7mvZ$FW1Zy9FKXc3G8id~sxqj`U~-o}eSCWCa>SM2|%BZTQrrDax&T3M!qUrDI+T*KZ=Rc%4_bMd8cfn%&g3uR*d8T-GODXqQszPZC?CuMGB?zCpm|9U+44cRk9FwEbyDOtBqdyK)rPUbs%#N?rdn&Ulv!_*~KCa`s zXLvD6_Ev^hhJRefO3QKXo4%&(t4yy&$o)J(2c*Q?a6aq1eAvBuwi%~FZ=nCg{Ekc^cek2;@@*R4>wR$aH0EgscM z>UGB6v0uAXa;a;VdcXCUJ>Y)#_Z)YB(lJJ^SC=s3GNj708khg#0sCc4CD>ywVgF@P z)N3MdC^c|iBpY?0ioE@^42464gg#+naa z)*9XYlS*4vvSqBvRmqz6=F@&3sgf<3?je-v)1K*5Yfc=V2i~qOZps&_<-;dwzxE59 zN;q|aQy-M1fCn7E1ZXCAs_UG(&OJ%jo76m4`?XFbT?*8>)SPIw@3d1?9j|x20h2u8{dsXsf{0Ub{ownxKe$%OvPu+B?4_H#z0}kIP>{l1Rv{e|@h3d(e z5BmjBC145{Kb2rlMuPp@JU{pe%mH;BRJQmx%c$+i;}OSCV-BiBOrAkj=2heRFdneq zn5slnH>T>7nN;+E!>2Td)kRSi?}sVgs!#Iy#C~B^$(V{oQ5ih>44$6G*74JuG4iOo zJ}O(JC;Mj9W3^u+RYIz3qN=^elNt5elitqDeyvnVnFi`)dNYqY z-sCCdxN$jyHJ zbJW;AE)UqRu_|HHczu0b6RzT<@8aR+X%0^O66X(5E9-V4EDg2SgbxeO;5!%ioLi9vCT8tHuXcvfaVkO!=SbGue8)AayF3g%4tv}j)XbYpQinb2gc4#}J z9fEc=+SzCqq1}ph588M!(JhlOyX6p*+W_AaSmt#AZM<0S^&Q%u#0qm+ zVK&zmE6u~vjuETOv1l)d)#hK({w3CAsxQo$+KRQAW}uxT)@6DV?WbbBC5tdya)=F< zPH6jyjg~cNH;PS`D`-Cwo4vCMvv)DE#rqkwgTz+v6KG!++r0k}W*;H8`&35TRP6AX zhjxwF>2n$FYhstL5N6*XvD>#M+SX!^?|ihI#9m*FgYRErpI1e5#6f?=^M4 z+#GFpaXNS%+5_TD@Kl#Chw-!fgFqT(Fsh*%l&Rw3S2KK)h^QhIWg%XuB>h zWeyORGdB_D%q_*0%(Kz16IU}|Li@gWHH(ihXUQ#I3mGrWAq&N|tQdoAnz)_~UdUEo zypgR7+8*MqZ2Qs1iMO+TgZ2mUPWGpSIeR7XUiNk3{p_!b4|2GR4|7x#@8xJKZsj;8 zKF;yAxScb%_#|gXaVzIgVa_>9e3lb_%K5qYJXZtpMXu%I%UtkBZa48&Zp6-gMtq(7 z2Vu@*65r&(Ipk>|zRlZMnDcfK-{oD1c8mBv?{&1FiT~w`5$1ds#Si({iyuSVil0Ka z2y^IB@pA#pLxJzbFJWheIqVJbYe9EmE|^*TR`5L9o8tGvZwYhZuf-ol;F}`F#Ggg_ zq8%sxDzXc0ocO!QmuPi}q-Vt|-!6U`$Nm*L;wsu((yJuKyW~1)F4ak9Ds7eK(zS%SbQ@_Y4eylR zD1A!5Bz;R?!}2H6ugp)vT-Hqnl>bWxR_G_p6$Z0y(IHj!d4_b5-qc zS+II>VXj_A7OIZ(t{y21S3iyR1zDtq6y_Si@~Ij)zZz|1(Hc|GE|bM-E|tY=o4a&;$4Mw1yA}cgFgf>=IY=GQq=qW2Ttbn$vtlTgX?QB`4A!$cGe@{NwE3fR=yQ_SzH$2&UhwR^Ho*dA3w(Q>*=hye59QfP;IjG-0Ik4Z`!rbp0 zIi&v^a_E5Ta>zhUmMc2bw1It*aE|6;{pq5RzDc4Oz-JY0RuAevn?O3^C;vTdI-^6ZSS<%O9~$roq#lb2`4%U5Rp zEw4OZRbG95p?vlE+w!$p73H;AbLH!^F3Ib&bIUho50^J)ACx!ec*?it^9E>P^Y&;3=L@aS{LxzB`QHii{GYTU3y_Bk zDriqFn4=Y4@PSrrVHvIX!kJo$g+FU07q`|*Em@$ISr(&}U5@MNa*I}Oc}2A0TKN^( zvtF$tUR(U1nW#s~`%F0-@m$a%YQNvexY1LK@L_0~VzUnyI zSF{?dy@YvnHm&CBv1sRMwbu9v^O_u5?KSTg)2HP{%X zHQeN()!URqYqY7a)_BuCt=X1Xt@+mOT8nKyTFdQ&v{pMpwAMS$YHfA~Xl-|P(c10u z)7tNf*E;O>(Vp2;QR}p4hSqs+53S3-tXkK71GR4ZrS|OpNUi(+SG68d#kHPMQ?y=D zH?%&{Zd%{y8QOEv*R_5J3uyxmP0$7&x~vU4yh|H=q^maM$RTa$(H7dUqnEYeF?qBR zF%z_rG1s(Fv0>Wi*si+XUas>YkRvs+7^?9%gV7aWrz$${}%u)%g5?G!r z`SD+6u-qZ_z^Z`d3n>9s6)bPaZm?=#p&?7bs)OavdK9b%SXkDLU^T%CWN!^t3#?G~ zYGAd&3g#FLRtKy|j*eh;!3yVG2v!fQXwI=<;b2eY$^up&tavUBtN~cDT)n^=f|bn0 zV{8OgBG)0X#$cs$tpjTURw{QRu%=*TbC(5c2397|RIuh?#YXMd+&q=VBU={Q1 z0BZ$SA)f};8mw~OufW=XRm#@}tSwm8eAU6)fmO-(J6LiIqf>i||Qe?hR0U^Vjx zf;|mZBmW7oXTWOb-v-tRtXBRnz&eA~&Hp-B7qB{^!@#jqXY^i{BD!5V}f z2kQ=2KlC@S9$<|^Z-Mm$YgiyZSTC?91$@DJgEbDbfb{`uR^TVFzFti zSU<4l1?PhG2WwSu6xaZ;mIeO@HV~{$!FRw0fweA_6>KnAyF%_@L%`Y=ItMlstV5xF zV8g)L7tRef9PH`BnZQPXbu3;NY$RBx;>E#6fjv|FWw6m;U5Xz98w1w4#3Zl?ux=&# zfsF<0TH-L+II!*|)`LZYJzG+MjR)&l;tQ||U_DB5>?eZtF3GW<1lFr$bFj%^eM?pX zn*!FSR0P;muzsa_fK3B?u52E#>0krOTEJ$2^)K51Y$n*CvZcYE2OC&+HP|e$A!Vn5 z%?2A>t})mguwmuOfz1UQT0RVH9@vQT0bujNhF8c2wg7BY1rM-=U?VGj54H$wOvSgs z7K4qh#IafeHntMSYAIMmr8!{Bz#=P+1X~U^uF^HI6<`x8#euB^8(*1ovs$ca54NPP2Urx?@;cvw9ROQauQFIP*vfjLU^Rtl zmS@3EfNgHM7c35JQ|qU|PJ(T1Z3Bx3+tPYG*eS5>t^0tT2HVz}d42|LXKUv9S+E^# z`hcAS+uf!m*mPo~(7Rf*t973G6kn*xpCLu7Sn$ z<$hlWJKmT3y$*J)e<0W!U~&Ea1iJxtVqitEn_%$+^MSnyc5*Q1?Jcm=gE?<+gPj_+ z3G5xPv!mvKy$g0`bak-zz|M~@1ol4IxzW?WJ^;HgdJx!$U@wfm3HA}#OQX+#-2!`Y zOcAh;!7h%mg53stc?`$?6R^u;IQE}{T^hsM`5D-iF|3`RgS`^LI{5|Is}Zb|UxHnY z7zOqf*tLjmU|)m17I6{m8?fsUhrzxDdwnd&;5)Dz5gdc>!QL3#9_)W$Z;q`6_5;|> zvAe;31bchzQm~)E-Wq!c>}Rld$9@j>3)nm3m|MSsy+4k*^&8lG<3@u04))==u3&$F zeK3x5`6t+|ah%J)z&?r$0Q(#4_P9U5?tpz9iTMZ-V4p@}K0+keCy`^oG_cPjyMwub zeK!6om^;{)Z~R%Qn)0_MM}DA-eAL8}75ih>2M+6Gn(%(`k8SaGo6RX>200L#4U23Scj+v;9m zrNBZ~Hv}sUmSs&{}U{7s%7py*5@hzvp8h{ns%HwMY zR&ooEuMt>@tuw$HgO%Re53C7TsjabKO~J};T?^I>tjxA}u;yUpw`~S%0ak9?_h2o- zDsH(6~ z?q~(}G+2!tpMpIDR(r=quufpLc9sR}3|4n%2v`@eIy-qDUBSY4@;thM)!S7b>{+k| zyRw0G2dls97FZ9kM!PP6^#p6Un;z-~)?_z5)Elhvo(^Drz?$u;3f32_>7MOi&w;hr zGaIZQSo6J8!TN)>+S>@3+V5w63lQTw zY%EyUs9(Uwfpw318!Qs++30*=>k-X!n+VoBn&&nNtXK3;V3Wc6M!yL* z1+34(24GXc`W-9^HVy2#L+in&gAF(|1#AXb|3hDc%>)~C=vA=i!3G|F8Eh8Vki+}H zW`hkrG7)SJ*svo#z~+JtJ@Pu(Jg^Z*V!-Bu4L>>rYysG)qiw(zf{i@NeJlbSbCmm7 z3^qEZCfE|Nu`!`wOTi*ycznyiB4c=b%fZIQupX=cn-If#uo7&1Y&h5|ut~8+z*d7z zj9m@325d^~1hBPWlViUHTL(5R_8QoFu&Kw^fo%YracnZ!MzHC}u7Yg>d;ZuVu+3mI zk85CCz-Ax&1Z*qVtm7NNwt>w(J{4>`*qr0n!FGVnKOPIV6KvjzEMU9979Rf-Y&Y0~ z6ER?Wz!sla1GX1zQJgo}KCq=Hz60A2wj{0^SQOauxV&Hoz?Q`g28#w;8P^)@AlQny zOJIkwtw9R^z!_cz!Pu(fd?fgJ@~bCSM~0b75PzK;c4ck&3>F|ds%SAiV|+YsLd z>;%~6_=;e0V4LEZt0%#>#xqyr!M4P+=9~iC9?zO{8f@DsE7%#Zo$Upqp zV7pHZ06P!1>(p_u7r^$OS`T&sY|klr<3+Ijr|6BB!1kT)2KF-8fzx%tE`mj!X1%%u zcJMUo)n%~g)2vsofE_-~dUXZt(3wVHSHX^+DGv53*pV}lV6TD2p6Ld54J_s?Ysu?i z$Ir5sTn9ULwhP!BU~y+_gWUi-ajpc|O|bZL!C-HKojf-e>@Bd<=Z1j24R-1r>(x78 zXV0--y$g2cJbmyU*!gqx!TVt6&W`~50PMo~4qzXGy&%N-S4~Y!O@;6e@%qH;Q=w(u zif%5(=y+@8rI zLcYQ)c4FDb4ITWk$=`zg_=lLYm_wZH=o|fxMmg@tlHI-|e4W?aU`OcSk4<-XWZ7!j zmSjiS63^&6{f;g?u%l3pNy2$76g7-?6pEcJytkvv&Uf@b{f=IAvZHg(cXaOFjy`q1 zqaXDH=Xb3=Di*L>U>8(>vwe7$&S8vzN7E$JMvlUd`G|Pb|hnscjPni-i|go zxXyw(!X|&qCc4gNzw;gauHR9-lO64FzM~!Yb`BivZI%r@93p_JNn+~jC2aK58I?(HbZ z`HtN6J93h+zP?U(giUu{7ceJa?%fhpRcQEsJTg$Y2y1FqlWsp^X2QtX5<6M!708@W zNo7JMHEZ;AVigTo?liKOKJxP}MGnjtnBU1UXVOPL=UU|AP9uBkBcFFIas{W6ef5zO zjgxeoSvwvW=Y+ZuSj}lLVxC@`sMx)M?~kedNUA{m_v|IE`%6 zM}E(>86594au$8$_g#xT!)fHK`p6%+7I}fw$l3LgKXfhfYNwHN>LcHBE%Fwpk#p-K zf9zW1y-p+N)knVVTI3^6Bj?vg{=}uoL3Nx)E})AneO!y&&1vL<`p7}9MegS`a$$Ys zVAmqQ6ZEc=%Kwx;a&gxpf8;cBF@59`u0{UdY2*_6$faD09K6TrIG55#9^qPK=YB4u zkDT~UD`|b^`>O}8RtfK|tgW4nMmc@t#V#GQwTIKl74(spxfXet({Zk(kG$5k$dOJX zSJ6ja=UU`BP9s;-N8adK9r=>e$aVCQ6W{SX zbmUi^My{uiocI>!p(DTHG;)1?J@(MjZQoJMZ0kNk>jnev0v$Sw7euecWZSErF%>my%vDY8vEjoelr`Bm2< zXL1_3y*~15u0;-V8o8rB@-^2YXLlO;8GYo}U5lK@Y2?oO$k$zqT-a&kuKLJtxE8sz z)5y>2Bj0c>vh#PxJ@k=px)!;v(_`+Xi>&2xEwc0XuzmEAbGsI~mD6K>P9HgsYmqxU zjoe=!Ij?JxdpnIhP#-y;Ymo;#jXYQ%IlpU>oqtv^R3ACiwa5`pk9oL0ask&OPjDJ} zq&{+(YmuiqjXYW(xu9#2=R1uYp^se1waBZSMjoe+T-de9o1I1;ua8{BwaCstg_x+1 z{FG~voxf|FtdCsOwaD>KM`Nl!axvE;zv%Q+;_3RxuDzqr?C$ja;7onwiY^^pFRxA;|_ z7gC<|`bBto-S+yz>npFXy}t4K4*z|X$jsT3GRscOZhJp0;kA~dmSdLV_t^2o*IKR` z^n2Z)-xmh`zA@`a z`}uk%?dNMT=w~zNmsQu#3;o781$=Qz?vJd zt_JZU4cL7BYrSpSu1GXh#>%D$k@Aiu^U}pl(-s^YvZod}|*kuFu zngP3Ez}_*~?}rBL6NA@&W6%b?#pgMNz*`Yku;x6Yv7CWC(44f^di=oe+s?~p;iSc86XN&5wbC+!#1$e>>j zgMNJs`VBPbH&owGo(vkBw2lN#F<`R{*g^xg!ho$eU|S8?9s?F_z~T(p1wHe+9dspW zJ_mh}^j;+BwgLMwDGPQ>8ZX$(fcY4(e8KtcbrVYo_dCG__4DC%JNPMsekBb0l`-g7 z!JuC?gMPIP`t?Yf)4}_bdMNmy!D|gX9&F(8;0p%ha?#-Ut{Cj&nn6E<`=a2t4f?%r z5bu^jzfbl3`epqo&mdMz&_J6%TeoB24nTT zz8}uxX9H&NzRPOxzRTvWf34-H%`54CZ9WG50u1^Yysxq8-`C)t#+FMT4=lf);aCi6 zwymf^zmf*WQcm9w`$%&ChJ94my%zUiw%QNggW2lqW8rm;b+6-j>F?*r+8VsJqrq#t z8T9LE(C;~eegh5q4b%6-u_U=~1dBB2H`##A&@+s|Y=iwSGU&I=px;`9ej5$?Z8PY% z%b=gZJ)+Iv9?@oS{j?ceKWzq|A=nH)L$F=czrVw{T(MoX-+y2!;eDX(mhIzv?Bm3H z65D4<`(^e_TEjE@88Djx%Vxmx7_j0=ug957Cmqww?UJ5b=BEvgxvRlGE*r4d^h}=2 z5~RPjfrS{bJV~#gSsu9dX5Aou_WHt{9iMg`!zWpmqAWt-nFzkPnuenuV|M_@-zbar$Kuo}yfENQU2fP+=BjBBY4+B04_$J`TfIkCepl6_W zpfxaiU|3+0z*2$b0xJjB3=9u!9M}Ty>IMgn4jdOaDR5fgtiXAJivyPjt_$20xIJ)p zU{v6tz}UdJpzxqZK|O-{1Pu%t8ZI7F;X1NAUjOgTb-EalsdYF9u%;z7~7~cWCbi-wOUT z_}k#0ga5Q@RxhisHQ1Wfn%f#?EoLoatz@lhZDDO^?QI=m9chiQPP9(7&a%$8F1Bv7 z?zTo*4_l8}-W~5t$$eW*xYSiHXmDn&1TDL%Vo=N zD`+cfD`_idt8A-ot8J@qYiw&_YisLh>t^d|d(Jk{Hq18K7HOMon_-)6TVz{iTWi~B z+h*Hki?SWE#oFR*XKXLnE+T(!**?qcnb|M1EpxWac`_HzTsm{R%ui?Tn)!0(*Rlj< z3CWTtOIX%@LS)V*h5LG+PL@&9IxH~1^yz8pC4KsE70;JRpMhK*%2hlRCVfV6bq!bX zB$xD=U|AsfBNyqj5*z&2VS^1{eo6oJ>{rG6tmHF$(x(ZR@Qj`GZjLTNczRTNw?G%~ zid@BGs?xg&S6g!xPk>7AHe4O)-9dT}qRgaBqC`=Zom(!q-7m8_`iX} z&5V!CrT=N~tJ420*(ox7T_OGBxrDDor2h#n;j1EP>11jrEdwlFrOy=vwc^1p>GL87 z2R~zxK9>-{=R0n|b6L{oO>V%?PNdJ**x>8N4S1|d`uvU!{F#XK72FWW4R|U_S_UG# zuMfB4w;j^gk6W{IE1qnUzB#y>o2z&nN%|Jy(hpp!#3elLBYkVKOC7B886D{xj#bMb z9IkIeGCW-)eOs|hTdoFi^=Ynl<|>|Jk-j~-gy&AAZ+~tW#8o^jB7H}4bqrTaW7RU4 zM>>bA99ZAQ*y=aYTa&)aC}${FAbwU#BT7d~Z-`|G4#@90ywHh*cXU4Z;(*Gj6 zyuuCmDoa{MA~Js#BmG|`!KP`~nh1sPjSGRDr7*|Vj zm80ujimPS0%F*>M$JO?%DhnZ&J`A;ks|P7ZD5uFTVsL`+Jht?{#MR4`S14CdBfMWj z6iZ*OUgzo!27QZ5?{MjTE`7+Qk9n%Uu-~s_zw>3!arG~*-odJmh9w_&E_rgv%q4$J zfRB}ug_4c)(GQ_~a&k2f4$>z-r6^ZRP%3iu8Fm>$iNHR5#$w6RpR402k(BY2ZIpc& z5-T2~l|I`sQT$1v^x4gwpX3HS1uK1GxB))_ls?C~p&SS2Hn#F zltPrk+**W7PjRUzmx@t}Q%X=uQc6)uQ_8SkSxPxdc`|7(BE(-1sd4FVN-X6KvI?H~ z2C)*QGNlToDy15wI;94sCgatj)TY#-)TPv;gj4EM8nAOi3jU=ZAsbVgP?}PjQJPb1 zCj0=n1&^;Kr4^+$r46MmL$%{ldrAjNN6OQbXDFQ@qB^A}S36U>@MT@O)D4npnW>$S z&vLapr4OYCr6;8qr8lK7bK0A(Ww%VU*#N5!^bGOQR^GDPt%R zl(Cd?>=MbP@stUaiIhp)Fqtxit5Ye{xH_FnGdN5$x%50`7G*YN4mZrD%%jYwETAl; zETSx?EMe!Rlx39VlogbflvR|~lr`+Uma>krp0a_mk+O-hnX-kQw^FuIwo`Ucc2agx zc2o91OwBmIdwDYZ$o4Zp6qgQAqA3R{hbV_BM<{OeB>w!akVm;1Ly3imT3kBDr8<<_ zTn*vUFSPO&${&=?l)>h{LNqg15@HnPPl(J!F`MfNF`cY4WhCV+WiI7eN-xSe%4mlA zj!R>?G=NLvD3iI`hf4#w)RRj+D8nedDKV4?Y?T&vIYNo29Hw;P)Y%F`fQ2gD$}Y-o%09|!b{WB?D9V1yHp*IV*g)Axi6&dar2|}A z$E8DD+D_R-*-BYY*+V%a$xF#c$)S=X+ z)MJ!zF4d#IX-#QEX-jEG=|Jg7d7APJr4ywy zLv^8a*QTnsX04@!r45AFC4B>{Mlwn*QP8q?~ zkz5+ZAsNl3F_Z|(SjsqVh@_0COrT7pOrlJtOrcC==V_Gblo^znl;cDAy>jQ(mIHPx*jyn{tbt zKc!se>gSXzlpo1nqkKZSPWd0@2IWO|IYIfJa+K^OC622fQ(l3{id?9XiC=Do0 zDJ>|iDeWj7DP1VfQhGwPATITx^rH-<4519CjG{zPA}Nz8(;%9SOEW36Df1``Da$A; zDQhVkD4QwUAX+vq?WF9X?59Li4pU+%JQ=r>l+%=R5G^N{E>K>kT&7&5T%)`}d6V)E z<$cOW5G@~3sQOr)GE}Sy=#*}81mXtP>_LQe7ohjWYJs?^_ z(B52qjxvBUm@Og_489VfP576s8o1Xf?RR6ZGIF{a3oFiu8H22oEfbpSBp_-I1>lf#DO)@#ir_% zS`dw9)i|75!f-yzrJj^Nlzx(Q8rRI*xGi=F3Mg?6otdC(NfwG3T>;Mpu|(oP|j0cq+F!Xz}huRf(hwjEy2Y! zu*TA&eMGrU;Z$f}Qof;lPw}GsNcn~GC*=;rO`~{FGEq1cZk!4?PK9RSQg%unN&!kC z3di29G^HGcWA9dlk}&plO}L+IgiC@6pGgbTOQx5l>9XksX}W59RhnMs(k9bpVTv*x z5T>=f?cPHfz|Q!DPnf2gW(d