From 63b76864628a843e1b316f631e2c379e5d465264 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Fri, 6 Nov 2020 19:37:40 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.anno.json | 9 + el2_lsu_bus_buffer.fir | 5741 +++++++++-------- el2_lsu_bus_buffer.v | 1971 +++--- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 3 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../lsu/el2_lsu_bus_buffer$$anon$1.class | Bin 14886 -> 15016 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 491969 -> 493498 bytes 8 files changed, 3870 insertions(+), 3854 deletions(-) diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index faf1728b..832cc8af 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -71,6 +71,15 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data_error" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_test", + "sources":[ + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_imprecise_error_addr_any", diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index c30f2efb..e1c3129f 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -291,7 +291,7 @@ circuit el2_lsu_bus_buffer : module el2_lsu_bus_buffer : input clock : Clock input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>} + output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt} wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 120:22] wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 121:23] @@ -2699,113 +2699,114 @@ circuit el2_lsu_bus_buffer : node _T_1882 = mux(_T_1866, UInt<2>("h02"), _T_1881) @[Mux.scala 98:16] node _T_1883 = mux(_T_1852, UInt<1>("h01"), _T_1882) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1838, UInt<1>("h00"), _T_1883) @[Mux.scala 98:16] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 398:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 399:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 399:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 399:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 399:11] - node _T_1884 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 400:58] - node _T_1885 = eq(_T_1884, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:45] - node _T_1886 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 400:78] - node _T_1887 = and(_T_1885, _T_1886) @[el2_lsu_bus_buffer.scala 400:63] - node _T_1888 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:90] - node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 400:88] - node _T_1890 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 400:58] - node _T_1891 = eq(_T_1890, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:45] - node _T_1892 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 400:78] - node _T_1893 = and(_T_1891, _T_1892) @[el2_lsu_bus_buffer.scala 400:63] - node _T_1894 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:90] - node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 400:88] - node _T_1896 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 400:58] - node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:45] - node _T_1898 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 400:78] - node _T_1899 = and(_T_1897, _T_1898) @[el2_lsu_bus_buffer.scala 400:63] - node _T_1900 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:90] - node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 400:88] - node _T_1902 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 400:58] - node _T_1903 = eq(_T_1902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:45] - node _T_1904 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 400:78] - node _T_1905 = and(_T_1903, _T_1904) @[el2_lsu_bus_buffer.scala 400:63] - node _T_1906 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:90] - node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 400:88] + io.test <= WrPtr1_m @[el2_lsu_bus_buffer.scala 398:11] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 399:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] + node _T_1884 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 401:58] + node _T_1885 = eq(_T_1884, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] + node _T_1886 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] + node _T_1887 = and(_T_1885, _T_1886) @[el2_lsu_bus_buffer.scala 401:63] + node _T_1888 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] + node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 401:88] + node _T_1890 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 401:58] + node _T_1891 = eq(_T_1890, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] + node _T_1892 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] + node _T_1893 = and(_T_1891, _T_1892) @[el2_lsu_bus_buffer.scala 401:63] + node _T_1894 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] + node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 401:88] + node _T_1896 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 401:58] + node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] + node _T_1898 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] + node _T_1899 = and(_T_1897, _T_1898) @[el2_lsu_bus_buffer.scala 401:63] + node _T_1900 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] + node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 401:88] + node _T_1902 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 401:58] + node _T_1903 = eq(_T_1902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] + node _T_1904 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] + node _T_1905 = and(_T_1903, _T_1904) @[el2_lsu_bus_buffer.scala 401:63] + node _T_1906 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] + node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 401:88] node _T_1908 = cat(_T_1907, _T_1901) @[Cat.scala 29:58] node _T_1909 = cat(_T_1908, _T_1895) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1909, _T_1889) @[Cat.scala 29:58] - node _T_1910 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 401:62] - node _T_1911 = and(buf_age[0], _T_1910) @[el2_lsu_bus_buffer.scala 401:59] - node _T_1912 = orr(_T_1911) @[el2_lsu_bus_buffer.scala 401:76] - node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1914 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 401:94] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:83] - node _T_1916 = and(_T_1913, _T_1915) @[el2_lsu_bus_buffer.scala 401:81] - node _T_1917 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:113] - node _T_1918 = and(_T_1916, _T_1917) @[el2_lsu_bus_buffer.scala 401:98] - node _T_1919 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:125] - node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 401:123] - node _T_1921 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 401:62] - node _T_1922 = and(buf_age[1], _T_1921) @[el2_lsu_bus_buffer.scala 401:59] - node _T_1923 = orr(_T_1922) @[el2_lsu_bus_buffer.scala 401:76] - node _T_1924 = eq(_T_1923, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1925 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 401:94] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:83] - node _T_1927 = and(_T_1924, _T_1926) @[el2_lsu_bus_buffer.scala 401:81] - node _T_1928 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:113] - node _T_1929 = and(_T_1927, _T_1928) @[el2_lsu_bus_buffer.scala 401:98] - node _T_1930 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:125] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 401:123] - node _T_1932 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 401:62] - node _T_1933 = and(buf_age[2], _T_1932) @[el2_lsu_bus_buffer.scala 401:59] - node _T_1934 = orr(_T_1933) @[el2_lsu_bus_buffer.scala 401:76] - node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1936 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 401:94] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:83] - node _T_1938 = and(_T_1935, _T_1937) @[el2_lsu_bus_buffer.scala 401:81] - node _T_1939 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:113] - node _T_1940 = and(_T_1938, _T_1939) @[el2_lsu_bus_buffer.scala 401:98] - node _T_1941 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:125] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 401:123] - node _T_1943 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 401:62] - node _T_1944 = and(buf_age[3], _T_1943) @[el2_lsu_bus_buffer.scala 401:59] - node _T_1945 = orr(_T_1944) @[el2_lsu_bus_buffer.scala 401:76] - node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1947 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 401:94] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:83] - node _T_1949 = and(_T_1946, _T_1948) @[el2_lsu_bus_buffer.scala 401:81] - node _T_1950 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:113] - node _T_1951 = and(_T_1949, _T_1950) @[el2_lsu_bus_buffer.scala 401:98] - node _T_1952 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:125] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 401:123] + node _T_1910 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] + node _T_1911 = and(buf_age[0], _T_1910) @[el2_lsu_bus_buffer.scala 402:59] + node _T_1912 = orr(_T_1911) @[el2_lsu_bus_buffer.scala 402:76] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] + node _T_1914 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 402:94] + node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] + node _T_1916 = and(_T_1913, _T_1915) @[el2_lsu_bus_buffer.scala 402:81] + node _T_1917 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] + node _T_1918 = and(_T_1916, _T_1917) @[el2_lsu_bus_buffer.scala 402:98] + node _T_1919 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] + node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 402:123] + node _T_1921 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] + node _T_1922 = and(buf_age[1], _T_1921) @[el2_lsu_bus_buffer.scala 402:59] + node _T_1923 = orr(_T_1922) @[el2_lsu_bus_buffer.scala 402:76] + node _T_1924 = eq(_T_1923, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] + node _T_1925 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 402:94] + node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] + node _T_1927 = and(_T_1924, _T_1926) @[el2_lsu_bus_buffer.scala 402:81] + node _T_1928 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] + node _T_1929 = and(_T_1927, _T_1928) @[el2_lsu_bus_buffer.scala 402:98] + node _T_1930 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] + node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 402:123] + node _T_1932 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] + node _T_1933 = and(buf_age[2], _T_1932) @[el2_lsu_bus_buffer.scala 402:59] + node _T_1934 = orr(_T_1933) @[el2_lsu_bus_buffer.scala 402:76] + node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] + node _T_1936 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 402:94] + node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] + node _T_1938 = and(_T_1935, _T_1937) @[el2_lsu_bus_buffer.scala 402:81] + node _T_1939 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] + node _T_1940 = and(_T_1938, _T_1939) @[el2_lsu_bus_buffer.scala 402:98] + node _T_1941 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] + node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 402:123] + node _T_1943 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] + node _T_1944 = and(buf_age[3], _T_1943) @[el2_lsu_bus_buffer.scala 402:59] + node _T_1945 = orr(_T_1944) @[el2_lsu_bus_buffer.scala 402:76] + node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] + node _T_1947 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 402:94] + node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] + node _T_1949 = and(_T_1946, _T_1948) @[el2_lsu_bus_buffer.scala 402:81] + node _T_1950 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] + node _T_1951 = and(_T_1949, _T_1950) @[el2_lsu_bus_buffer.scala 402:98] + node _T_1952 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] + node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 402:123] node _T_1954 = cat(_T_1953, _T_1942) @[Cat.scala 29:58] node _T_1955 = cat(_T_1954, _T_1931) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_1955, _T_1920) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 402:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:19] - node _T_1956 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 404:65] - node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:44] - node _T_1958 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 404:85] - node _T_1959 = and(_T_1957, _T_1958) @[el2_lsu_bus_buffer.scala 404:70] - node _T_1960 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 404:65] - node _T_1961 = eq(_T_1960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:44] - node _T_1962 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 404:85] - node _T_1963 = and(_T_1961, _T_1962) @[el2_lsu_bus_buffer.scala 404:70] - node _T_1964 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 404:65] - node _T_1965 = eq(_T_1964, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:44] - node _T_1966 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 404:85] - node _T_1967 = and(_T_1965, _T_1966) @[el2_lsu_bus_buffer.scala 404:70] - node _T_1968 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 404:65] - node _T_1969 = eq(_T_1968, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:44] - node _T_1970 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 404:85] - node _T_1971 = and(_T_1969, _T_1970) @[el2_lsu_bus_buffer.scala 404:70] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 403:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] + node _T_1956 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 405:65] + node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] + node _T_1958 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] + node _T_1959 = and(_T_1957, _T_1958) @[el2_lsu_bus_buffer.scala 405:70] + node _T_1960 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 405:65] + node _T_1961 = eq(_T_1960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] + node _T_1962 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] + node _T_1963 = and(_T_1961, _T_1962) @[el2_lsu_bus_buffer.scala 405:70] + node _T_1964 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 405:65] + node _T_1965 = eq(_T_1964, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] + node _T_1966 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] + node _T_1967 = and(_T_1965, _T_1966) @[el2_lsu_bus_buffer.scala 405:70] + node _T_1968 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 405:65] + node _T_1969 = eq(_T_1968, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] + node _T_1970 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] + node _T_1971 = and(_T_1969, _T_1970) @[el2_lsu_bus_buffer.scala 405:70] node _T_1972 = cat(_T_1971, _T_1967) @[Cat.scala 29:58] node _T_1973 = cat(_T_1972, _T_1963) @[Cat.scala 29:58] node RspPtrDec = cat(_T_1973, _T_1959) @[Cat.scala 29:58] - node _T_1974 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 405:31] - found_cmdptr0 <= _T_1974 @[el2_lsu_bus_buffer.scala 405:17] - node _T_1975 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 406:31] - found_cmdptr1 <= _T_1975 @[el2_lsu_bus_buffer.scala 406:17] + node _T_1974 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:31] + found_cmdptr0 <= _T_1974 @[el2_lsu_bus_buffer.scala 406:17] + node _T_1975 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 407:31] + found_cmdptr1 <= _T_1975 @[el2_lsu_bus_buffer.scala 407:17] node _T_1976 = bits(CmdPtr0Dec, 0, 0) @[OneHot.scala 47:40] node _T_1977 = bits(CmdPtr0Dec, 1, 1) @[OneHot.scala 47:40] node _T_1978 = bits(CmdPtr0Dec, 2, 2) @[OneHot.scala 47:40] @@ -2827,1581 +2828,1581 @@ circuit el2_lsu_bus_buffer : node _T_1992 = mux(_T_1990, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] node _T_1993 = mux(_T_1989, UInt<1>("h01"), _T_1992) @[Mux.scala 47:69] node RspPtr = mux(_T_1988, UInt<1>("h00"), _T_1993) @[Mux.scala 47:69] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 411:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 412:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 412:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 412:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 412:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 413:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 415:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 417:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 419:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:14] - node _T_1994 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_1995 = and(_T_1994, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_1996 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_1997 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_1998 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2000 = or(_T_1996, _T_1999) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2001 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2002 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2003 = and(_T_2001, _T_2002) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2004 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2006 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2008 = or(_T_2000, _T_2007) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2009 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2010 = and(_T_2009, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2011 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2013 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2014 = and(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2015 = or(_T_2008, _T_2014) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2016 = and(_T_1995, _T_2015) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2017 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2019 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2020 = and(_T_2019, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2021 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2022 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2023 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2024 = and(_T_2022, _T_2023) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2025 = or(_T_2021, _T_2024) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2026 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2027 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2028 = and(_T_2026, _T_2027) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2029 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2031 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2033 = or(_T_2025, _T_2032) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2034 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2035 = and(_T_2034, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2036 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2037 = and(_T_2035, _T_2036) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2038 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2039 = and(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2040 = or(_T_2033, _T_2039) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2041 = and(_T_2020, _T_2040) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2042 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2044 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2045 = and(_T_2044, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2046 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2047 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2048 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2049 = and(_T_2047, _T_2048) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2050 = or(_T_2046, _T_2049) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2051 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2052 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2053 = and(_T_2051, _T_2052) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2054 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2056 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2058 = or(_T_2050, _T_2057) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2059 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2060 = and(_T_2059, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2061 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2063 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2065 = or(_T_2058, _T_2064) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2066 = and(_T_2045, _T_2065) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2067 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2068 = or(_T_2066, _T_2067) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2069 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2070 = and(_T_2069, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2071 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2072 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2073 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2074 = and(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2075 = or(_T_2071, _T_2074) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2076 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2077 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2078 = and(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2079 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2081 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2082 = and(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2083 = or(_T_2075, _T_2082) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2084 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2085 = and(_T_2084, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2086 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2088 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2090 = or(_T_2083, _T_2089) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2091 = and(_T_2070, _T_2090) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2092 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2093 = or(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 425:97] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 412:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 414:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 416:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 418:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 420:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] + node _T_1994 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_1995 = and(_T_1994, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_1996 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_1997 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_1998 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2000 = or(_T_1996, _T_1999) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2001 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2002 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2003 = and(_T_2001, _T_2002) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2004 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2006 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2008 = or(_T_2000, _T_2007) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2009 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2010 = and(_T_2009, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2011 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2013 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2014 = and(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2015 = or(_T_2008, _T_2014) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2016 = and(_T_1995, _T_2015) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2017 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2019 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2020 = and(_T_2019, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2021 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2022 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2023 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2024 = and(_T_2022, _T_2023) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2025 = or(_T_2021, _T_2024) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2026 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2027 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2028 = and(_T_2026, _T_2027) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2029 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2031 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2033 = or(_T_2025, _T_2032) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2034 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2035 = and(_T_2034, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2036 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2037 = and(_T_2035, _T_2036) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2038 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2039 = and(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2040 = or(_T_2033, _T_2039) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2041 = and(_T_2020, _T_2040) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2042 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2044 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2045 = and(_T_2044, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2046 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2047 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2048 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2049 = and(_T_2047, _T_2048) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2050 = or(_T_2046, _T_2049) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2051 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2052 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2053 = and(_T_2051, _T_2052) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2054 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2056 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2058 = or(_T_2050, _T_2057) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2059 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2060 = and(_T_2059, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2061 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2063 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2065 = or(_T_2058, _T_2064) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2066 = and(_T_2045, _T_2065) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2067 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2068 = or(_T_2066, _T_2067) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2069 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2070 = and(_T_2069, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2071 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2072 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2073 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2074 = and(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2075 = or(_T_2071, _T_2074) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2076 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2077 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2078 = and(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2079 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2081 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2082 = and(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2083 = or(_T_2075, _T_2082) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2084 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2085 = and(_T_2084, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2086 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2088 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2090 = or(_T_2083, _T_2089) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2091 = and(_T_2070, _T_2090) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2092 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2093 = or(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 426:97] node _T_2094 = cat(_T_2093, _T_2068) @[Cat.scala 29:58] node _T_2095 = cat(_T_2094, _T_2043) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2095, _T_2018) @[Cat.scala 29:58] - node _T_2096 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2097 = and(_T_2096, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2098 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2099 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2100 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2101 = and(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2102 = or(_T_2098, _T_2101) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2103 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2104 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2105 = and(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2106 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2107 = and(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2108 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2110 = or(_T_2102, _T_2109) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2111 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2112 = and(_T_2111, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2113 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2115 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2117 = or(_T_2110, _T_2116) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2118 = and(_T_2097, _T_2117) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2119 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2120 = or(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2121 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2122 = and(_T_2121, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2123 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2124 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2125 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2126 = and(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2127 = or(_T_2123, _T_2126) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2128 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2129 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2130 = and(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2131 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2132 = and(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2133 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2135 = or(_T_2127, _T_2134) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2136 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2137 = and(_T_2136, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2138 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2140 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2142 = or(_T_2135, _T_2141) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2143 = and(_T_2122, _T_2142) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2144 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2145 = or(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2146 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2147 = and(_T_2146, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2148 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2149 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2150 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2151 = and(_T_2149, _T_2150) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2152 = or(_T_2148, _T_2151) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2153 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2154 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2155 = and(_T_2153, _T_2154) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2156 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2158 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2159 = and(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2160 = or(_T_2152, _T_2159) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2161 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2162 = and(_T_2161, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2163 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2165 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2167 = or(_T_2160, _T_2166) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2168 = and(_T_2147, _T_2167) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2169 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2170 = or(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2171 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2172 = and(_T_2171, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2173 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2174 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2175 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2176 = and(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2177 = or(_T_2173, _T_2176) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2178 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2179 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2180 = and(_T_2178, _T_2179) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2181 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2183 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2185 = or(_T_2177, _T_2184) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2186 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2187 = and(_T_2186, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2188 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2190 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2192 = or(_T_2185, _T_2191) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2193 = and(_T_2172, _T_2192) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2194 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2195 = or(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 425:97] + node _T_2096 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2097 = and(_T_2096, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2098 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2099 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2100 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2101 = and(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2102 = or(_T_2098, _T_2101) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2103 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2104 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2105 = and(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2106 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2107 = and(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2108 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2110 = or(_T_2102, _T_2109) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2111 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2112 = and(_T_2111, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2113 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2115 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2117 = or(_T_2110, _T_2116) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2118 = and(_T_2097, _T_2117) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2119 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2120 = or(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2121 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2122 = and(_T_2121, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2123 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2124 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2125 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2126 = and(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2127 = or(_T_2123, _T_2126) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2128 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2129 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2130 = and(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2131 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2132 = and(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2133 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2135 = or(_T_2127, _T_2134) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2136 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2137 = and(_T_2136, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2138 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2140 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2142 = or(_T_2135, _T_2141) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2143 = and(_T_2122, _T_2142) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2144 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2145 = or(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2146 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2147 = and(_T_2146, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2148 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2149 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2150 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2151 = and(_T_2149, _T_2150) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2152 = or(_T_2148, _T_2151) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2153 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2154 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2155 = and(_T_2153, _T_2154) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2156 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2158 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2159 = and(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2160 = or(_T_2152, _T_2159) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2161 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2162 = and(_T_2161, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2163 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2165 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2167 = or(_T_2160, _T_2166) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2168 = and(_T_2147, _T_2167) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2169 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2170 = or(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2171 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2172 = and(_T_2171, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2173 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2174 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2175 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2176 = and(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2177 = or(_T_2173, _T_2176) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2178 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2179 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2180 = and(_T_2178, _T_2179) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2181 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2183 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2185 = or(_T_2177, _T_2184) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2186 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2187 = and(_T_2186, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2188 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2190 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2192 = or(_T_2185, _T_2191) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2193 = and(_T_2172, _T_2192) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2194 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2195 = or(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 426:97] node _T_2196 = cat(_T_2195, _T_2170) @[Cat.scala 29:58] node _T_2197 = cat(_T_2196, _T_2145) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2197, _T_2120) @[Cat.scala 29:58] - node _T_2198 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2199 = and(_T_2198, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2200 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2201 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2202 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2204 = or(_T_2200, _T_2203) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2205 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2206 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2207 = and(_T_2205, _T_2206) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2208 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2210 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2212 = or(_T_2204, _T_2211) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2213 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2214 = and(_T_2213, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2215 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2217 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2219 = or(_T_2212, _T_2218) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2220 = and(_T_2199, _T_2219) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2221 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2222 = or(_T_2220, _T_2221) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2223 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2224 = and(_T_2223, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2225 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2226 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2227 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2229 = or(_T_2225, _T_2228) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2230 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2231 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2232 = and(_T_2230, _T_2231) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2233 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2235 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2237 = or(_T_2229, _T_2236) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2238 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2239 = and(_T_2238, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2240 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2242 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2244 = or(_T_2237, _T_2243) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2245 = and(_T_2224, _T_2244) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2246 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2247 = or(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2248 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2249 = and(_T_2248, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2250 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2251 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2252 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2254 = or(_T_2250, _T_2253) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2255 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2256 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2257 = and(_T_2255, _T_2256) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2258 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2260 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2262 = or(_T_2254, _T_2261) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2263 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2264 = and(_T_2263, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2265 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2269 = or(_T_2262, _T_2268) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2270 = and(_T_2249, _T_2269) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2271 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2272 = or(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2273 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2274 = and(_T_2273, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2275 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2276 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2277 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2278 = and(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2279 = or(_T_2275, _T_2278) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2280 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2281 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2282 = and(_T_2280, _T_2281) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2283 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2285 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2287 = or(_T_2279, _T_2286) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2288 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2289 = and(_T_2288, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2290 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2292 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2294 = or(_T_2287, _T_2293) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2295 = and(_T_2274, _T_2294) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2296 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2297 = or(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 425:97] + node _T_2198 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2199 = and(_T_2198, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2200 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2201 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2202 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2204 = or(_T_2200, _T_2203) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2205 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2206 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2207 = and(_T_2205, _T_2206) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2208 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2210 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2212 = or(_T_2204, _T_2211) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2213 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2214 = and(_T_2213, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2215 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2217 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2219 = or(_T_2212, _T_2218) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2220 = and(_T_2199, _T_2219) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2221 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2222 = or(_T_2220, _T_2221) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2223 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2224 = and(_T_2223, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2225 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2226 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2227 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2229 = or(_T_2225, _T_2228) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2230 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2231 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2232 = and(_T_2230, _T_2231) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2233 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2235 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2237 = or(_T_2229, _T_2236) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2238 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2239 = and(_T_2238, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2240 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2242 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2244 = or(_T_2237, _T_2243) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2245 = and(_T_2224, _T_2244) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2246 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2247 = or(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2248 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2249 = and(_T_2248, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2250 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2251 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2252 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2254 = or(_T_2250, _T_2253) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2255 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2256 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2257 = and(_T_2255, _T_2256) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2258 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2260 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2262 = or(_T_2254, _T_2261) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2263 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2264 = and(_T_2263, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2265 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2269 = or(_T_2262, _T_2268) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2270 = and(_T_2249, _T_2269) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2271 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2272 = or(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2273 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2274 = and(_T_2273, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2275 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2276 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2277 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2278 = and(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2279 = or(_T_2275, _T_2278) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2280 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2281 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2282 = and(_T_2280, _T_2281) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2283 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2285 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2287 = or(_T_2279, _T_2286) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2288 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2289 = and(_T_2288, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2290 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2292 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2294 = or(_T_2287, _T_2293) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2295 = and(_T_2274, _T_2294) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2296 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2297 = or(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 426:97] node _T_2298 = cat(_T_2297, _T_2272) @[Cat.scala 29:58] node _T_2299 = cat(_T_2298, _T_2247) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2299, _T_2222) @[Cat.scala 29:58] - node _T_2300 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2301 = and(_T_2300, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2302 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2303 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2304 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2306 = or(_T_2302, _T_2305) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2307 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2308 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2309 = and(_T_2307, _T_2308) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2310 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2312 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2314 = or(_T_2306, _T_2313) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2315 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2316 = and(_T_2315, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2317 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2319 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2321 = or(_T_2314, _T_2320) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2322 = and(_T_2301, _T_2321) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2323 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2324 = or(_T_2322, _T_2323) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2325 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2326 = and(_T_2325, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2327 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2328 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2329 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2331 = or(_T_2327, _T_2330) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2332 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2333 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2334 = and(_T_2332, _T_2333) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2335 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2337 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2339 = or(_T_2331, _T_2338) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2340 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2341 = and(_T_2340, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2342 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2344 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2346 = or(_T_2339, _T_2345) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2347 = and(_T_2326, _T_2346) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2348 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2349 = or(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2350 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2351 = and(_T_2350, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2352 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2353 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2354 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2356 = or(_T_2352, _T_2355) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2357 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2358 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2359 = and(_T_2357, _T_2358) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2360 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2362 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2364 = or(_T_2356, _T_2363) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2365 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2366 = and(_T_2365, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2367 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2369 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2371 = or(_T_2364, _T_2370) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2372 = and(_T_2351, _T_2371) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2373 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2374 = or(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 425:97] - node _T_2375 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:83] - node _T_2376 = and(_T_2375, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 422:94] - node _T_2377 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 423:20] - node _T_2378 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 423:47] - node _T_2379 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:59] - node _T_2380 = and(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 423:57] - node _T_2381 = or(_T_2377, _T_2380) @[el2_lsu_bus_buffer.scala 423:31] - node _T_2382 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 424:23] - node _T_2383 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 424:53] - node _T_2384 = and(_T_2382, _T_2383) @[el2_lsu_bus_buffer.scala 424:41] - node _T_2385 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:83] - node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 424:71] - node _T_2387 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:104] - node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 424:92] - node _T_2389 = or(_T_2381, _T_2388) @[el2_lsu_bus_buffer.scala 423:86] - node _T_2390 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:17] - node _T_2391 = and(_T_2390, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:35] - node _T_2392 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:64] - node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 425:52] - node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:85] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 425:73] - node _T_2396 = or(_T_2389, _T_2395) @[el2_lsu_bus_buffer.scala 424:114] - node _T_2397 = and(_T_2376, _T_2396) @[el2_lsu_bus_buffer.scala 422:113] - node _T_2398 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 425:109] - node _T_2399 = or(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 425:97] + node _T_2300 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2301 = and(_T_2300, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2302 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2303 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2304 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2306 = or(_T_2302, _T_2305) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2307 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2308 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2309 = and(_T_2307, _T_2308) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2310 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2312 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2314 = or(_T_2306, _T_2313) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2315 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2316 = and(_T_2315, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2317 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2319 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2321 = or(_T_2314, _T_2320) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2322 = and(_T_2301, _T_2321) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2323 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2324 = or(_T_2322, _T_2323) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2325 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2326 = and(_T_2325, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2327 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2328 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2329 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2331 = or(_T_2327, _T_2330) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2332 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2333 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2334 = and(_T_2332, _T_2333) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2335 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2337 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2339 = or(_T_2331, _T_2338) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2340 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2341 = and(_T_2340, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2342 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2344 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2346 = or(_T_2339, _T_2345) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2347 = and(_T_2326, _T_2346) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2348 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2349 = or(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2350 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2351 = and(_T_2350, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2352 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2353 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2354 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2356 = or(_T_2352, _T_2355) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2357 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2358 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2359 = and(_T_2357, _T_2358) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2360 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2362 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2364 = or(_T_2356, _T_2363) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2365 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2366 = and(_T_2365, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2367 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2371 = or(_T_2364, _T_2370) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2372 = and(_T_2351, _T_2371) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2373 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2374 = or(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2375 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2376 = and(_T_2375, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2377 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2378 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2379 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2380 = and(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2381 = or(_T_2377, _T_2380) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2382 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2383 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2384 = and(_T_2382, _T_2383) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2385 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2387 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2389 = or(_T_2381, _T_2388) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2390 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2391 = and(_T_2390, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2392 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2396 = or(_T_2389, _T_2395) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2397 = and(_T_2376, _T_2396) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2398 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2399 = or(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 426:97] node _T_2400 = cat(_T_2399, _T_2374) @[Cat.scala 29:58] node _T_2401 = cat(_T_2400, _T_2349) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2401, _T_2324) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:12] - node _T_2402 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2403 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2404 = and(_T_2403, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2405 = and(_T_2402, _T_2404) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2406 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2407 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2408 = and(_T_2407, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2409 = and(_T_2406, _T_2408) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2410 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2411 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2412 = and(_T_2411, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2413 = and(_T_2410, _T_2412) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2414 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2415 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2416 = and(_T_2415, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2417 = and(_T_2414, _T_2416) @[el2_lsu_bus_buffer.scala 428:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] + node _T_2402 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2403 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2404 = and(_T_2403, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2405 = and(_T_2402, _T_2404) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2406 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2407 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2408 = and(_T_2407, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2409 = and(_T_2406, _T_2408) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2410 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2411 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2412 = and(_T_2411, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2413 = and(_T_2410, _T_2412) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2414 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2415 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2416 = and(_T_2415, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2417 = and(_T_2414, _T_2416) @[el2_lsu_bus_buffer.scala 429:78] node _T_2418 = cat(_T_2417, _T_2413) @[Cat.scala 29:58] node _T_2419 = cat(_T_2418, _T_2409) @[Cat.scala 29:58] node _T_2420 = cat(_T_2419, _T_2405) @[Cat.scala 29:58] - node _T_2421 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2422 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2423 = and(_T_2422, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2424 = and(_T_2421, _T_2423) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2425 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2426 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2427 = and(_T_2426, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2428 = and(_T_2425, _T_2427) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2429 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2430 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2431 = and(_T_2430, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2432 = and(_T_2429, _T_2431) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2433 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2434 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2435 = and(_T_2434, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2436 = and(_T_2433, _T_2435) @[el2_lsu_bus_buffer.scala 428:78] + node _T_2421 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2422 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2423 = and(_T_2422, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2424 = and(_T_2421, _T_2423) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2425 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2426 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2427 = and(_T_2426, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2428 = and(_T_2425, _T_2427) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2429 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2430 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2431 = and(_T_2430, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2432 = and(_T_2429, _T_2431) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2433 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2434 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2435 = and(_T_2434, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2436 = and(_T_2433, _T_2435) @[el2_lsu_bus_buffer.scala 429:78] node _T_2437 = cat(_T_2436, _T_2432) @[Cat.scala 29:58] node _T_2438 = cat(_T_2437, _T_2428) @[Cat.scala 29:58] node _T_2439 = cat(_T_2438, _T_2424) @[Cat.scala 29:58] - node _T_2440 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2441 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2442 = and(_T_2441, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2443 = and(_T_2440, _T_2442) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2444 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2445 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2446 = and(_T_2445, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2447 = and(_T_2444, _T_2446) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2448 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2449 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2450 = and(_T_2449, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2451 = and(_T_2448, _T_2450) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2452 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2453 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2454 = and(_T_2453, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2455 = and(_T_2452, _T_2454) @[el2_lsu_bus_buffer.scala 428:78] + node _T_2440 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2441 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2442 = and(_T_2441, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2443 = and(_T_2440, _T_2442) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2444 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2445 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2446 = and(_T_2445, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2447 = and(_T_2444, _T_2446) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2448 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2449 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2450 = and(_T_2449, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2451 = and(_T_2448, _T_2450) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2452 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2453 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2454 = and(_T_2453, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2455 = and(_T_2452, _T_2454) @[el2_lsu_bus_buffer.scala 429:78] node _T_2456 = cat(_T_2455, _T_2451) @[Cat.scala 29:58] node _T_2457 = cat(_T_2456, _T_2447) @[Cat.scala 29:58] node _T_2458 = cat(_T_2457, _T_2443) @[Cat.scala 29:58] - node _T_2459 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2460 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2461 = and(_T_2460, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2462 = and(_T_2459, _T_2461) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2463 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2464 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2465 = and(_T_2464, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2466 = and(_T_2463, _T_2465) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2467 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2468 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2469 = and(_T_2468, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2470 = and(_T_2467, _T_2469) @[el2_lsu_bus_buffer.scala 428:78] - node _T_2471 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 428:74] - node _T_2472 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2473 = and(_T_2472, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 428:104] - node _T_2474 = and(_T_2471, _T_2473) @[el2_lsu_bus_buffer.scala 428:78] + node _T_2459 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2460 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2461 = and(_T_2460, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2462 = and(_T_2459, _T_2461) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2463 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2464 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2465 = and(_T_2464, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2466 = and(_T_2463, _T_2465) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2467 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2468 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2469 = and(_T_2468, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2470 = and(_T_2467, _T_2469) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2471 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2472 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2473 = and(_T_2472, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2474 = and(_T_2471, _T_2473) @[el2_lsu_bus_buffer.scala 429:78] node _T_2475 = cat(_T_2474, _T_2470) @[Cat.scala 29:58] node _T_2476 = cat(_T_2475, _T_2466) @[Cat.scala 29:58] node _T_2477 = cat(_T_2476, _T_2462) @[Cat.scala 29:58] - buf_age[0] <= _T_2420 @[el2_lsu_bus_buffer.scala 428:13] - buf_age[1] <= _T_2439 @[el2_lsu_bus_buffer.scala 428:13] - buf_age[2] <= _T_2458 @[el2_lsu_bus_buffer.scala 428:13] - buf_age[3] <= _T_2477 @[el2_lsu_bus_buffer.scala 428:13] - node _T_2478 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2479 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2480 = eq(_T_2479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2481 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2482 = and(_T_2480, _T_2481) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2483 = mux(_T_2478, UInt<1>("h00"), _T_2482) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2484 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2485 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2486 = eq(_T_2485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2487 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2488 = and(_T_2486, _T_2487) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2489 = mux(_T_2484, UInt<1>("h00"), _T_2488) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2490 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2491 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2493 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2495 = mux(_T_2490, UInt<1>("h00"), _T_2494) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2496 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2497 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2498 = eq(_T_2497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2499 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2500 = and(_T_2498, _T_2499) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2501 = mux(_T_2496, UInt<1>("h00"), _T_2500) @[el2_lsu_bus_buffer.scala 429:74] + buf_age[0] <= _T_2420 @[el2_lsu_bus_buffer.scala 429:13] + buf_age[1] <= _T_2439 @[el2_lsu_bus_buffer.scala 429:13] + buf_age[2] <= _T_2458 @[el2_lsu_bus_buffer.scala 429:13] + buf_age[3] <= _T_2477 @[el2_lsu_bus_buffer.scala 429:13] + node _T_2478 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2479 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2480 = eq(_T_2479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2481 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2482 = and(_T_2480, _T_2481) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2483 = mux(_T_2478, UInt<1>("h00"), _T_2482) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2484 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2485 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2486 = eq(_T_2485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2487 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2488 = and(_T_2486, _T_2487) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2489 = mux(_T_2484, UInt<1>("h00"), _T_2488) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2490 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2491 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2493 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2495 = mux(_T_2490, UInt<1>("h00"), _T_2494) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2496 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2497 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2498 = eq(_T_2497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2499 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2500 = and(_T_2498, _T_2499) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2501 = mux(_T_2496, UInt<1>("h00"), _T_2500) @[el2_lsu_bus_buffer.scala 430:74] node _T_2502 = cat(_T_2501, _T_2495) @[Cat.scala 29:58] node _T_2503 = cat(_T_2502, _T_2489) @[Cat.scala 29:58] node _T_2504 = cat(_T_2503, _T_2483) @[Cat.scala 29:58] - node _T_2505 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2506 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2507 = eq(_T_2506, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2508 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2510 = mux(_T_2505, UInt<1>("h00"), _T_2509) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2511 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2512 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2513 = eq(_T_2512, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2514 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2515 = and(_T_2513, _T_2514) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2516 = mux(_T_2511, UInt<1>("h00"), _T_2515) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2517 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2518 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2519 = eq(_T_2518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2520 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2521 = and(_T_2519, _T_2520) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2522 = mux(_T_2517, UInt<1>("h00"), _T_2521) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2523 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2524 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2525 = eq(_T_2524, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2526 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2527 = and(_T_2525, _T_2526) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2528 = mux(_T_2523, UInt<1>("h00"), _T_2527) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2505 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2506 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2507 = eq(_T_2506, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2508 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2510 = mux(_T_2505, UInt<1>("h00"), _T_2509) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2511 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2512 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2513 = eq(_T_2512, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2514 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2515 = and(_T_2513, _T_2514) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2516 = mux(_T_2511, UInt<1>("h00"), _T_2515) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2517 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2518 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2519 = eq(_T_2518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2520 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2521 = and(_T_2519, _T_2520) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2522 = mux(_T_2517, UInt<1>("h00"), _T_2521) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2523 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2524 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2525 = eq(_T_2524, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2526 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2527 = and(_T_2525, _T_2526) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2528 = mux(_T_2523, UInt<1>("h00"), _T_2527) @[el2_lsu_bus_buffer.scala 430:74] node _T_2529 = cat(_T_2528, _T_2522) @[Cat.scala 29:58] node _T_2530 = cat(_T_2529, _T_2516) @[Cat.scala 29:58] node _T_2531 = cat(_T_2530, _T_2510) @[Cat.scala 29:58] - node _T_2532 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2533 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2534 = eq(_T_2533, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2535 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2536 = and(_T_2534, _T_2535) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2537 = mux(_T_2532, UInt<1>("h00"), _T_2536) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2538 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2539 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2541 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2543 = mux(_T_2538, UInt<1>("h00"), _T_2542) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2544 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2545 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2546 = eq(_T_2545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2547 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2548 = and(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2549 = mux(_T_2544, UInt<1>("h00"), _T_2548) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2550 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2551 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2552 = eq(_T_2551, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2553 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2554 = and(_T_2552, _T_2553) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2555 = mux(_T_2550, UInt<1>("h00"), _T_2554) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2532 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2533 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2534 = eq(_T_2533, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2535 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2536 = and(_T_2534, _T_2535) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2537 = mux(_T_2532, UInt<1>("h00"), _T_2536) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2538 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2539 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2541 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2543 = mux(_T_2538, UInt<1>("h00"), _T_2542) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2544 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2545 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2546 = eq(_T_2545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2547 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2548 = and(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2549 = mux(_T_2544, UInt<1>("h00"), _T_2548) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2550 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2551 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2552 = eq(_T_2551, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2553 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2554 = and(_T_2552, _T_2553) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2555 = mux(_T_2550, UInt<1>("h00"), _T_2554) @[el2_lsu_bus_buffer.scala 430:74] node _T_2556 = cat(_T_2555, _T_2549) @[Cat.scala 29:58] node _T_2557 = cat(_T_2556, _T_2543) @[Cat.scala 29:58] node _T_2558 = cat(_T_2557, _T_2537) @[Cat.scala 29:58] - node _T_2559 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2560 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2562 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2563 = and(_T_2561, _T_2562) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2564 = mux(_T_2559, UInt<1>("h00"), _T_2563) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2565 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2566 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2568 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2569 = and(_T_2567, _T_2568) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2570 = mux(_T_2565, UInt<1>("h00"), _T_2569) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2571 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2572 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2573 = eq(_T_2572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2574 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2575 = and(_T_2573, _T_2574) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2576 = mux(_T_2571, UInt<1>("h00"), _T_2575) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2577 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2578 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 429:102] - node _T_2579 = eq(_T_2578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:91] - node _T_2580 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:121] - node _T_2581 = and(_T_2579, _T_2580) @[el2_lsu_bus_buffer.scala 429:106] - node _T_2582 = mux(_T_2577, UInt<1>("h00"), _T_2581) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2559 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2560 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2562 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2563 = and(_T_2561, _T_2562) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2564 = mux(_T_2559, UInt<1>("h00"), _T_2563) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2565 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2566 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2568 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2569 = and(_T_2567, _T_2568) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2570 = mux(_T_2565, UInt<1>("h00"), _T_2569) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2571 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2572 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2573 = eq(_T_2572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2574 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2575 = and(_T_2573, _T_2574) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2576 = mux(_T_2571, UInt<1>("h00"), _T_2575) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2577 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2578 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2579 = eq(_T_2578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2580 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2581 = and(_T_2579, _T_2580) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2582 = mux(_T_2577, UInt<1>("h00"), _T_2581) @[el2_lsu_bus_buffer.scala 430:74] node _T_2583 = cat(_T_2582, _T_2576) @[Cat.scala 29:58] node _T_2584 = cat(_T_2583, _T_2570) @[Cat.scala 29:58] node _T_2585 = cat(_T_2584, _T_2564) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2504 @[el2_lsu_bus_buffer.scala 429:21] - buf_age_younger[1] <= _T_2531 @[el2_lsu_bus_buffer.scala 429:21] - buf_age_younger[2] <= _T_2558 @[el2_lsu_bus_buffer.scala 429:21] - buf_age_younger[3] <= _T_2585 @[el2_lsu_bus_buffer.scala 429:21] - node _T_2586 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2587 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2588 = and(_T_2586, _T_2587) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2589 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2590 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2591 = and(_T_2589, _T_2590) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2592 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2593 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2594 = and(_T_2592, _T_2593) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2595 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2596 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2597 = and(_T_2595, _T_2596) @[el2_lsu_bus_buffer.scala 430:89] + buf_age_younger[0] <= _T_2504 @[el2_lsu_bus_buffer.scala 430:21] + buf_age_younger[1] <= _T_2531 @[el2_lsu_bus_buffer.scala 430:21] + buf_age_younger[2] <= _T_2558 @[el2_lsu_bus_buffer.scala 430:21] + buf_age_younger[3] <= _T_2585 @[el2_lsu_bus_buffer.scala 430:21] + node _T_2586 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2587 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2588 = and(_T_2586, _T_2587) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2589 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2590 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2591 = and(_T_2589, _T_2590) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2592 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2593 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2594 = and(_T_2592, _T_2593) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2595 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2596 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2597 = and(_T_2595, _T_2596) @[el2_lsu_bus_buffer.scala 431:89] node _T_2598 = cat(_T_2597, _T_2594) @[Cat.scala 29:58] node _T_2599 = cat(_T_2598, _T_2591) @[Cat.scala 29:58] node _T_2600 = cat(_T_2599, _T_2588) @[Cat.scala 29:58] - node _T_2601 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2602 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2603 = and(_T_2601, _T_2602) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2604 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2605 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2606 = and(_T_2604, _T_2605) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2607 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2608 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2609 = and(_T_2607, _T_2608) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2610 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2611 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2612 = and(_T_2610, _T_2611) @[el2_lsu_bus_buffer.scala 430:89] + node _T_2601 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2602 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2603 = and(_T_2601, _T_2602) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2604 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2605 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2606 = and(_T_2604, _T_2605) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2607 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2608 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2609 = and(_T_2607, _T_2608) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2610 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2611 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2612 = and(_T_2610, _T_2611) @[el2_lsu_bus_buffer.scala 431:89] node _T_2613 = cat(_T_2612, _T_2609) @[Cat.scala 29:58] node _T_2614 = cat(_T_2613, _T_2606) @[Cat.scala 29:58] node _T_2615 = cat(_T_2614, _T_2603) @[Cat.scala 29:58] - node _T_2616 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2617 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2618 = and(_T_2616, _T_2617) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2619 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2620 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2621 = and(_T_2619, _T_2620) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2622 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2623 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2624 = and(_T_2622, _T_2623) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2625 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2626 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2627 = and(_T_2625, _T_2626) @[el2_lsu_bus_buffer.scala 430:89] + node _T_2616 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2617 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2618 = and(_T_2616, _T_2617) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2619 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2620 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2621 = and(_T_2619, _T_2620) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2622 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2623 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2624 = and(_T_2622, _T_2623) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2625 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2626 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2627 = and(_T_2625, _T_2626) @[el2_lsu_bus_buffer.scala 431:89] node _T_2628 = cat(_T_2627, _T_2624) @[Cat.scala 29:58] node _T_2629 = cat(_T_2628, _T_2621) @[Cat.scala 29:58] node _T_2630 = cat(_T_2629, _T_2618) @[Cat.scala 29:58] - node _T_2631 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2632 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2633 = and(_T_2631, _T_2632) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2634 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2635 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2636 = and(_T_2634, _T_2635) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2637 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2638 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2639 = and(_T_2637, _T_2638) @[el2_lsu_bus_buffer.scala 430:89] - node _T_2640 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:85] - node _T_2641 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 430:104] - node _T_2642 = and(_T_2640, _T_2641) @[el2_lsu_bus_buffer.scala 430:89] + node _T_2631 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2632 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2633 = and(_T_2631, _T_2632) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2634 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2635 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2636 = and(_T_2634, _T_2635) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2637 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2638 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2639 = and(_T_2637, _T_2638) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2640 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2641 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2642 = and(_T_2640, _T_2641) @[el2_lsu_bus_buffer.scala 431:89] node _T_2643 = cat(_T_2642, _T_2639) @[Cat.scala 29:58] node _T_2644 = cat(_T_2643, _T_2636) @[Cat.scala 29:58] node _T_2645 = cat(_T_2644, _T_2633) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2600 @[el2_lsu_bus_buffer.scala 430:21] - buf_rsp_pickage[1] <= _T_2615 @[el2_lsu_bus_buffer.scala 430:21] - buf_rsp_pickage[2] <= _T_2630 @[el2_lsu_bus_buffer.scala 430:21] - buf_rsp_pickage[3] <= _T_2645 @[el2_lsu_bus_buffer.scala 430:21] - node _T_2646 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2647 = and(_T_2646, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2648 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2649 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2650 = or(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2652 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2653 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2654 = and(_T_2652, _T_2653) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2655 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2657 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2658 = and(_T_2656, _T_2657) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2659 = or(_T_2651, _T_2658) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2660 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2661 = and(_T_2660, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2662 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2663 = and(_T_2661, _T_2662) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2664 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2666 = or(_T_2659, _T_2665) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2667 = and(_T_2647, _T_2666) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2668 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2669 = and(_T_2668, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2670 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2671 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2672 = or(_T_2670, _T_2671) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2674 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2675 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2676 = and(_T_2674, _T_2675) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2677 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2679 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2681 = or(_T_2673, _T_2680) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2682 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2683 = and(_T_2682, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2684 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2685 = and(_T_2683, _T_2684) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2686 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2688 = or(_T_2681, _T_2687) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2689 = and(_T_2669, _T_2688) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2690 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2691 = and(_T_2690, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2692 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2693 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2694 = or(_T_2692, _T_2693) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2695 = eq(_T_2694, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2696 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2697 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2699 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2701 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2703 = or(_T_2695, _T_2702) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2704 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2705 = and(_T_2704, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2706 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2708 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2710 = or(_T_2703, _T_2709) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2711 = and(_T_2691, _T_2710) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2712 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2713 = and(_T_2712, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2714 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2715 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2716 = or(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2718 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2719 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2720 = and(_T_2718, _T_2719) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2721 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2723 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2725 = or(_T_2717, _T_2724) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2726 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2727 = and(_T_2726, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2728 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2729 = and(_T_2727, _T_2728) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2730 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2732 = or(_T_2725, _T_2731) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2733 = and(_T_2713, _T_2732) @[el2_lsu_bus_buffer.scala 432:114] + buf_rsp_pickage[0] <= _T_2600 @[el2_lsu_bus_buffer.scala 431:21] + buf_rsp_pickage[1] <= _T_2615 @[el2_lsu_bus_buffer.scala 431:21] + buf_rsp_pickage[2] <= _T_2630 @[el2_lsu_bus_buffer.scala 431:21] + buf_rsp_pickage[3] <= _T_2645 @[el2_lsu_bus_buffer.scala 431:21] + node _T_2646 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2647 = and(_T_2646, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2648 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2649 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2650 = or(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2652 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2653 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2654 = and(_T_2652, _T_2653) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2655 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2657 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2658 = and(_T_2656, _T_2657) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2659 = or(_T_2651, _T_2658) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2660 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2661 = and(_T_2660, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2662 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2663 = and(_T_2661, _T_2662) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2664 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2666 = or(_T_2659, _T_2665) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2667 = and(_T_2647, _T_2666) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2668 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2669 = and(_T_2668, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2670 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2671 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2672 = or(_T_2670, _T_2671) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2674 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2675 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2676 = and(_T_2674, _T_2675) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2677 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2679 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2681 = or(_T_2673, _T_2680) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2682 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2683 = and(_T_2682, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2684 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2685 = and(_T_2683, _T_2684) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2686 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2688 = or(_T_2681, _T_2687) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2689 = and(_T_2669, _T_2688) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2690 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2691 = and(_T_2690, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2692 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2693 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2694 = or(_T_2692, _T_2693) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2695 = eq(_T_2694, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2696 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2697 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2699 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2701 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2703 = or(_T_2695, _T_2702) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2704 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2705 = and(_T_2704, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2706 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2708 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2710 = or(_T_2703, _T_2709) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2711 = and(_T_2691, _T_2710) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2712 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2713 = and(_T_2712, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2714 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2715 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2716 = or(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2718 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2719 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2720 = and(_T_2718, _T_2719) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2721 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2723 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2725 = or(_T_2717, _T_2724) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2726 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2727 = and(_T_2726, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2728 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2729 = and(_T_2727, _T_2728) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2730 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2732 = or(_T_2725, _T_2731) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2733 = and(_T_2713, _T_2732) @[el2_lsu_bus_buffer.scala 433:114] node _T_2734 = cat(_T_2733, _T_2711) @[Cat.scala 29:58] node _T_2735 = cat(_T_2734, _T_2689) @[Cat.scala 29:58] node _T_2736 = cat(_T_2735, _T_2667) @[Cat.scala 29:58] - node _T_2737 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2738 = and(_T_2737, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2739 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2740 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2741 = or(_T_2739, _T_2740) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2742 = eq(_T_2741, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2743 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2744 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2745 = and(_T_2743, _T_2744) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2746 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2748 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2750 = or(_T_2742, _T_2749) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2751 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2752 = and(_T_2751, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2753 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2754 = and(_T_2752, _T_2753) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2755 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2757 = or(_T_2750, _T_2756) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2758 = and(_T_2738, _T_2757) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2759 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2760 = and(_T_2759, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2762 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2763 = or(_T_2761, _T_2762) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2764 = eq(_T_2763, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2765 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2766 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2767 = and(_T_2765, _T_2766) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2768 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2770 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2772 = or(_T_2764, _T_2771) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2773 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2774 = and(_T_2773, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2775 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2776 = and(_T_2774, _T_2775) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2777 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2779 = or(_T_2772, _T_2778) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2780 = and(_T_2760, _T_2779) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2781 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2782 = and(_T_2781, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2783 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2784 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2785 = or(_T_2783, _T_2784) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2786 = eq(_T_2785, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2787 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2788 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2790 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2792 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2794 = or(_T_2786, _T_2793) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2795 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2796 = and(_T_2795, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2797 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2799 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2801 = or(_T_2794, _T_2800) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2802 = and(_T_2782, _T_2801) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2803 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2804 = and(_T_2803, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2805 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2806 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2807 = or(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2808 = eq(_T_2807, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2809 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2810 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2811 = and(_T_2809, _T_2810) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2812 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2814 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2816 = or(_T_2808, _T_2815) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2817 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2818 = and(_T_2817, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2819 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2820 = and(_T_2818, _T_2819) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2821 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2823 = or(_T_2816, _T_2822) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2824 = and(_T_2804, _T_2823) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2737 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2738 = and(_T_2737, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2739 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2740 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2741 = or(_T_2739, _T_2740) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2742 = eq(_T_2741, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2743 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2744 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2745 = and(_T_2743, _T_2744) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2746 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2748 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2750 = or(_T_2742, _T_2749) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2751 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2752 = and(_T_2751, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2753 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2754 = and(_T_2752, _T_2753) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2755 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2757 = or(_T_2750, _T_2756) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2758 = and(_T_2738, _T_2757) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2759 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2760 = and(_T_2759, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2762 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2763 = or(_T_2761, _T_2762) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2764 = eq(_T_2763, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2765 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2766 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2767 = and(_T_2765, _T_2766) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2768 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2770 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2772 = or(_T_2764, _T_2771) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2773 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2774 = and(_T_2773, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2775 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2776 = and(_T_2774, _T_2775) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2777 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2779 = or(_T_2772, _T_2778) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2780 = and(_T_2760, _T_2779) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2781 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2782 = and(_T_2781, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2783 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2784 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2785 = or(_T_2783, _T_2784) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2786 = eq(_T_2785, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2787 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2788 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2790 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2792 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2794 = or(_T_2786, _T_2793) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2795 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2796 = and(_T_2795, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2797 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2799 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2801 = or(_T_2794, _T_2800) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2802 = and(_T_2782, _T_2801) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2803 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2804 = and(_T_2803, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2805 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2806 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2807 = or(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2808 = eq(_T_2807, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2809 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2810 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2811 = and(_T_2809, _T_2810) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2812 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2814 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2816 = or(_T_2808, _T_2815) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2817 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2818 = and(_T_2817, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2819 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2820 = and(_T_2818, _T_2819) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2821 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2823 = or(_T_2816, _T_2822) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2824 = and(_T_2804, _T_2823) @[el2_lsu_bus_buffer.scala 433:114] node _T_2825 = cat(_T_2824, _T_2802) @[Cat.scala 29:58] node _T_2826 = cat(_T_2825, _T_2780) @[Cat.scala 29:58] node _T_2827 = cat(_T_2826, _T_2758) @[Cat.scala 29:58] - node _T_2828 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2829 = and(_T_2828, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2830 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2831 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2832 = or(_T_2830, _T_2831) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2833 = eq(_T_2832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2834 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2835 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2837 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2839 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2841 = or(_T_2833, _T_2840) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2842 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2843 = and(_T_2842, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2844 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2846 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2848 = or(_T_2841, _T_2847) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2849 = and(_T_2829, _T_2848) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2850 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2851 = and(_T_2850, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2852 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2853 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2854 = or(_T_2852, _T_2853) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2855 = eq(_T_2854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2856 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2857 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2859 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2861 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2863 = or(_T_2855, _T_2862) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2864 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2865 = and(_T_2864, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2866 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2868 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2870 = or(_T_2863, _T_2869) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2871 = and(_T_2851, _T_2870) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2872 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2873 = and(_T_2872, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2875 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2876 = or(_T_2874, _T_2875) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2877 = eq(_T_2876, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2878 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2879 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2880 = and(_T_2878, _T_2879) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2881 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2883 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2885 = or(_T_2877, _T_2884) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2886 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2887 = and(_T_2886, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2888 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2890 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2892 = or(_T_2885, _T_2891) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2893 = and(_T_2873, _T_2892) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2894 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2895 = and(_T_2894, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2896 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2897 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2898 = or(_T_2896, _T_2897) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2899 = eq(_T_2898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2900 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2901 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2903 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2905 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2907 = or(_T_2899, _T_2906) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2908 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2909 = and(_T_2908, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2910 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2911 = and(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2912 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2914 = or(_T_2907, _T_2913) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2915 = and(_T_2895, _T_2914) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2828 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2829 = and(_T_2828, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2830 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2831 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2832 = or(_T_2830, _T_2831) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2833 = eq(_T_2832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2834 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2835 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2837 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2839 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2841 = or(_T_2833, _T_2840) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2842 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2843 = and(_T_2842, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2844 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2846 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2848 = or(_T_2841, _T_2847) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2849 = and(_T_2829, _T_2848) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2850 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2851 = and(_T_2850, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2852 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2853 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2854 = or(_T_2852, _T_2853) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2855 = eq(_T_2854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2856 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2857 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2859 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2861 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2863 = or(_T_2855, _T_2862) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2864 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2865 = and(_T_2864, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2866 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2868 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2870 = or(_T_2863, _T_2869) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2871 = and(_T_2851, _T_2870) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2872 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2873 = and(_T_2872, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2875 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2876 = or(_T_2874, _T_2875) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2877 = eq(_T_2876, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2878 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2879 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2880 = and(_T_2878, _T_2879) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2881 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2883 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2885 = or(_T_2877, _T_2884) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2886 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2887 = and(_T_2886, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2888 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2890 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2892 = or(_T_2885, _T_2891) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2893 = and(_T_2873, _T_2892) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2894 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2895 = and(_T_2894, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2896 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2897 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2898 = or(_T_2896, _T_2897) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2899 = eq(_T_2898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2900 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2901 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2903 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2905 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2907 = or(_T_2899, _T_2906) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2908 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2909 = and(_T_2908, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2910 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2911 = and(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2912 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2914 = or(_T_2907, _T_2913) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2915 = and(_T_2895, _T_2914) @[el2_lsu_bus_buffer.scala 433:114] node _T_2916 = cat(_T_2915, _T_2893) @[Cat.scala 29:58] node _T_2917 = cat(_T_2916, _T_2871) @[Cat.scala 29:58] node _T_2918 = cat(_T_2917, _T_2849) @[Cat.scala 29:58] - node _T_2919 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2920 = and(_T_2919, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2921 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2922 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2923 = or(_T_2921, _T_2922) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2924 = eq(_T_2923, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2925 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2926 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2928 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2930 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2932 = or(_T_2924, _T_2931) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2933 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2934 = and(_T_2933, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2935 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2937 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2939 = or(_T_2932, _T_2938) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2940 = and(_T_2920, _T_2939) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2941 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2942 = and(_T_2941, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2943 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2944 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2945 = or(_T_2943, _T_2944) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2946 = eq(_T_2945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2947 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2948 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2950 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2952 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2954 = or(_T_2946, _T_2953) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2955 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2956 = and(_T_2955, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2957 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2959 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2961 = or(_T_2954, _T_2960) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2962 = and(_T_2942, _T_2961) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2963 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2964 = and(_T_2963, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2965 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2966 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2967 = or(_T_2965, _T_2966) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2968 = eq(_T_2967, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2969 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2970 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2971 = and(_T_2969, _T_2970) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2972 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2974 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2976 = or(_T_2968, _T_2975) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2977 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_2978 = and(_T_2977, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_2979 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2981 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2983 = or(_T_2976, _T_2982) @[el2_lsu_bus_buffer.scala 434:112] - node _T_2984 = and(_T_2964, _T_2983) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2985 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:84] - node _T_2986 = and(_T_2985, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:95] - node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:23] - node _T_2988 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 433:49] - node _T_2989 = or(_T_2987, _T_2988) @[el2_lsu_bus_buffer.scala 433:34] - node _T_2990 = eq(_T_2989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:8] - node _T_2991 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:25] - node _T_2992 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:55] - node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 434:43] - node _T_2994 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:84] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 434:73] - node _T_2996 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:103] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 434:92] - node _T_2998 = or(_T_2990, _T_2997) @[el2_lsu_bus_buffer.scala 433:61] - node _T_2999 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:19] - node _T_3000 = and(_T_2999, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:37] - node _T_3001 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:65] - node _T_3002 = and(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 435:54] - node _T_3003 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 435:73] - node _T_3005 = or(_T_2998, _T_3004) @[el2_lsu_bus_buffer.scala 434:112] - node _T_3006 = and(_T_2986, _T_3005) @[el2_lsu_bus_buffer.scala 432:114] + node _T_2919 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2920 = and(_T_2919, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2921 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2922 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2923 = or(_T_2921, _T_2922) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2924 = eq(_T_2923, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2925 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2926 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2928 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2930 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2932 = or(_T_2924, _T_2931) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2933 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2934 = and(_T_2933, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2935 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2937 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2939 = or(_T_2932, _T_2938) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2940 = and(_T_2920, _T_2939) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2941 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2942 = and(_T_2941, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2943 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2944 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2945 = or(_T_2943, _T_2944) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2946 = eq(_T_2945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2947 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2948 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2950 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2952 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2954 = or(_T_2946, _T_2953) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2955 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2956 = and(_T_2955, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2957 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2959 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2961 = or(_T_2954, _T_2960) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2962 = and(_T_2942, _T_2961) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2963 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2964 = and(_T_2963, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2965 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2966 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2967 = or(_T_2965, _T_2966) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2968 = eq(_T_2967, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2969 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2970 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2971 = and(_T_2969, _T_2970) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2972 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2974 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2976 = or(_T_2968, _T_2975) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2977 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2978 = and(_T_2977, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2979 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2981 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2983 = or(_T_2976, _T_2982) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2984 = and(_T_2964, _T_2983) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2985 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2986 = and(_T_2985, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2988 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2989 = or(_T_2987, _T_2988) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2990 = eq(_T_2989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2991 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2992 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2994 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2996 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2998 = or(_T_2990, _T_2997) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2999 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_3000 = and(_T_2999, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_3001 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_3002 = and(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 436:54] + node _T_3003 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 436:73] + node _T_3005 = or(_T_2998, _T_3004) @[el2_lsu_bus_buffer.scala 435:112] + node _T_3006 = and(_T_2986, _T_3005) @[el2_lsu_bus_buffer.scala 433:114] node _T_3007 = cat(_T_3006, _T_2984) @[Cat.scala 29:58] node _T_3008 = cat(_T_3007, _T_2962) @[Cat.scala 29:58] node _T_3009 = cat(_T_3008, _T_2940) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2736 @[el2_lsu_bus_buffer.scala 432:20] - buf_rspage_set[1] <= _T_2827 @[el2_lsu_bus_buffer.scala 432:20] - buf_rspage_set[2] <= _T_2918 @[el2_lsu_bus_buffer.scala 432:20] - buf_rspage_set[3] <= _T_3009 @[el2_lsu_bus_buffer.scala 432:20] - node _T_3010 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3011 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3012 = or(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3013 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3014 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3015 = or(_T_3013, _T_3014) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3016 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3017 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3018 = or(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3019 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3020 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3021 = or(_T_3019, _T_3020) @[el2_lsu_bus_buffer.scala 436:90] + buf_rspage_set[0] <= _T_2736 @[el2_lsu_bus_buffer.scala 433:20] + buf_rspage_set[1] <= _T_2827 @[el2_lsu_bus_buffer.scala 433:20] + buf_rspage_set[2] <= _T_2918 @[el2_lsu_bus_buffer.scala 433:20] + buf_rspage_set[3] <= _T_3009 @[el2_lsu_bus_buffer.scala 433:20] + node _T_3010 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3011 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3012 = or(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3013 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3014 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3015 = or(_T_3013, _T_3014) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3016 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3017 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3018 = or(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3019 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3020 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3021 = or(_T_3019, _T_3020) @[el2_lsu_bus_buffer.scala 437:90] node _T_3022 = cat(_T_3021, _T_3018) @[Cat.scala 29:58] node _T_3023 = cat(_T_3022, _T_3015) @[Cat.scala 29:58] node _T_3024 = cat(_T_3023, _T_3012) @[Cat.scala 29:58] - node _T_3025 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3026 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3027 = or(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3028 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3029 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3030 = or(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3031 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3032 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3033 = or(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3034 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3035 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3036 = or(_T_3034, _T_3035) @[el2_lsu_bus_buffer.scala 436:90] + node _T_3025 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3026 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3027 = or(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3028 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3029 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3030 = or(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3031 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3032 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3033 = or(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3034 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3035 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3036 = or(_T_3034, _T_3035) @[el2_lsu_bus_buffer.scala 437:90] node _T_3037 = cat(_T_3036, _T_3033) @[Cat.scala 29:58] node _T_3038 = cat(_T_3037, _T_3030) @[Cat.scala 29:58] node _T_3039 = cat(_T_3038, _T_3027) @[Cat.scala 29:58] - node _T_3040 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3041 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3042 = or(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3043 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3044 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3045 = or(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3046 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3047 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3049 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3050 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3051 = or(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 436:90] + node _T_3040 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3041 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3042 = or(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3043 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3044 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3045 = or(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3046 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3047 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3049 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3050 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3051 = or(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 437:90] node _T_3052 = cat(_T_3051, _T_3048) @[Cat.scala 29:58] node _T_3053 = cat(_T_3052, _T_3045) @[Cat.scala 29:58] node _T_3054 = cat(_T_3053, _T_3042) @[Cat.scala 29:58] - node _T_3055 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3056 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3057 = or(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3058 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3059 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3060 = or(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3061 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3062 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3063 = or(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 436:90] - node _T_3064 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:86] - node _T_3065 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:105] - node _T_3066 = or(_T_3064, _T_3065) @[el2_lsu_bus_buffer.scala 436:90] + node _T_3055 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3056 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3057 = or(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3058 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3059 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3060 = or(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3061 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3062 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3063 = or(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3064 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3065 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3066 = or(_T_3064, _T_3065) @[el2_lsu_bus_buffer.scala 437:90] node _T_3067 = cat(_T_3066, _T_3063) @[Cat.scala 29:58] node _T_3068 = cat(_T_3067, _T_3060) @[Cat.scala 29:58] node _T_3069 = cat(_T_3068, _T_3057) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3024 @[el2_lsu_bus_buffer.scala 436:19] - buf_rspage_in[1] <= _T_3039 @[el2_lsu_bus_buffer.scala 436:19] - buf_rspage_in[2] <= _T_3054 @[el2_lsu_bus_buffer.scala 436:19] - buf_rspage_in[3] <= _T_3069 @[el2_lsu_bus_buffer.scala 436:19] - node _T_3070 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3071 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3072 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3073 = or(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3075 = and(_T_3070, _T_3074) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3076 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3077 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3078 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3079 = or(_T_3077, _T_3078) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3080 = eq(_T_3079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3081 = and(_T_3076, _T_3080) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3082 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3083 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3084 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3085 = or(_T_3083, _T_3084) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3086 = eq(_T_3085, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3087 = and(_T_3082, _T_3086) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3088 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3089 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3090 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3091 = or(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3092 = eq(_T_3091, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3093 = and(_T_3088, _T_3092) @[el2_lsu_bus_buffer.scala 437:84] + buf_rspage_in[0] <= _T_3024 @[el2_lsu_bus_buffer.scala 437:19] + buf_rspage_in[1] <= _T_3039 @[el2_lsu_bus_buffer.scala 437:19] + buf_rspage_in[2] <= _T_3054 @[el2_lsu_bus_buffer.scala 437:19] + buf_rspage_in[3] <= _T_3069 @[el2_lsu_bus_buffer.scala 437:19] + node _T_3070 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3071 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3072 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3073 = or(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3075 = and(_T_3070, _T_3074) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3076 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3077 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3078 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3079 = or(_T_3077, _T_3078) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3080 = eq(_T_3079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3081 = and(_T_3076, _T_3080) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3082 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3083 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3084 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3085 = or(_T_3083, _T_3084) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3086 = eq(_T_3085, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3087 = and(_T_3082, _T_3086) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3088 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3089 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3090 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3091 = or(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3092 = eq(_T_3091, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3093 = and(_T_3088, _T_3092) @[el2_lsu_bus_buffer.scala 438:84] node _T_3094 = cat(_T_3093, _T_3087) @[Cat.scala 29:58] node _T_3095 = cat(_T_3094, _T_3081) @[Cat.scala 29:58] node _T_3096 = cat(_T_3095, _T_3075) @[Cat.scala 29:58] - node _T_3097 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3098 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3099 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3100 = or(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3101 = eq(_T_3100, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3102 = and(_T_3097, _T_3101) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3103 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3104 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3105 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3106 = or(_T_3104, _T_3105) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3107 = eq(_T_3106, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3108 = and(_T_3103, _T_3107) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3109 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3110 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3111 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3112 = or(_T_3110, _T_3111) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3113 = eq(_T_3112, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3114 = and(_T_3109, _T_3113) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3115 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3116 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3117 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3118 = or(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3119 = eq(_T_3118, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3120 = and(_T_3115, _T_3119) @[el2_lsu_bus_buffer.scala 437:84] + node _T_3097 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3098 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3099 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3100 = or(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3101 = eq(_T_3100, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3102 = and(_T_3097, _T_3101) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3103 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3104 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3105 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3106 = or(_T_3104, _T_3105) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3107 = eq(_T_3106, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3108 = and(_T_3103, _T_3107) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3109 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3110 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3111 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3112 = or(_T_3110, _T_3111) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3113 = eq(_T_3112, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3114 = and(_T_3109, _T_3113) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3115 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3116 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3117 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3118 = or(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3119 = eq(_T_3118, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3120 = and(_T_3115, _T_3119) @[el2_lsu_bus_buffer.scala 438:84] node _T_3121 = cat(_T_3120, _T_3114) @[Cat.scala 29:58] node _T_3122 = cat(_T_3121, _T_3108) @[Cat.scala 29:58] node _T_3123 = cat(_T_3122, _T_3102) @[Cat.scala 29:58] - node _T_3124 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3125 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3126 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3127 = or(_T_3125, _T_3126) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3128 = eq(_T_3127, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3129 = and(_T_3124, _T_3128) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3130 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3131 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3132 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3133 = or(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3134 = eq(_T_3133, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3135 = and(_T_3130, _T_3134) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3136 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3137 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3138 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3139 = or(_T_3137, _T_3138) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3141 = and(_T_3136, _T_3140) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3142 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3143 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3144 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3145 = or(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3146 = eq(_T_3145, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3147 = and(_T_3142, _T_3146) @[el2_lsu_bus_buffer.scala 437:84] + node _T_3124 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3125 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3126 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3127 = or(_T_3125, _T_3126) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3128 = eq(_T_3127, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3129 = and(_T_3124, _T_3128) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3130 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3131 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3132 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3133 = or(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3134 = eq(_T_3133, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3135 = and(_T_3130, _T_3134) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3136 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3137 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3138 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3139 = or(_T_3137, _T_3138) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3141 = and(_T_3136, _T_3140) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3142 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3143 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3144 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3145 = or(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3146 = eq(_T_3145, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3147 = and(_T_3142, _T_3146) @[el2_lsu_bus_buffer.scala 438:84] node _T_3148 = cat(_T_3147, _T_3141) @[Cat.scala 29:58] node _T_3149 = cat(_T_3148, _T_3135) @[Cat.scala 29:58] node _T_3150 = cat(_T_3149, _T_3129) @[Cat.scala 29:58] - node _T_3151 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3152 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3153 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3154 = or(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3155 = eq(_T_3154, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3156 = and(_T_3151, _T_3155) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3157 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3158 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3159 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3160 = or(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3161 = eq(_T_3160, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3162 = and(_T_3157, _T_3161) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3163 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3164 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3165 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3166 = or(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3167 = eq(_T_3166, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3168 = and(_T_3163, _T_3167) @[el2_lsu_bus_buffer.scala 437:84] - node _T_3169 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:80] - node _T_3170 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 437:101] - node _T_3171 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:127] - node _T_3172 = or(_T_3170, _T_3171) @[el2_lsu_bus_buffer.scala 437:112] - node _T_3173 = eq(_T_3172, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3174 = and(_T_3169, _T_3173) @[el2_lsu_bus_buffer.scala 437:84] + node _T_3151 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3152 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3153 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3154 = or(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3155 = eq(_T_3154, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3156 = and(_T_3151, _T_3155) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3157 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3158 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3159 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3160 = or(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3161 = eq(_T_3160, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3162 = and(_T_3157, _T_3161) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3163 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3164 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3165 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3166 = or(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3167 = eq(_T_3166, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3168 = and(_T_3163, _T_3167) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3169 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3170 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3171 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3172 = or(_T_3170, _T_3171) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3173 = eq(_T_3172, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3174 = and(_T_3169, _T_3173) @[el2_lsu_bus_buffer.scala 438:84] node _T_3175 = cat(_T_3174, _T_3168) @[Cat.scala 29:58] node _T_3176 = cat(_T_3175, _T_3162) @[Cat.scala 29:58] node _T_3177 = cat(_T_3176, _T_3156) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3096 @[el2_lsu_bus_buffer.scala 437:16] - buf_rspage[1] <= _T_3123 @[el2_lsu_bus_buffer.scala 437:16] - buf_rspage[2] <= _T_3150 @[el2_lsu_bus_buffer.scala 437:16] - buf_rspage[3] <= _T_3177 @[el2_lsu_bus_buffer.scala 437:16] - node _T_3178 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:77] - node _T_3179 = and(ibuf_drain_vld, _T_3178) @[el2_lsu_bus_buffer.scala 442:65] - node _T_3180 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:77] - node _T_3181 = and(ibuf_drain_vld, _T_3180) @[el2_lsu_bus_buffer.scala 442:65] - node _T_3182 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:77] - node _T_3183 = and(ibuf_drain_vld, _T_3182) @[el2_lsu_bus_buffer.scala 442:65] - node _T_3184 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:77] - node _T_3185 = and(ibuf_drain_vld, _T_3184) @[el2_lsu_bus_buffer.scala 442:65] + buf_rspage[0] <= _T_3096 @[el2_lsu_bus_buffer.scala 438:16] + buf_rspage[1] <= _T_3123 @[el2_lsu_bus_buffer.scala 438:16] + buf_rspage[2] <= _T_3150 @[el2_lsu_bus_buffer.scala 438:16] + buf_rspage[3] <= _T_3177 @[el2_lsu_bus_buffer.scala 438:16] + node _T_3178 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:77] + node _T_3179 = and(ibuf_drain_vld, _T_3178) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3180 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:77] + node _T_3181 = and(ibuf_drain_vld, _T_3180) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3182 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:77] + node _T_3183 = and(ibuf_drain_vld, _T_3182) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3184 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:77] + node _T_3185 = and(ibuf_drain_vld, _T_3184) @[el2_lsu_bus_buffer.scala 443:65] node _T_3186 = cat(_T_3185, _T_3183) @[Cat.scala 29:58] node _T_3187 = cat(_T_3186, _T_3181) @[Cat.scala 29:58] node _T_3188 = cat(_T_3187, _T_3179) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3188 @[el2_lsu_bus_buffer.scala 442:23] - node _T_3189 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 443:66] - node _T_3190 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 443:86] - node _T_3191 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:106] - node _T_3192 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:134] - node _T_3193 = and(_T_3191, _T_3192) @[el2_lsu_bus_buffer.scala 443:123] - node _T_3194 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 443:159] - node _T_3195 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 443:182] - node _T_3196 = mux(_T_3193, _T_3194, _T_3195) @[el2_lsu_bus_buffer.scala 443:96] - node _T_3197 = mux(_T_3189, _T_3190, _T_3196) @[el2_lsu_bus_buffer.scala 443:48] - node _T_3198 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 443:66] - node _T_3199 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 443:86] - node _T_3200 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:106] - node _T_3201 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:134] - node _T_3202 = and(_T_3200, _T_3201) @[el2_lsu_bus_buffer.scala 443:123] - node _T_3203 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 443:159] - node _T_3204 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 443:182] - node _T_3205 = mux(_T_3202, _T_3203, _T_3204) @[el2_lsu_bus_buffer.scala 443:96] - node _T_3206 = mux(_T_3198, _T_3199, _T_3205) @[el2_lsu_bus_buffer.scala 443:48] - node _T_3207 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 443:66] - node _T_3208 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 443:86] - node _T_3209 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:106] - node _T_3210 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:134] - node _T_3211 = and(_T_3209, _T_3210) @[el2_lsu_bus_buffer.scala 443:123] - node _T_3212 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 443:159] - node _T_3213 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 443:182] - node _T_3214 = mux(_T_3211, _T_3212, _T_3213) @[el2_lsu_bus_buffer.scala 443:96] - node _T_3215 = mux(_T_3207, _T_3208, _T_3214) @[el2_lsu_bus_buffer.scala 443:48] - node _T_3216 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 443:66] - node _T_3217 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 443:86] - node _T_3218 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:106] - node _T_3219 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:134] - node _T_3220 = and(_T_3218, _T_3219) @[el2_lsu_bus_buffer.scala 443:123] - node _T_3221 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 443:159] - node _T_3222 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 443:182] - node _T_3223 = mux(_T_3220, _T_3221, _T_3222) @[el2_lsu_bus_buffer.scala 443:96] - node _T_3224 = mux(_T_3216, _T_3217, _T_3223) @[el2_lsu_bus_buffer.scala 443:48] - buf_byteen_in[0] <= _T_3197 @[el2_lsu_bus_buffer.scala 443:19] - buf_byteen_in[1] <= _T_3206 @[el2_lsu_bus_buffer.scala 443:19] - buf_byteen_in[2] <= _T_3215 @[el2_lsu_bus_buffer.scala 443:19] - buf_byteen_in[3] <= _T_3224 @[el2_lsu_bus_buffer.scala 443:19] - node _T_3225 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 444:64] - node _T_3226 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:93] - node _T_3227 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:121] - node _T_3228 = and(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 444:110] - node _T_3229 = mux(_T_3228, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 444:83] - node _T_3230 = mux(_T_3225, ibuf_addr, _T_3229) @[el2_lsu_bus_buffer.scala 444:46] - node _T_3231 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 444:64] - node _T_3232 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:93] - node _T_3233 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:121] - node _T_3234 = and(_T_3232, _T_3233) @[el2_lsu_bus_buffer.scala 444:110] - node _T_3235 = mux(_T_3234, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 444:83] - node _T_3236 = mux(_T_3231, ibuf_addr, _T_3235) @[el2_lsu_bus_buffer.scala 444:46] - node _T_3237 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] - node _T_3238 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:93] - node _T_3239 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:121] - node _T_3240 = and(_T_3238, _T_3239) @[el2_lsu_bus_buffer.scala 444:110] - node _T_3241 = mux(_T_3240, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 444:83] - node _T_3242 = mux(_T_3237, ibuf_addr, _T_3241) @[el2_lsu_bus_buffer.scala 444:46] - node _T_3243 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 444:64] - node _T_3244 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:93] - node _T_3245 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:121] - node _T_3246 = and(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 444:110] - node _T_3247 = mux(_T_3246, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 444:83] - node _T_3248 = mux(_T_3243, ibuf_addr, _T_3247) @[el2_lsu_bus_buffer.scala 444:46] - buf_addr_in[0] <= _T_3230 @[el2_lsu_bus_buffer.scala 444:17] - buf_addr_in[1] <= _T_3236 @[el2_lsu_bus_buffer.scala 444:17] - buf_addr_in[2] <= _T_3242 @[el2_lsu_bus_buffer.scala 444:17] - buf_addr_in[3] <= _T_3248 @[el2_lsu_bus_buffer.scala 444:17] - node _T_3249 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:65] - node _T_3250 = mux(_T_3249, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:47] - node _T_3251 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:65] - node _T_3252 = mux(_T_3251, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:47] - node _T_3253 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:65] - node _T_3254 = mux(_T_3253, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:47] - node _T_3255 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:65] - node _T_3256 = mux(_T_3255, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:47] + ibuf_drainvec_vld <= _T_3188 @[el2_lsu_bus_buffer.scala 443:23] + node _T_3189 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 444:66] + node _T_3190 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3191 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] + node _T_3192 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:134] + node _T_3193 = and(_T_3191, _T_3192) @[el2_lsu_bus_buffer.scala 444:123] + node _T_3194 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] + node _T_3195 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] + node _T_3196 = mux(_T_3193, _T_3194, _T_3195) @[el2_lsu_bus_buffer.scala 444:96] + node _T_3197 = mux(_T_3189, _T_3190, _T_3196) @[el2_lsu_bus_buffer.scala 444:48] + node _T_3198 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 444:66] + node _T_3199 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3200 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] + node _T_3201 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:134] + node _T_3202 = and(_T_3200, _T_3201) @[el2_lsu_bus_buffer.scala 444:123] + node _T_3203 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] + node _T_3204 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] + node _T_3205 = mux(_T_3202, _T_3203, _T_3204) @[el2_lsu_bus_buffer.scala 444:96] + node _T_3206 = mux(_T_3198, _T_3199, _T_3205) @[el2_lsu_bus_buffer.scala 444:48] + node _T_3207 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 444:66] + node _T_3208 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3209 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] + node _T_3210 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:134] + node _T_3211 = and(_T_3209, _T_3210) @[el2_lsu_bus_buffer.scala 444:123] + node _T_3212 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] + node _T_3213 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] + node _T_3214 = mux(_T_3211, _T_3212, _T_3213) @[el2_lsu_bus_buffer.scala 444:96] + node _T_3215 = mux(_T_3207, _T_3208, _T_3214) @[el2_lsu_bus_buffer.scala 444:48] + node _T_3216 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 444:66] + node _T_3217 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3218 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] + node _T_3219 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:134] + node _T_3220 = and(_T_3218, _T_3219) @[el2_lsu_bus_buffer.scala 444:123] + node _T_3221 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] + node _T_3222 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] + node _T_3223 = mux(_T_3220, _T_3221, _T_3222) @[el2_lsu_bus_buffer.scala 444:96] + node _T_3224 = mux(_T_3216, _T_3217, _T_3223) @[el2_lsu_bus_buffer.scala 444:48] + buf_byteen_in[0] <= _T_3197 @[el2_lsu_bus_buffer.scala 444:19] + buf_byteen_in[1] <= _T_3206 @[el2_lsu_bus_buffer.scala 444:19] + buf_byteen_in[2] <= _T_3215 @[el2_lsu_bus_buffer.scala 444:19] + buf_byteen_in[3] <= _T_3224 @[el2_lsu_bus_buffer.scala 444:19] + node _T_3225 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:64] + node _T_3226 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] + node _T_3227 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_3228 = and(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 445:110] + node _T_3229 = mux(_T_3228, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] + node _T_3230 = mux(_T_3225, ibuf_addr, _T_3229) @[el2_lsu_bus_buffer.scala 445:46] + node _T_3231 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:64] + node _T_3232 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] + node _T_3233 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_3234 = and(_T_3232, _T_3233) @[el2_lsu_bus_buffer.scala 445:110] + node _T_3235 = mux(_T_3234, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] + node _T_3236 = mux(_T_3231, ibuf_addr, _T_3235) @[el2_lsu_bus_buffer.scala 445:46] + node _T_3237 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] + node _T_3238 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] + node _T_3239 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_3240 = and(_T_3238, _T_3239) @[el2_lsu_bus_buffer.scala 445:110] + node _T_3241 = mux(_T_3240, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] + node _T_3242 = mux(_T_3237, ibuf_addr, _T_3241) @[el2_lsu_bus_buffer.scala 445:46] + node _T_3243 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:64] + node _T_3244 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] + node _T_3245 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_3246 = and(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 445:110] + node _T_3247 = mux(_T_3246, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] + node _T_3248 = mux(_T_3243, ibuf_addr, _T_3247) @[el2_lsu_bus_buffer.scala 445:46] + buf_addr_in[0] <= _T_3230 @[el2_lsu_bus_buffer.scala 445:17] + buf_addr_in[1] <= _T_3236 @[el2_lsu_bus_buffer.scala 445:17] + buf_addr_in[2] <= _T_3242 @[el2_lsu_bus_buffer.scala 445:17] + buf_addr_in[3] <= _T_3248 @[el2_lsu_bus_buffer.scala 445:17] + node _T_3249 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3250 = mux(_T_3249, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] + node _T_3251 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3252 = mux(_T_3251, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] + node _T_3253 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3254 = mux(_T_3253, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] + node _T_3255 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3256 = mux(_T_3255, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] node _T_3257 = cat(_T_3256, _T_3254) @[Cat.scala 29:58] node _T_3258 = cat(_T_3257, _T_3252) @[Cat.scala 29:58] node _T_3259 = cat(_T_3258, _T_3250) @[Cat.scala 29:58] - buf_dual_in <= _T_3259 @[el2_lsu_bus_buffer.scala 445:17] - node _T_3260 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:67] - node _T_3261 = mux(_T_3260, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 446:49] - node _T_3262 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:67] - node _T_3263 = mux(_T_3262, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 446:49] - node _T_3264 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:67] - node _T_3265 = mux(_T_3264, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 446:49] - node _T_3266 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:67] - node _T_3267 = mux(_T_3266, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 446:49] + buf_dual_in <= _T_3259 @[el2_lsu_bus_buffer.scala 446:17] + node _T_3260 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:67] + node _T_3261 = mux(_T_3260, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] + node _T_3262 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:67] + node _T_3263 = mux(_T_3262, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] + node _T_3264 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:67] + node _T_3265 = mux(_T_3264, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] + node _T_3266 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:67] + node _T_3267 = mux(_T_3266, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] node _T_3268 = cat(_T_3267, _T_3265) @[Cat.scala 29:58] node _T_3269 = cat(_T_3268, _T_3263) @[Cat.scala 29:58] node _T_3270 = cat(_T_3269, _T_3261) @[Cat.scala 29:58] - buf_samedw_in <= _T_3270 @[el2_lsu_bus_buffer.scala 446:19] - node _T_3271 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:68] - node _T_3272 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3273 = mux(_T_3271, _T_3272, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 447:50] - node _T_3274 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:68] - node _T_3275 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3276 = mux(_T_3274, _T_3275, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 447:50] - node _T_3277 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:68] - node _T_3278 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3279 = mux(_T_3277, _T_3278, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 447:50] - node _T_3280 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:68] - node _T_3281 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3282 = mux(_T_3280, _T_3281, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 447:50] + buf_samedw_in <= _T_3270 @[el2_lsu_bus_buffer.scala 447:19] + node _T_3271 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:68] + node _T_3272 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3273 = mux(_T_3271, _T_3272, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] + node _T_3274 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:68] + node _T_3275 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3276 = mux(_T_3274, _T_3275, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] + node _T_3277 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:68] + node _T_3278 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3279 = mux(_T_3277, _T_3278, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] + node _T_3280 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:68] + node _T_3281 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3282 = mux(_T_3280, _T_3281, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] node _T_3283 = cat(_T_3282, _T_3279) @[Cat.scala 29:58] node _T_3284 = cat(_T_3283, _T_3276) @[Cat.scala 29:58] node _T_3285 = cat(_T_3284, _T_3273) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3285 @[el2_lsu_bus_buffer.scala 447:20] - node _T_3286 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3287 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:92] - node _T_3288 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:120] - node _T_3289 = and(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 448:109] - node _T_3290 = mux(_T_3286, ibuf_dual, _T_3289) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3291 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3292 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:92] - node _T_3293 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:120] - node _T_3294 = and(_T_3292, _T_3293) @[el2_lsu_bus_buffer.scala 448:109] - node _T_3295 = mux(_T_3291, ibuf_dual, _T_3294) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:92] - node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:120] - node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 448:109] - node _T_3300 = mux(_T_3296, ibuf_dual, _T_3299) @[el2_lsu_bus_buffer.scala 448:49] - node _T_3301 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:67] - node _T_3302 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 448:92] - node _T_3303 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:120] - node _T_3304 = and(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 448:109] - node _T_3305 = mux(_T_3301, ibuf_dual, _T_3304) @[el2_lsu_bus_buffer.scala 448:49] + buf_nomerge_in <= _T_3285 @[el2_lsu_bus_buffer.scala 448:20] + node _T_3286 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:67] + node _T_3287 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] + node _T_3288 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:120] + node _T_3289 = and(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 449:109] + node _T_3290 = mux(_T_3286, ibuf_dual, _T_3289) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3291 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:67] + node _T_3292 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] + node _T_3293 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:120] + node _T_3294 = and(_T_3292, _T_3293) @[el2_lsu_bus_buffer.scala 449:109] + node _T_3295 = mux(_T_3291, ibuf_dual, _T_3294) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:67] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:120] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 449:109] + node _T_3300 = mux(_T_3296, ibuf_dual, _T_3299) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3301 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:67] + node _T_3302 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] + node _T_3303 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:120] + node _T_3304 = and(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 449:109] + node _T_3305 = mux(_T_3301, ibuf_dual, _T_3304) @[el2_lsu_bus_buffer.scala 449:49] node _T_3306 = cat(_T_3305, _T_3300) @[Cat.scala 29:58] node _T_3307 = cat(_T_3306, _T_3295) @[Cat.scala 29:58] node _T_3308 = cat(_T_3307, _T_3290) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3308 @[el2_lsu_bus_buffer.scala 448:19] - node _T_3309 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3310 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:99] - node _T_3311 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:127] - node _T_3312 = and(_T_3310, _T_3311) @[el2_lsu_bus_buffer.scala 449:116] - node _T_3313 = mux(_T_3312, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 449:89] - node _T_3314 = mux(_T_3309, ibuf_dualtag, _T_3313) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3315 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3316 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:99] - node _T_3317 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:127] - node _T_3318 = and(_T_3316, _T_3317) @[el2_lsu_bus_buffer.scala 449:116] - node _T_3319 = mux(_T_3318, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 449:89] - node _T_3320 = mux(_T_3315, ibuf_dualtag, _T_3319) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3321 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3322 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:99] - node _T_3323 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:127] - node _T_3324 = and(_T_3322, _T_3323) @[el2_lsu_bus_buffer.scala 449:116] - node _T_3325 = mux(_T_3324, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 449:89] - node _T_3326 = mux(_T_3321, ibuf_dualtag, _T_3325) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3327 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3328 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:99] - node _T_3329 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:127] - node _T_3330 = and(_T_3328, _T_3329) @[el2_lsu_bus_buffer.scala 449:116] - node _T_3331 = mux(_T_3330, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 449:89] - node _T_3332 = mux(_T_3327, ibuf_dualtag, _T_3331) @[el2_lsu_bus_buffer.scala 449:49] - buf_dualtag_in[0] <= _T_3314 @[el2_lsu_bus_buffer.scala 449:20] - buf_dualtag_in[1] <= _T_3320 @[el2_lsu_bus_buffer.scala 449:20] - buf_dualtag_in[2] <= _T_3326 @[el2_lsu_bus_buffer.scala 449:20] - buf_dualtag_in[3] <= _T_3332 @[el2_lsu_bus_buffer.scala 449:20] - node _T_3333 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:71] - node _T_3334 = mux(_T_3333, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 450:53] - node _T_3335 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:71] - node _T_3336 = mux(_T_3335, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 450:53] - node _T_3337 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:71] - node _T_3338 = mux(_T_3337, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 450:53] - node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:71] - node _T_3340 = mux(_T_3339, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 450:53] + buf_dualhi_in <= _T_3308 @[el2_lsu_bus_buffer.scala 449:19] + node _T_3309 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3310 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] + node _T_3311 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:127] + node _T_3312 = and(_T_3310, _T_3311) @[el2_lsu_bus_buffer.scala 450:116] + node _T_3313 = mux(_T_3312, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] + node _T_3314 = mux(_T_3309, ibuf_dualtag, _T_3313) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3315 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3316 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] + node _T_3317 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:127] + node _T_3318 = and(_T_3316, _T_3317) @[el2_lsu_bus_buffer.scala 450:116] + node _T_3319 = mux(_T_3318, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] + node _T_3320 = mux(_T_3315, ibuf_dualtag, _T_3319) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3321 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3322 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] + node _T_3323 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:127] + node _T_3324 = and(_T_3322, _T_3323) @[el2_lsu_bus_buffer.scala 450:116] + node _T_3325 = mux(_T_3324, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] + node _T_3326 = mux(_T_3321, ibuf_dualtag, _T_3325) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3327 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3328 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] + node _T_3329 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:127] + node _T_3330 = and(_T_3328, _T_3329) @[el2_lsu_bus_buffer.scala 450:116] + node _T_3331 = mux(_T_3330, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] + node _T_3332 = mux(_T_3327, ibuf_dualtag, _T_3331) @[el2_lsu_bus_buffer.scala 450:49] + buf_dualtag_in[0] <= _T_3314 @[el2_lsu_bus_buffer.scala 450:20] + buf_dualtag_in[1] <= _T_3320 @[el2_lsu_bus_buffer.scala 450:20] + buf_dualtag_in[2] <= _T_3326 @[el2_lsu_bus_buffer.scala 450:20] + buf_dualtag_in[3] <= _T_3332 @[el2_lsu_bus_buffer.scala 450:20] + node _T_3333 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:71] + node _T_3334 = mux(_T_3333, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] + node _T_3335 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:71] + node _T_3336 = mux(_T_3335, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] + node _T_3337 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:71] + node _T_3338 = mux(_T_3337, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] + node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:71] + node _T_3340 = mux(_T_3339, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] node _T_3341 = cat(_T_3340, _T_3338) @[Cat.scala 29:58] node _T_3342 = cat(_T_3341, _T_3336) @[Cat.scala 29:58] node _T_3343 = cat(_T_3342, _T_3334) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3343 @[el2_lsu_bus_buffer.scala 450:23] - node _T_3344 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3345 = mux(_T_3344, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3346 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3347 = mux(_T_3346, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3348 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3349 = mux(_T_3348, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 451:49] - node _T_3350 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:67] - node _T_3351 = mux(_T_3350, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 451:49] + buf_sideeffect_in <= _T_3343 @[el2_lsu_bus_buffer.scala 451:23] + node _T_3344 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:67] + node _T_3345 = mux(_T_3344, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3346 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:67] + node _T_3347 = mux(_T_3346, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3348 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:67] + node _T_3349 = mux(_T_3348, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3350 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:67] + node _T_3351 = mux(_T_3350, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] node _T_3352 = cat(_T_3351, _T_3349) @[Cat.scala 29:58] node _T_3353 = cat(_T_3352, _T_3347) @[Cat.scala 29:58] node _T_3354 = cat(_T_3353, _T_3345) @[Cat.scala 29:58] - buf_unsign_in <= _T_3354 @[el2_lsu_bus_buffer.scala 451:19] - node _T_3355 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:62] + buf_unsign_in <= _T_3354 @[el2_lsu_bus_buffer.scala 452:19] + node _T_3355 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:62] node _T_3356 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3357 = mux(_T_3355, ibuf_sz, _T_3356) @[el2_lsu_bus_buffer.scala 452:44] - node _T_3358 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:62] + node _T_3357 = mux(_T_3355, ibuf_sz, _T_3356) @[el2_lsu_bus_buffer.scala 453:44] + node _T_3358 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:62] node _T_3359 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3360 = mux(_T_3358, ibuf_sz, _T_3359) @[el2_lsu_bus_buffer.scala 452:44] - node _T_3361 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:62] + node _T_3360 = mux(_T_3358, ibuf_sz, _T_3359) @[el2_lsu_bus_buffer.scala 453:44] + node _T_3361 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:62] node _T_3362 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3363 = mux(_T_3361, ibuf_sz, _T_3362) @[el2_lsu_bus_buffer.scala 452:44] - node _T_3364 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:62] + node _T_3363 = mux(_T_3361, ibuf_sz, _T_3362) @[el2_lsu_bus_buffer.scala 453:44] + node _T_3364 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:62] node _T_3365 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3366 = mux(_T_3364, ibuf_sz, _T_3365) @[el2_lsu_bus_buffer.scala 452:44] - buf_sz_in[0] <= _T_3357 @[el2_lsu_bus_buffer.scala 452:15] - buf_sz_in[1] <= _T_3360 @[el2_lsu_bus_buffer.scala 452:15] - buf_sz_in[2] <= _T_3363 @[el2_lsu_bus_buffer.scala 452:15] - buf_sz_in[3] <= _T_3366 @[el2_lsu_bus_buffer.scala 452:15] - node _T_3367 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:66] - node _T_3368 = mux(_T_3367, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 453:48] - node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:66] - node _T_3370 = mux(_T_3369, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 453:48] - node _T_3371 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:66] - node _T_3372 = mux(_T_3371, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 453:48] - node _T_3373 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:66] - node _T_3374 = mux(_T_3373, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 453:48] + node _T_3366 = mux(_T_3364, ibuf_sz, _T_3365) @[el2_lsu_bus_buffer.scala 453:44] + buf_sz_in[0] <= _T_3357 @[el2_lsu_bus_buffer.scala 453:15] + buf_sz_in[1] <= _T_3360 @[el2_lsu_bus_buffer.scala 453:15] + buf_sz_in[2] <= _T_3363 @[el2_lsu_bus_buffer.scala 453:15] + buf_sz_in[3] <= _T_3366 @[el2_lsu_bus_buffer.scala 453:15] + node _T_3367 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3368 = mux(_T_3367, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3370 = mux(_T_3369, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3371 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3372 = mux(_T_3371, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3373 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3374 = mux(_T_3373, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] node _T_3375 = cat(_T_3374, _T_3372) @[Cat.scala 29:58] node _T_3376 = cat(_T_3375, _T_3370) @[Cat.scala 29:58] node _T_3377 = cat(_T_3376, _T_3368) @[Cat.scala 29:58] - buf_write_in <= _T_3377 @[el2_lsu_bus_buffer.scala 453:18] + buf_write_in <= _T_3377 @[el2_lsu_bus_buffer.scala 454:18] node _T_3378 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3378 : @[Conditional.scala 40:58] - node _T_3379 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 458:56] - node _T_3380 = mux(_T_3379, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:31] - buf_nxtstate[0] <= _T_3380 @[el2_lsu_bus_buffer.scala 458:25] - node _T_3381 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 459:45] - node _T_3382 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:77] - node _T_3383 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:97] - node _T_3384 = and(_T_3382, _T_3383) @[el2_lsu_bus_buffer.scala 459:95] - node _T_3385 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 459:117] - node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 459:112] - node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:144] - node _T_3388 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:166] - node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 459:161] - node _T_3390 = or(_T_3386, _T_3389) @[el2_lsu_bus_buffer.scala 459:132] - node _T_3391 = and(_T_3381, _T_3390) @[el2_lsu_bus_buffer.scala 459:63] - node _T_3392 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 459:206] - node _T_3393 = and(ibuf_drain_vld, _T_3392) @[el2_lsu_bus_buffer.scala 459:201] - node _T_3394 = or(_T_3391, _T_3393) @[el2_lsu_bus_buffer.scala 459:183] - buf_state_en[0] <= _T_3394 @[el2_lsu_bus_buffer.scala 459:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 460:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 461:24] - node _T_3395 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 462:52] - node _T_3396 = and(ibuf_drain_vld, _T_3395) @[el2_lsu_bus_buffer.scala 462:47] - node _T_3397 = bits(_T_3396, 0, 0) @[el2_lsu_bus_buffer.scala 462:73] - node _T_3398 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 462:90] - node _T_3399 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 462:114] - node _T_3400 = mux(_T_3397, _T_3398, _T_3399) @[el2_lsu_bus_buffer.scala 462:30] - buf_data_in[0] <= _T_3400 @[el2_lsu_bus_buffer.scala 462:24] + node _T_3379 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] + node _T_3380 = mux(_T_3379, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] + buf_nxtstate[0] <= _T_3380 @[el2_lsu_bus_buffer.scala 459:25] + node _T_3381 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] + node _T_3382 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] + node _T_3383 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] + node _T_3384 = and(_T_3382, _T_3383) @[el2_lsu_bus_buffer.scala 460:95] + node _T_3385 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] + node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 460:112] + node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] + node _T_3388 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] + node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 460:161] + node _T_3390 = or(_T_3386, _T_3389) @[el2_lsu_bus_buffer.scala 460:132] + node _T_3391 = and(_T_3381, _T_3390) @[el2_lsu_bus_buffer.scala 460:63] + node _T_3392 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] + node _T_3393 = and(ibuf_drain_vld, _T_3392) @[el2_lsu_bus_buffer.scala 460:201] + node _T_3394 = or(_T_3391, _T_3393) @[el2_lsu_bus_buffer.scala 460:183] + buf_state_en[0] <= _T_3394 @[el2_lsu_bus_buffer.scala 460:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 461:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 462:24] + node _T_3395 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] + node _T_3396 = and(ibuf_drain_vld, _T_3395) @[el2_lsu_bus_buffer.scala 463:47] + node _T_3397 = bits(_T_3396, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] + node _T_3398 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] + node _T_3399 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] + node _T_3400 = mux(_T_3397, _T_3398, _T_3399) @[el2_lsu_bus_buffer.scala 463:30] + buf_data_in[0] <= _T_3400 @[el2_lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3401 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3401 : @[Conditional.scala 39:67] - node _T_3402 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 465:60] - node _T_3403 = mux(_T_3402, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:31] - buf_nxtstate[0] <= _T_3403 @[el2_lsu_bus_buffer.scala 465:25] - node _T_3404 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 466:46] - buf_state_en[0] <= _T_3404 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3402 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] + node _T_3403 = mux(_T_3402, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[0] <= _T_3403 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3404 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] + buf_state_en[0] <= _T_3404 @[el2_lsu_bus_buffer.scala 467:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3405 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3405 : @[Conditional.scala 39:67] - node _T_3406 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 469:60] - node _T_3407 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 469:89] - node _T_3408 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 469:124] - node _T_3409 = and(_T_3407, _T_3408) @[el2_lsu_bus_buffer.scala 469:104] - node _T_3410 = mux(_T_3409, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 469:75] - node _T_3411 = mux(_T_3406, UInt<3>("h00"), _T_3410) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[0] <= _T_3411 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3412 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:48] - node _T_3413 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3414 = and(obuf_merge, _T_3413) @[el2_lsu_bus_buffer.scala 470:91] - node _T_3415 = or(_T_3412, _T_3414) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3416 = and(_T_3415, obuf_valid) @[el2_lsu_bus_buffer.scala 470:135] - node _T_3417 = and(_T_3416, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 470:148] - buf_cmd_state_bus_en[0] <= _T_3417 @[el2_lsu_bus_buffer.scala 470:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 471:29] - node _T_3418 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 472:49] - node _T_3419 = or(_T_3418, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 472:70] - buf_state_en[0] <= _T_3419 @[el2_lsu_bus_buffer.scala 472:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 473:25] - node _T_3420 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] - node _T_3421 = eq(_T_3420, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:46] - node _T_3422 = and(buf_state_en[0], _T_3421) @[el2_lsu_bus_buffer.scala 474:44] - node _T_3423 = and(_T_3422, obuf_nosend) @[el2_lsu_bus_buffer.scala 474:60] - node _T_3424 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:76] - node _T_3425 = and(_T_3423, _T_3424) @[el2_lsu_bus_buffer.scala 474:74] - buf_ldfwd_en[0] <= _T_3425 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3426 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 475:46] - buf_ldfwdtag_in[0] <= _T_3426 @[el2_lsu_bus_buffer.scala 475:28] - node _T_3427 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 476:47] - node _T_3428 = and(_T_3427, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:67] - node _T_3429 = and(_T_3428, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:81] - buf_data_en[0] <= _T_3429 @[el2_lsu_bus_buffer.scala 476:24] - node _T_3430 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:48] - node _T_3431 = and(_T_3430, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:68] - node _T_3432 = and(_T_3431, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 477:82] - buf_error_en[0] <= _T_3432 @[el2_lsu_bus_buffer.scala 477:25] - node _T_3433 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3434 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 478:85] - node _T_3435 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3436 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 478:126] - node _T_3437 = mux(_T_3434, _T_3435, _T_3436) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3438 = mux(buf_error_en[0], _T_3433, _T_3437) @[el2_lsu_bus_buffer.scala 478:30] - buf_data_in[0] <= _T_3438 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3406 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] + node _T_3407 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] + node _T_3408 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] + node _T_3409 = and(_T_3407, _T_3408) @[el2_lsu_bus_buffer.scala 470:104] + node _T_3410 = mux(_T_3409, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] + node _T_3411 = mux(_T_3406, UInt<3>("h00"), _T_3410) @[el2_lsu_bus_buffer.scala 470:31] + buf_nxtstate[0] <= _T_3411 @[el2_lsu_bus_buffer.scala 470:25] + node _T_3412 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:48] + node _T_3413 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3414 = and(obuf_merge, _T_3413) @[el2_lsu_bus_buffer.scala 471:91] + node _T_3415 = or(_T_3412, _T_3414) @[el2_lsu_bus_buffer.scala 471:77] + node _T_3416 = and(_T_3415, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] + node _T_3417 = and(_T_3416, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] + buf_cmd_state_bus_en[0] <= _T_3417 @[el2_lsu_bus_buffer.scala 471:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 472:29] + node _T_3418 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] + node _T_3419 = or(_T_3418, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] + buf_state_en[0] <= _T_3419 @[el2_lsu_bus_buffer.scala 473:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] + node _T_3420 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 475:56] + node _T_3421 = eq(_T_3420, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] + node _T_3422 = and(buf_state_en[0], _T_3421) @[el2_lsu_bus_buffer.scala 475:44] + node _T_3423 = and(_T_3422, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] + node _T_3424 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] + node _T_3425 = and(_T_3423, _T_3424) @[el2_lsu_bus_buffer.scala 475:74] + buf_ldfwd_en[0] <= _T_3425 @[el2_lsu_bus_buffer.scala 475:25] + node _T_3426 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] + buf_ldfwdtag_in[0] <= _T_3426 @[el2_lsu_bus_buffer.scala 476:28] + node _T_3427 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] + node _T_3428 = and(_T_3427, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] + node _T_3429 = and(_T_3428, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] + buf_data_en[0] <= _T_3429 @[el2_lsu_bus_buffer.scala 477:24] + node _T_3430 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3431 = and(_T_3430, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] + node _T_3432 = and(_T_3431, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] + buf_error_en[0] <= _T_3432 @[el2_lsu_bus_buffer.scala 478:25] + node _T_3433 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] + node _T_3434 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] + node _T_3435 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3436 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] + node _T_3437 = mux(_T_3434, _T_3435, _T_3436) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3438 = mux(buf_error_en[0], _T_3433, _T_3437) @[el2_lsu_bus_buffer.scala 479:30] + buf_data_in[0] <= _T_3438 @[el2_lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3439 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3439 : @[Conditional.scala 39:67] - node _T_3440 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3441 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 481:94] - node _T_3442 = eq(_T_3441, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3443 = and(_T_3440, _T_3442) @[el2_lsu_bus_buffer.scala 481:71] - node _T_3444 = or(io.dec_tlu_force_halt, _T_3443) @[el2_lsu_bus_buffer.scala 481:55] - node _T_3445 = bits(_T_3444, 0, 0) @[el2_lsu_bus_buffer.scala 481:125] - node _T_3446 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:30] - node _T_3447 = and(buf_dual[0], _T_3446) @[el2_lsu_bus_buffer.scala 482:28] - node _T_3448 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 482:57] - node _T_3449 = eq(_T_3448, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3450 = and(_T_3447, _T_3449) @[el2_lsu_bus_buffer.scala 482:45] - node _T_3451 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3452 = and(_T_3450, _T_3451) @[el2_lsu_bus_buffer.scala 482:61] - node _T_3453 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 483:27] - node _T_3454 = or(_T_3453, any_done_wait_state) @[el2_lsu_bus_buffer.scala 483:31] - node _T_3455 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:70] - node _T_3456 = and(buf_dual[0], _T_3455) @[el2_lsu_bus_buffer.scala 483:68] - node _T_3457 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:97] - node _T_3458 = eq(_T_3457, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:87] - node _T_3459 = and(_T_3456, _T_3458) @[el2_lsu_bus_buffer.scala 483:85] + node _T_3440 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3441 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] + node _T_3442 = eq(_T_3441, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] + node _T_3443 = and(_T_3440, _T_3442) @[el2_lsu_bus_buffer.scala 482:71] + node _T_3444 = or(io.dec_tlu_force_halt, _T_3443) @[el2_lsu_bus_buffer.scala 482:55] + node _T_3445 = bits(_T_3444, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] + node _T_3446 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] + node _T_3447 = and(buf_dual[0], _T_3446) @[el2_lsu_bus_buffer.scala 483:28] + node _T_3448 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:57] + node _T_3449 = eq(_T_3448, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3450 = and(_T_3447, _T_3449) @[el2_lsu_bus_buffer.scala 483:45] + node _T_3451 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] + node _T_3452 = and(_T_3450, _T_3451) @[el2_lsu_bus_buffer.scala 483:61] + node _T_3453 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 484:27] + node _T_3454 = or(_T_3453, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] + node _T_3455 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] + node _T_3456 = and(buf_dual[0], _T_3455) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3457 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:97] + node _T_3458 = eq(_T_3457, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] + node _T_3459 = and(_T_3456, _T_3458) @[el2_lsu_bus_buffer.scala 484:85] node _T_3460 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_3461 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_3462 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -4419,265 +4420,265 @@ circuit el2_lsu_bus_buffer : node _T_3474 = or(_T_3473, _T_3471) @[Mux.scala 27:72] wire _T_3475 : UInt<1> @[Mux.scala 27:72] _T_3475 <= _T_3474 @[Mux.scala 27:72] - node _T_3476 = and(_T_3459, _T_3475) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3477 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:167] - node _T_3478 = and(_T_3476, _T_3477) @[el2_lsu_bus_buffer.scala 483:138] - node _T_3479 = and(_T_3478, any_done_wait_state) @[el2_lsu_bus_buffer.scala 483:187] - node _T_3480 = or(_T_3454, _T_3479) @[el2_lsu_bus_buffer.scala 483:53] - node _T_3481 = mux(_T_3480, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:16] - node _T_3482 = mux(_T_3452, UInt<3>("h04"), _T_3481) @[el2_lsu_bus_buffer.scala 482:14] - node _T_3483 = mux(_T_3445, UInt<3>("h00"), _T_3482) @[el2_lsu_bus_buffer.scala 481:31] - buf_nxtstate[0] <= _T_3483 @[el2_lsu_bus_buffer.scala 481:25] - node _T_3484 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 484:73] - node _T_3485 = and(bus_rsp_write, _T_3484) @[el2_lsu_bus_buffer.scala 484:52] - node _T_3486 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_3487 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 486:23] - node _T_3488 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 486:47] - node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 486:27] - node _T_3490 = or(_T_3486, _T_3489) @[el2_lsu_bus_buffer.scala 485:77] - node _T_3491 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 487:26] - node _T_3492 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 487:54] - node _T_3493 = not(_T_3492) @[el2_lsu_bus_buffer.scala 487:44] - node _T_3494 = and(_T_3491, _T_3493) @[el2_lsu_bus_buffer.scala 487:42] - node _T_3495 = and(_T_3494, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 487:58] - node _T_3496 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 487:94] - node _T_3497 = and(_T_3495, _T_3496) @[el2_lsu_bus_buffer.scala 487:74] - node _T_3498 = or(_T_3490, _T_3497) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3499 = and(bus_rsp_read, _T_3498) @[el2_lsu_bus_buffer.scala 485:25] - node _T_3500 = or(_T_3485, _T_3499) @[el2_lsu_bus_buffer.scala 484:105] - buf_resp_state_bus_en[0] <= _T_3500 @[el2_lsu_bus_buffer.scala 484:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 488:29] - node _T_3501 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 489:49] - node _T_3502 = or(_T_3501, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 489:70] - buf_state_en[0] <= _T_3502 @[el2_lsu_bus_buffer.scala 489:25] - node _T_3503 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3504 = and(_T_3503, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:62] - buf_data_en[0] <= _T_3504 @[el2_lsu_bus_buffer.scala 490:24] - node _T_3505 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:48] - node _T_3506 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 491:111] - node _T_3507 = and(bus_rsp_read_error, _T_3506) @[el2_lsu_bus_buffer.scala 491:91] - node _T_3508 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 492:42] - node _T_3509 = and(bus_rsp_read_error, _T_3508) @[el2_lsu_bus_buffer.scala 492:31] - node _T_3510 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 492:66] - node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 492:46] - node _T_3512 = or(_T_3507, _T_3511) @[el2_lsu_bus_buffer.scala 491:143] - node _T_3513 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:32] - node _T_3514 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 493:74] - node _T_3515 = and(_T_3513, _T_3514) @[el2_lsu_bus_buffer.scala 493:53] - node _T_3516 = or(_T_3512, _T_3515) @[el2_lsu_bus_buffer.scala 492:88] - node _T_3517 = and(_T_3505, _T_3516) @[el2_lsu_bus_buffer.scala 491:68] - buf_error_en[0] <= _T_3517 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3518 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:50] - node _T_3519 = and(buf_state_en[0], _T_3518) @[el2_lsu_bus_buffer.scala 494:48] - node _T_3520 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 494:84] - node _T_3521 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:102] - node _T_3522 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:125] - node _T_3523 = mux(_T_3520, _T_3521, _T_3522) @[el2_lsu_bus_buffer.scala 494:72] - node _T_3524 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:148] - node _T_3525 = mux(_T_3519, _T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 494:30] - buf_data_in[0] <= _T_3525 @[el2_lsu_bus_buffer.scala 494:24] + node _T_3476 = and(_T_3459, _T_3475) @[el2_lsu_bus_buffer.scala 484:101] + node _T_3477 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] + node _T_3478 = and(_T_3476, _T_3477) @[el2_lsu_bus_buffer.scala 484:138] + node _T_3479 = and(_T_3478, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] + node _T_3480 = or(_T_3454, _T_3479) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3481 = mux(_T_3480, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] + node _T_3482 = mux(_T_3452, UInt<3>("h04"), _T_3481) @[el2_lsu_bus_buffer.scala 483:14] + node _T_3483 = mux(_T_3445, UInt<3>("h00"), _T_3482) @[el2_lsu_bus_buffer.scala 482:31] + buf_nxtstate[0] <= _T_3483 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3484 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3485 = and(bus_rsp_write, _T_3484) @[el2_lsu_bus_buffer.scala 485:52] + node _T_3486 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:46] + node _T_3487 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 487:23] + node _T_3488 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 487:47] + node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 487:27] + node _T_3490 = or(_T_3486, _T_3489) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3491 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 488:26] + node _T_3492 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 488:54] + node _T_3493 = not(_T_3492) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3494 = and(_T_3491, _T_3493) @[el2_lsu_bus_buffer.scala 488:42] + node _T_3495 = and(_T_3494, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 488:58] + node _T_3496 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3497 = and(_T_3495, _T_3496) @[el2_lsu_bus_buffer.scala 488:74] + node _T_3498 = or(_T_3490, _T_3497) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3499 = and(bus_rsp_read, _T_3498) @[el2_lsu_bus_buffer.scala 486:25] + node _T_3500 = or(_T_3485, _T_3499) @[el2_lsu_bus_buffer.scala 485:105] + buf_resp_state_bus_en[0] <= _T_3500 @[el2_lsu_bus_buffer.scala 485:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 489:29] + node _T_3501 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3502 = or(_T_3501, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] + buf_state_en[0] <= _T_3502 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3503 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3504 = and(_T_3503, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] + buf_data_en[0] <= _T_3504 @[el2_lsu_bus_buffer.scala 491:24] + node _T_3505 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] + node _T_3506 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:111] + node _T_3507 = and(bus_rsp_read_error, _T_3506) @[el2_lsu_bus_buffer.scala 492:91] + node _T_3508 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 493:42] + node _T_3509 = and(bus_rsp_read_error, _T_3508) @[el2_lsu_bus_buffer.scala 493:31] + node _T_3510 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 493:66] + node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3512 = or(_T_3507, _T_3511) @[el2_lsu_bus_buffer.scala 492:143] + node _T_3513 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] + node _T_3514 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3515 = and(_T_3513, _T_3514) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3516 = or(_T_3512, _T_3515) @[el2_lsu_bus_buffer.scala 493:88] + node _T_3517 = and(_T_3505, _T_3516) @[el2_lsu_bus_buffer.scala 492:68] + buf_error_en[0] <= _T_3517 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3518 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] + node _T_3519 = and(buf_state_en[0], _T_3518) @[el2_lsu_bus_buffer.scala 495:48] + node _T_3520 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] + node _T_3521 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] + node _T_3522 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] + node _T_3523 = mux(_T_3520, _T_3521, _T_3522) @[el2_lsu_bus_buffer.scala 495:72] + node _T_3524 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] + node _T_3525 = mux(_T_3519, _T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 495:30] + buf_data_in[0] <= _T_3525 @[el2_lsu_bus_buffer.scala 495:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3526 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3526 : @[Conditional.scala 39:67] - node _T_3527 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 497:60] - node _T_3528 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 497:86] - node _T_3529 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 497:101] - node _T_3530 = bits(_T_3529, 0, 0) @[el2_lsu_bus_buffer.scala 497:101] - node _T_3531 = or(_T_3528, _T_3530) @[el2_lsu_bus_buffer.scala 497:90] - node _T_3532 = or(_T_3531, any_done_wait_state) @[el2_lsu_bus_buffer.scala 497:118] - node _T_3533 = mux(_T_3532, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 497:75] - node _T_3534 = mux(_T_3527, UInt<3>("h00"), _T_3533) @[el2_lsu_bus_buffer.scala 497:31] - buf_nxtstate[0] <= _T_3534 @[el2_lsu_bus_buffer.scala 497:25] - node _T_3535 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 498:66] - node _T_3536 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:21] - node _T_3537 = bits(_T_3536, 0, 0) @[el2_lsu_bus_buffer.scala 499:21] - node _T_3538 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 499:58] - node _T_3539 = and(_T_3537, _T_3538) @[el2_lsu_bus_buffer.scala 499:38] - node _T_3540 = or(_T_3535, _T_3539) @[el2_lsu_bus_buffer.scala 498:95] - node _T_3541 = and(bus_rsp_read, _T_3540) @[el2_lsu_bus_buffer.scala 498:45] - buf_state_bus_en[0] <= _T_3541 @[el2_lsu_bus_buffer.scala 498:29] - node _T_3542 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_3543 = or(_T_3542, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[0] <= _T_3543 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3527 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] + node _T_3528 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 498:86] + node _T_3529 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3530 = bits(_T_3529, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3531 = or(_T_3528, _T_3530) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3532 = or(_T_3531, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] + node _T_3533 = mux(_T_3532, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] + node _T_3534 = mux(_T_3527, UInt<3>("h00"), _T_3533) @[el2_lsu_bus_buffer.scala 498:31] + buf_nxtstate[0] <= _T_3534 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3535 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3536 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3537 = bits(_T_3536, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3538 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 500:58] + node _T_3539 = and(_T_3537, _T_3538) @[el2_lsu_bus_buffer.scala 500:38] + node _T_3540 = or(_T_3535, _T_3539) @[el2_lsu_bus_buffer.scala 499:95] + node _T_3541 = and(bus_rsp_read, _T_3540) @[el2_lsu_bus_buffer.scala 499:45] + buf_state_bus_en[0] <= _T_3541 @[el2_lsu_bus_buffer.scala 499:29] + node _T_3542 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] + node _T_3543 = or(_T_3542, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] + buf_state_en[0] <= _T_3543 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3544 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3544 : @[Conditional.scala 39:67] - node _T_3545 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_3546 = mux(_T_3545, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[0] <= _T_3546 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3547 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 504:37] - node _T_3548 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 504:98] - node _T_3549 = and(buf_dual[0], _T_3548) @[el2_lsu_bus_buffer.scala 504:80] - node _T_3550 = or(_T_3547, _T_3549) @[el2_lsu_bus_buffer.scala 504:65] - node _T_3551 = or(_T_3550, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:112] - buf_state_en[0] <= _T_3551 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3545 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3546 = mux(_T_3545, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[0] <= _T_3546 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3547 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 505:37] + node _T_3548 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] + node _T_3549 = and(buf_dual[0], _T_3548) @[el2_lsu_bus_buffer.scala 505:80] + node _T_3550 = or(_T_3547, _T_3549) @[el2_lsu_bus_buffer.scala 505:65] + node _T_3551 = or(_T_3550, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] + buf_state_en[0] <= _T_3551 @[el2_lsu_bus_buffer.scala 505:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3552 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3552 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 507:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 511:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] - node _T_3553 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 514:108] + node _T_3553 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] reg _T_3554 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3553 : @[Reg.scala 28:19] _T_3554 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3554 @[el2_lsu_bus_buffer.scala 514:18] - reg _T_3555 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 515:60] - _T_3555 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 515:60] - buf_ageQ[0] <= _T_3555 @[el2_lsu_bus_buffer.scala 515:17] - reg _T_3556 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:63] - _T_3556 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 516:63] - buf_rspageQ[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 516:20] - node _T_3557 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 517:109] + buf_state[0] <= _T_3554 @[el2_lsu_bus_buffer.scala 515:18] + reg _T_3555 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] + _T_3555 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 516:60] + buf_ageQ[0] <= _T_3555 @[el2_lsu_bus_buffer.scala 516:17] + reg _T_3556 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] + _T_3556 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 517:63] + buf_rspageQ[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 517:20] + node _T_3557 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] reg _T_3558 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3557 : @[Reg.scala 28:19] _T_3558 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3559 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 518:74] - node _T_3560 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 518:107] + buf_dualtag[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3559 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 519:74] + node _T_3560 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] reg _T_3561 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3560 : @[Reg.scala 28:19] _T_3561 <= _T_3559 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 518:17] - node _T_3562 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 519:78] - node _T_3563 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:111] + buf_dual[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 519:17] + node _T_3562 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:78] + node _T_3563 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] reg _T_3564 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3563 : @[Reg.scala 28:19] _T_3564 <= _T_3562 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3564 @[el2_lsu_bus_buffer.scala 519:19] - node _T_3565 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:80] - node _T_3566 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:113] + buf_samedw[0] <= _T_3564 @[el2_lsu_bus_buffer.scala 520:19] + node _T_3565 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:80] + node _T_3566 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] reg _T_3567 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3566 : @[Reg.scala 28:19] _T_3567 <= _T_3565 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3567 @[el2_lsu_bus_buffer.scala 520:20] - node _T_3568 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:78] - node _T_3569 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] + buf_nomerge[0] <= _T_3567 @[el2_lsu_bus_buffer.scala 521:20] + node _T_3568 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 522:78] + node _T_3569 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] reg _T_3570 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3569 : @[Reg.scala 28:19] _T_3570 <= _T_3568 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3570 @[el2_lsu_bus_buffer.scala 521:19] + buf_dualhi[0] <= _T_3570 @[el2_lsu_bus_buffer.scala 522:19] node _T_3571 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3571 : @[Conditional.scala 40:58] - node _T_3572 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 458:56] - node _T_3573 = mux(_T_3572, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:31] - buf_nxtstate[1] <= _T_3573 @[el2_lsu_bus_buffer.scala 458:25] - node _T_3574 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 459:45] - node _T_3575 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:77] - node _T_3576 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:97] - node _T_3577 = and(_T_3575, _T_3576) @[el2_lsu_bus_buffer.scala 459:95] - node _T_3578 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 459:117] - node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 459:112] - node _T_3580 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:144] - node _T_3581 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:166] - node _T_3582 = and(_T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 459:161] - node _T_3583 = or(_T_3579, _T_3582) @[el2_lsu_bus_buffer.scala 459:132] - node _T_3584 = and(_T_3574, _T_3583) @[el2_lsu_bus_buffer.scala 459:63] - node _T_3585 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 459:206] - node _T_3586 = and(ibuf_drain_vld, _T_3585) @[el2_lsu_bus_buffer.scala 459:201] - node _T_3587 = or(_T_3584, _T_3586) @[el2_lsu_bus_buffer.scala 459:183] - buf_state_en[1] <= _T_3587 @[el2_lsu_bus_buffer.scala 459:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 460:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 461:24] - node _T_3588 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 462:52] - node _T_3589 = and(ibuf_drain_vld, _T_3588) @[el2_lsu_bus_buffer.scala 462:47] - node _T_3590 = bits(_T_3589, 0, 0) @[el2_lsu_bus_buffer.scala 462:73] - node _T_3591 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 462:90] - node _T_3592 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 462:114] - node _T_3593 = mux(_T_3590, _T_3591, _T_3592) @[el2_lsu_bus_buffer.scala 462:30] - buf_data_in[1] <= _T_3593 @[el2_lsu_bus_buffer.scala 462:24] + node _T_3572 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] + node _T_3573 = mux(_T_3572, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] + buf_nxtstate[1] <= _T_3573 @[el2_lsu_bus_buffer.scala 459:25] + node _T_3574 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] + node _T_3575 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] + node _T_3576 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] + node _T_3577 = and(_T_3575, _T_3576) @[el2_lsu_bus_buffer.scala 460:95] + node _T_3578 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] + node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 460:112] + node _T_3580 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] + node _T_3581 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] + node _T_3582 = and(_T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 460:161] + node _T_3583 = or(_T_3579, _T_3582) @[el2_lsu_bus_buffer.scala 460:132] + node _T_3584 = and(_T_3574, _T_3583) @[el2_lsu_bus_buffer.scala 460:63] + node _T_3585 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] + node _T_3586 = and(ibuf_drain_vld, _T_3585) @[el2_lsu_bus_buffer.scala 460:201] + node _T_3587 = or(_T_3584, _T_3586) @[el2_lsu_bus_buffer.scala 460:183] + buf_state_en[1] <= _T_3587 @[el2_lsu_bus_buffer.scala 460:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 461:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 462:24] + node _T_3588 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] + node _T_3589 = and(ibuf_drain_vld, _T_3588) @[el2_lsu_bus_buffer.scala 463:47] + node _T_3590 = bits(_T_3589, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] + node _T_3591 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] + node _T_3592 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] + node _T_3593 = mux(_T_3590, _T_3591, _T_3592) @[el2_lsu_bus_buffer.scala 463:30] + buf_data_in[1] <= _T_3593 @[el2_lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3594 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3594 : @[Conditional.scala 39:67] - node _T_3595 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 465:60] - node _T_3596 = mux(_T_3595, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:31] - buf_nxtstate[1] <= _T_3596 @[el2_lsu_bus_buffer.scala 465:25] - node _T_3597 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 466:46] - buf_state_en[1] <= _T_3597 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3595 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] + node _T_3596 = mux(_T_3595, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[1] <= _T_3596 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3597 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] + buf_state_en[1] <= _T_3597 @[el2_lsu_bus_buffer.scala 467:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3598 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3598 : @[Conditional.scala 39:67] - node _T_3599 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 469:60] - node _T_3600 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 469:89] - node _T_3601 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 469:124] - node _T_3602 = and(_T_3600, _T_3601) @[el2_lsu_bus_buffer.scala 469:104] - node _T_3603 = mux(_T_3602, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 469:75] - node _T_3604 = mux(_T_3599, UInt<3>("h00"), _T_3603) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[1] <= _T_3604 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3605 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 470:48] - node _T_3606 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3607 = and(obuf_merge, _T_3606) @[el2_lsu_bus_buffer.scala 470:91] - node _T_3608 = or(_T_3605, _T_3607) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3609 = and(_T_3608, obuf_valid) @[el2_lsu_bus_buffer.scala 470:135] - node _T_3610 = and(_T_3609, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 470:148] - buf_cmd_state_bus_en[1] <= _T_3610 @[el2_lsu_bus_buffer.scala 470:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 471:29] - node _T_3611 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 472:49] - node _T_3612 = or(_T_3611, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 472:70] - buf_state_en[1] <= _T_3612 @[el2_lsu_bus_buffer.scala 472:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 473:25] - node _T_3613 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 474:56] - node _T_3614 = eq(_T_3613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:46] - node _T_3615 = and(buf_state_en[1], _T_3614) @[el2_lsu_bus_buffer.scala 474:44] - node _T_3616 = and(_T_3615, obuf_nosend) @[el2_lsu_bus_buffer.scala 474:60] - node _T_3617 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:76] - node _T_3618 = and(_T_3616, _T_3617) @[el2_lsu_bus_buffer.scala 474:74] - buf_ldfwd_en[1] <= _T_3618 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3619 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 475:46] - buf_ldfwdtag_in[1] <= _T_3619 @[el2_lsu_bus_buffer.scala 475:28] - node _T_3620 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 476:47] - node _T_3621 = and(_T_3620, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:67] - node _T_3622 = and(_T_3621, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:81] - buf_data_en[1] <= _T_3622 @[el2_lsu_bus_buffer.scala 476:24] - node _T_3623 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:48] - node _T_3624 = and(_T_3623, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:68] - node _T_3625 = and(_T_3624, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 477:82] - buf_error_en[1] <= _T_3625 @[el2_lsu_bus_buffer.scala 477:25] - node _T_3626 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3627 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 478:85] - node _T_3628 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3629 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 478:126] - node _T_3630 = mux(_T_3627, _T_3628, _T_3629) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3631 = mux(buf_error_en[1], _T_3626, _T_3630) @[el2_lsu_bus_buffer.scala 478:30] - buf_data_in[1] <= _T_3631 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3599 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] + node _T_3600 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] + node _T_3601 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] + node _T_3602 = and(_T_3600, _T_3601) @[el2_lsu_bus_buffer.scala 470:104] + node _T_3603 = mux(_T_3602, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] + node _T_3604 = mux(_T_3599, UInt<3>("h00"), _T_3603) @[el2_lsu_bus_buffer.scala 470:31] + buf_nxtstate[1] <= _T_3604 @[el2_lsu_bus_buffer.scala 470:25] + node _T_3605 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:48] + node _T_3606 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3607 = and(obuf_merge, _T_3606) @[el2_lsu_bus_buffer.scala 471:91] + node _T_3608 = or(_T_3605, _T_3607) @[el2_lsu_bus_buffer.scala 471:77] + node _T_3609 = and(_T_3608, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] + node _T_3610 = and(_T_3609, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] + buf_cmd_state_bus_en[1] <= _T_3610 @[el2_lsu_bus_buffer.scala 471:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 472:29] + node _T_3611 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] + node _T_3612 = or(_T_3611, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] + buf_state_en[1] <= _T_3612 @[el2_lsu_bus_buffer.scala 473:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] + node _T_3613 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 475:56] + node _T_3614 = eq(_T_3613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] + node _T_3615 = and(buf_state_en[1], _T_3614) @[el2_lsu_bus_buffer.scala 475:44] + node _T_3616 = and(_T_3615, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] + node _T_3617 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] + node _T_3618 = and(_T_3616, _T_3617) @[el2_lsu_bus_buffer.scala 475:74] + buf_ldfwd_en[1] <= _T_3618 @[el2_lsu_bus_buffer.scala 475:25] + node _T_3619 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] + buf_ldfwdtag_in[1] <= _T_3619 @[el2_lsu_bus_buffer.scala 476:28] + node _T_3620 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] + node _T_3621 = and(_T_3620, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] + node _T_3622 = and(_T_3621, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] + buf_data_en[1] <= _T_3622 @[el2_lsu_bus_buffer.scala 477:24] + node _T_3623 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3624 = and(_T_3623, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] + node _T_3625 = and(_T_3624, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] + buf_error_en[1] <= _T_3625 @[el2_lsu_bus_buffer.scala 478:25] + node _T_3626 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] + node _T_3627 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] + node _T_3628 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3629 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] + node _T_3630 = mux(_T_3627, _T_3628, _T_3629) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3631 = mux(buf_error_en[1], _T_3626, _T_3630) @[el2_lsu_bus_buffer.scala 479:30] + buf_data_in[1] <= _T_3631 @[el2_lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3632 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3632 : @[Conditional.scala 39:67] - node _T_3633 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3634 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 481:94] - node _T_3635 = eq(_T_3634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3636 = and(_T_3633, _T_3635) @[el2_lsu_bus_buffer.scala 481:71] - node _T_3637 = or(io.dec_tlu_force_halt, _T_3636) @[el2_lsu_bus_buffer.scala 481:55] - node _T_3638 = bits(_T_3637, 0, 0) @[el2_lsu_bus_buffer.scala 481:125] - node _T_3639 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:30] - node _T_3640 = and(buf_dual[1], _T_3639) @[el2_lsu_bus_buffer.scala 482:28] - node _T_3641 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 482:57] - node _T_3642 = eq(_T_3641, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3643 = and(_T_3640, _T_3642) @[el2_lsu_bus_buffer.scala 482:45] - node _T_3644 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3645 = and(_T_3643, _T_3644) @[el2_lsu_bus_buffer.scala 482:61] - node _T_3646 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 483:27] - node _T_3647 = or(_T_3646, any_done_wait_state) @[el2_lsu_bus_buffer.scala 483:31] - node _T_3648 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:70] - node _T_3649 = and(buf_dual[1], _T_3648) @[el2_lsu_bus_buffer.scala 483:68] - node _T_3650 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:97] - node _T_3651 = eq(_T_3650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:87] - node _T_3652 = and(_T_3649, _T_3651) @[el2_lsu_bus_buffer.scala 483:85] + node _T_3633 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3634 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] + node _T_3635 = eq(_T_3634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] + node _T_3636 = and(_T_3633, _T_3635) @[el2_lsu_bus_buffer.scala 482:71] + node _T_3637 = or(io.dec_tlu_force_halt, _T_3636) @[el2_lsu_bus_buffer.scala 482:55] + node _T_3638 = bits(_T_3637, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] + node _T_3639 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] + node _T_3640 = and(buf_dual[1], _T_3639) @[el2_lsu_bus_buffer.scala 483:28] + node _T_3641 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:57] + node _T_3642 = eq(_T_3641, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3643 = and(_T_3640, _T_3642) @[el2_lsu_bus_buffer.scala 483:45] + node _T_3644 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] + node _T_3645 = and(_T_3643, _T_3644) @[el2_lsu_bus_buffer.scala 483:61] + node _T_3646 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 484:27] + node _T_3647 = or(_T_3646, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] + node _T_3648 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] + node _T_3649 = and(buf_dual[1], _T_3648) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3650 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:97] + node _T_3651 = eq(_T_3650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] + node _T_3652 = and(_T_3649, _T_3651) @[el2_lsu_bus_buffer.scala 484:85] node _T_3653 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_3654 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_3655 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -4695,265 +4696,265 @@ circuit el2_lsu_bus_buffer : node _T_3667 = or(_T_3666, _T_3664) @[Mux.scala 27:72] wire _T_3668 : UInt<1> @[Mux.scala 27:72] _T_3668 <= _T_3667 @[Mux.scala 27:72] - node _T_3669 = and(_T_3652, _T_3668) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3670 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:167] - node _T_3671 = and(_T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 483:138] - node _T_3672 = and(_T_3671, any_done_wait_state) @[el2_lsu_bus_buffer.scala 483:187] - node _T_3673 = or(_T_3647, _T_3672) @[el2_lsu_bus_buffer.scala 483:53] - node _T_3674 = mux(_T_3673, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:16] - node _T_3675 = mux(_T_3645, UInt<3>("h04"), _T_3674) @[el2_lsu_bus_buffer.scala 482:14] - node _T_3676 = mux(_T_3638, UInt<3>("h00"), _T_3675) @[el2_lsu_bus_buffer.scala 481:31] - buf_nxtstate[1] <= _T_3676 @[el2_lsu_bus_buffer.scala 481:25] - node _T_3677 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 484:73] - node _T_3678 = and(bus_rsp_write, _T_3677) @[el2_lsu_bus_buffer.scala 484:52] - node _T_3679 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_3680 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 486:23] - node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 486:47] - node _T_3682 = and(_T_3680, _T_3681) @[el2_lsu_bus_buffer.scala 486:27] - node _T_3683 = or(_T_3679, _T_3682) @[el2_lsu_bus_buffer.scala 485:77] - node _T_3684 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 487:26] - node _T_3685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 487:54] - node _T_3686 = not(_T_3685) @[el2_lsu_bus_buffer.scala 487:44] - node _T_3687 = and(_T_3684, _T_3686) @[el2_lsu_bus_buffer.scala 487:42] - node _T_3688 = and(_T_3687, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 487:58] - node _T_3689 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 487:94] - node _T_3690 = and(_T_3688, _T_3689) @[el2_lsu_bus_buffer.scala 487:74] - node _T_3691 = or(_T_3683, _T_3690) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3692 = and(bus_rsp_read, _T_3691) @[el2_lsu_bus_buffer.scala 485:25] - node _T_3693 = or(_T_3678, _T_3692) @[el2_lsu_bus_buffer.scala 484:105] - buf_resp_state_bus_en[1] <= _T_3693 @[el2_lsu_bus_buffer.scala 484:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 488:29] - node _T_3694 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 489:49] - node _T_3695 = or(_T_3694, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 489:70] - buf_state_en[1] <= _T_3695 @[el2_lsu_bus_buffer.scala 489:25] - node _T_3696 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3697 = and(_T_3696, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:62] - buf_data_en[1] <= _T_3697 @[el2_lsu_bus_buffer.scala 490:24] - node _T_3698 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:48] - node _T_3699 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 491:111] - node _T_3700 = and(bus_rsp_read_error, _T_3699) @[el2_lsu_bus_buffer.scala 491:91] - node _T_3701 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 492:42] - node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 492:31] - node _T_3703 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 492:66] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 492:46] - node _T_3705 = or(_T_3700, _T_3704) @[el2_lsu_bus_buffer.scala 491:143] - node _T_3706 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:32] - node _T_3707 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:74] - node _T_3708 = and(_T_3706, _T_3707) @[el2_lsu_bus_buffer.scala 493:53] - node _T_3709 = or(_T_3705, _T_3708) @[el2_lsu_bus_buffer.scala 492:88] - node _T_3710 = and(_T_3698, _T_3709) @[el2_lsu_bus_buffer.scala 491:68] - buf_error_en[1] <= _T_3710 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3711 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:50] - node _T_3712 = and(buf_state_en[1], _T_3711) @[el2_lsu_bus_buffer.scala 494:48] - node _T_3713 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 494:84] - node _T_3714 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:102] - node _T_3715 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:125] - node _T_3716 = mux(_T_3713, _T_3714, _T_3715) @[el2_lsu_bus_buffer.scala 494:72] - node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:148] - node _T_3718 = mux(_T_3712, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 494:30] - buf_data_in[1] <= _T_3718 @[el2_lsu_bus_buffer.scala 494:24] + node _T_3669 = and(_T_3652, _T_3668) @[el2_lsu_bus_buffer.scala 484:101] + node _T_3670 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] + node _T_3671 = and(_T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 484:138] + node _T_3672 = and(_T_3671, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] + node _T_3673 = or(_T_3647, _T_3672) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3674 = mux(_T_3673, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] + node _T_3675 = mux(_T_3645, UInt<3>("h04"), _T_3674) @[el2_lsu_bus_buffer.scala 483:14] + node _T_3676 = mux(_T_3638, UInt<3>("h00"), _T_3675) @[el2_lsu_bus_buffer.scala 482:31] + buf_nxtstate[1] <= _T_3676 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3677 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3678 = and(bus_rsp_write, _T_3677) @[el2_lsu_bus_buffer.scala 485:52] + node _T_3679 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:46] + node _T_3680 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 487:23] + node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 487:47] + node _T_3682 = and(_T_3680, _T_3681) @[el2_lsu_bus_buffer.scala 487:27] + node _T_3683 = or(_T_3679, _T_3682) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3684 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 488:26] + node _T_3685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 488:54] + node _T_3686 = not(_T_3685) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3687 = and(_T_3684, _T_3686) @[el2_lsu_bus_buffer.scala 488:42] + node _T_3688 = and(_T_3687, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 488:58] + node _T_3689 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3690 = and(_T_3688, _T_3689) @[el2_lsu_bus_buffer.scala 488:74] + node _T_3691 = or(_T_3683, _T_3690) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3692 = and(bus_rsp_read, _T_3691) @[el2_lsu_bus_buffer.scala 486:25] + node _T_3693 = or(_T_3678, _T_3692) @[el2_lsu_bus_buffer.scala 485:105] + buf_resp_state_bus_en[1] <= _T_3693 @[el2_lsu_bus_buffer.scala 485:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 489:29] + node _T_3694 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3695 = or(_T_3694, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] + buf_state_en[1] <= _T_3695 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3696 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3697 = and(_T_3696, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] + buf_data_en[1] <= _T_3697 @[el2_lsu_bus_buffer.scala 491:24] + node _T_3698 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] + node _T_3699 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:111] + node _T_3700 = and(bus_rsp_read_error, _T_3699) @[el2_lsu_bus_buffer.scala 492:91] + node _T_3701 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 493:42] + node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 493:31] + node _T_3703 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 493:66] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3705 = or(_T_3700, _T_3704) @[el2_lsu_bus_buffer.scala 492:143] + node _T_3706 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] + node _T_3707 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3708 = and(_T_3706, _T_3707) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3709 = or(_T_3705, _T_3708) @[el2_lsu_bus_buffer.scala 493:88] + node _T_3710 = and(_T_3698, _T_3709) @[el2_lsu_bus_buffer.scala 492:68] + buf_error_en[1] <= _T_3710 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3711 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] + node _T_3712 = and(buf_state_en[1], _T_3711) @[el2_lsu_bus_buffer.scala 495:48] + node _T_3713 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] + node _T_3714 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] + node _T_3715 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] + node _T_3716 = mux(_T_3713, _T_3714, _T_3715) @[el2_lsu_bus_buffer.scala 495:72] + node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] + node _T_3718 = mux(_T_3712, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 495:30] + buf_data_in[1] <= _T_3718 @[el2_lsu_bus_buffer.scala 495:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3719 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3719 : @[Conditional.scala 39:67] - node _T_3720 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 497:60] - node _T_3721 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 497:86] - node _T_3722 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 497:101] - node _T_3723 = bits(_T_3722, 0, 0) @[el2_lsu_bus_buffer.scala 497:101] - node _T_3724 = or(_T_3721, _T_3723) @[el2_lsu_bus_buffer.scala 497:90] - node _T_3725 = or(_T_3724, any_done_wait_state) @[el2_lsu_bus_buffer.scala 497:118] - node _T_3726 = mux(_T_3725, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 497:75] - node _T_3727 = mux(_T_3720, UInt<3>("h00"), _T_3726) @[el2_lsu_bus_buffer.scala 497:31] - buf_nxtstate[1] <= _T_3727 @[el2_lsu_bus_buffer.scala 497:25] - node _T_3728 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 498:66] - node _T_3729 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:21] - node _T_3730 = bits(_T_3729, 0, 0) @[el2_lsu_bus_buffer.scala 499:21] - node _T_3731 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 499:58] - node _T_3732 = and(_T_3730, _T_3731) @[el2_lsu_bus_buffer.scala 499:38] - node _T_3733 = or(_T_3728, _T_3732) @[el2_lsu_bus_buffer.scala 498:95] - node _T_3734 = and(bus_rsp_read, _T_3733) @[el2_lsu_bus_buffer.scala 498:45] - buf_state_bus_en[1] <= _T_3734 @[el2_lsu_bus_buffer.scala 498:29] - node _T_3735 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_3736 = or(_T_3735, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[1] <= _T_3736 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3720 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] + node _T_3721 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 498:86] + node _T_3722 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3723 = bits(_T_3722, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3724 = or(_T_3721, _T_3723) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3725 = or(_T_3724, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] + node _T_3726 = mux(_T_3725, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] + node _T_3727 = mux(_T_3720, UInt<3>("h00"), _T_3726) @[el2_lsu_bus_buffer.scala 498:31] + buf_nxtstate[1] <= _T_3727 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3728 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3729 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3730 = bits(_T_3729, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3731 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 500:58] + node _T_3732 = and(_T_3730, _T_3731) @[el2_lsu_bus_buffer.scala 500:38] + node _T_3733 = or(_T_3728, _T_3732) @[el2_lsu_bus_buffer.scala 499:95] + node _T_3734 = and(bus_rsp_read, _T_3733) @[el2_lsu_bus_buffer.scala 499:45] + buf_state_bus_en[1] <= _T_3734 @[el2_lsu_bus_buffer.scala 499:29] + node _T_3735 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] + node _T_3736 = or(_T_3735, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] + buf_state_en[1] <= _T_3736 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3737 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3737 : @[Conditional.scala 39:67] - node _T_3738 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_3739 = mux(_T_3738, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[1] <= _T_3739 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3740 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 504:37] - node _T_3741 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 504:98] - node _T_3742 = and(buf_dual[1], _T_3741) @[el2_lsu_bus_buffer.scala 504:80] - node _T_3743 = or(_T_3740, _T_3742) @[el2_lsu_bus_buffer.scala 504:65] - node _T_3744 = or(_T_3743, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:112] - buf_state_en[1] <= _T_3744 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3738 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3739 = mux(_T_3738, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[1] <= _T_3739 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3740 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 505:37] + node _T_3741 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] + node _T_3742 = and(buf_dual[1], _T_3741) @[el2_lsu_bus_buffer.scala 505:80] + node _T_3743 = or(_T_3740, _T_3742) @[el2_lsu_bus_buffer.scala 505:65] + node _T_3744 = or(_T_3743, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] + buf_state_en[1] <= _T_3744 @[el2_lsu_bus_buffer.scala 505:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3745 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3745 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 507:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 511:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] - node _T_3746 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 514:108] + node _T_3746 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] reg _T_3747 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3746 : @[Reg.scala 28:19] _T_3747 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3747 @[el2_lsu_bus_buffer.scala 514:18] - reg _T_3748 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 515:60] - _T_3748 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 515:60] - buf_ageQ[1] <= _T_3748 @[el2_lsu_bus_buffer.scala 515:17] - reg _T_3749 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:63] - _T_3749 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 516:63] - buf_rspageQ[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 516:20] - node _T_3750 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 517:109] + buf_state[1] <= _T_3747 @[el2_lsu_bus_buffer.scala 515:18] + reg _T_3748 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] + _T_3748 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 516:60] + buf_ageQ[1] <= _T_3748 @[el2_lsu_bus_buffer.scala 516:17] + reg _T_3749 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] + _T_3749 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 517:63] + buf_rspageQ[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 517:20] + node _T_3750 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3750 : @[Reg.scala 28:19] _T_3751 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3752 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 518:74] - node _T_3753 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 518:107] + buf_dualtag[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3752 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 519:74] + node _T_3753 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] reg _T_3754 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3753 : @[Reg.scala 28:19] _T_3754 <= _T_3752 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 518:17] - node _T_3755 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 519:78] - node _T_3756 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:111] + buf_dual[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 519:17] + node _T_3755 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:78] + node _T_3756 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] reg _T_3757 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3756 : @[Reg.scala 28:19] _T_3757 <= _T_3755 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3757 @[el2_lsu_bus_buffer.scala 519:19] - node _T_3758 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:80] - node _T_3759 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:113] + buf_samedw[1] <= _T_3757 @[el2_lsu_bus_buffer.scala 520:19] + node _T_3758 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:80] + node _T_3759 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] reg _T_3760 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3759 : @[Reg.scala 28:19] _T_3760 <= _T_3758 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3760 @[el2_lsu_bus_buffer.scala 520:20] - node _T_3761 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:78] - node _T_3762 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] + buf_nomerge[1] <= _T_3760 @[el2_lsu_bus_buffer.scala 521:20] + node _T_3761 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 522:78] + node _T_3762 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] reg _T_3763 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3762 : @[Reg.scala 28:19] _T_3763 <= _T_3761 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3763 @[el2_lsu_bus_buffer.scala 521:19] + buf_dualhi[1] <= _T_3763 @[el2_lsu_bus_buffer.scala 522:19] node _T_3764 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3764 : @[Conditional.scala 40:58] - node _T_3765 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 458:56] - node _T_3766 = mux(_T_3765, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:31] - buf_nxtstate[2] <= _T_3766 @[el2_lsu_bus_buffer.scala 458:25] - node _T_3767 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 459:45] - node _T_3768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:77] - node _T_3769 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:97] - node _T_3770 = and(_T_3768, _T_3769) @[el2_lsu_bus_buffer.scala 459:95] - node _T_3771 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 459:117] - node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 459:112] - node _T_3773 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:144] - node _T_3774 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:166] - node _T_3775 = and(_T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 459:161] - node _T_3776 = or(_T_3772, _T_3775) @[el2_lsu_bus_buffer.scala 459:132] - node _T_3777 = and(_T_3767, _T_3776) @[el2_lsu_bus_buffer.scala 459:63] - node _T_3778 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 459:206] - node _T_3779 = and(ibuf_drain_vld, _T_3778) @[el2_lsu_bus_buffer.scala 459:201] - node _T_3780 = or(_T_3777, _T_3779) @[el2_lsu_bus_buffer.scala 459:183] - buf_state_en[2] <= _T_3780 @[el2_lsu_bus_buffer.scala 459:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 460:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 461:24] - node _T_3781 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 462:52] - node _T_3782 = and(ibuf_drain_vld, _T_3781) @[el2_lsu_bus_buffer.scala 462:47] - node _T_3783 = bits(_T_3782, 0, 0) @[el2_lsu_bus_buffer.scala 462:73] - node _T_3784 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 462:90] - node _T_3785 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 462:114] - node _T_3786 = mux(_T_3783, _T_3784, _T_3785) @[el2_lsu_bus_buffer.scala 462:30] - buf_data_in[2] <= _T_3786 @[el2_lsu_bus_buffer.scala 462:24] + node _T_3765 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] + node _T_3766 = mux(_T_3765, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] + buf_nxtstate[2] <= _T_3766 @[el2_lsu_bus_buffer.scala 459:25] + node _T_3767 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] + node _T_3768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] + node _T_3769 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] + node _T_3770 = and(_T_3768, _T_3769) @[el2_lsu_bus_buffer.scala 460:95] + node _T_3771 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] + node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 460:112] + node _T_3773 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] + node _T_3774 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] + node _T_3775 = and(_T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 460:161] + node _T_3776 = or(_T_3772, _T_3775) @[el2_lsu_bus_buffer.scala 460:132] + node _T_3777 = and(_T_3767, _T_3776) @[el2_lsu_bus_buffer.scala 460:63] + node _T_3778 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] + node _T_3779 = and(ibuf_drain_vld, _T_3778) @[el2_lsu_bus_buffer.scala 460:201] + node _T_3780 = or(_T_3777, _T_3779) @[el2_lsu_bus_buffer.scala 460:183] + buf_state_en[2] <= _T_3780 @[el2_lsu_bus_buffer.scala 460:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 461:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 462:24] + node _T_3781 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] + node _T_3782 = and(ibuf_drain_vld, _T_3781) @[el2_lsu_bus_buffer.scala 463:47] + node _T_3783 = bits(_T_3782, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] + node _T_3784 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] + node _T_3785 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] + node _T_3786 = mux(_T_3783, _T_3784, _T_3785) @[el2_lsu_bus_buffer.scala 463:30] + buf_data_in[2] <= _T_3786 @[el2_lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3787 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3787 : @[Conditional.scala 39:67] - node _T_3788 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 465:60] - node _T_3789 = mux(_T_3788, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:31] - buf_nxtstate[2] <= _T_3789 @[el2_lsu_bus_buffer.scala 465:25] - node _T_3790 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 466:46] - buf_state_en[2] <= _T_3790 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3788 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] + node _T_3789 = mux(_T_3788, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[2] <= _T_3789 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3790 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] + buf_state_en[2] <= _T_3790 @[el2_lsu_bus_buffer.scala 467:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3791 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3791 : @[Conditional.scala 39:67] - node _T_3792 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 469:60] - node _T_3793 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 469:89] - node _T_3794 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 469:124] - node _T_3795 = and(_T_3793, _T_3794) @[el2_lsu_bus_buffer.scala 469:104] - node _T_3796 = mux(_T_3795, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 469:75] - node _T_3797 = mux(_T_3792, UInt<3>("h00"), _T_3796) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[2] <= _T_3797 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3798 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:48] - node _T_3799 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3800 = and(obuf_merge, _T_3799) @[el2_lsu_bus_buffer.scala 470:91] - node _T_3801 = or(_T_3798, _T_3800) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3802 = and(_T_3801, obuf_valid) @[el2_lsu_bus_buffer.scala 470:135] - node _T_3803 = and(_T_3802, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 470:148] - buf_cmd_state_bus_en[2] <= _T_3803 @[el2_lsu_bus_buffer.scala 470:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 471:29] - node _T_3804 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 472:49] - node _T_3805 = or(_T_3804, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 472:70] - buf_state_en[2] <= _T_3805 @[el2_lsu_bus_buffer.scala 472:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 473:25] - node _T_3806 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 474:56] - node _T_3807 = eq(_T_3806, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:46] - node _T_3808 = and(buf_state_en[2], _T_3807) @[el2_lsu_bus_buffer.scala 474:44] - node _T_3809 = and(_T_3808, obuf_nosend) @[el2_lsu_bus_buffer.scala 474:60] - node _T_3810 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:76] - node _T_3811 = and(_T_3809, _T_3810) @[el2_lsu_bus_buffer.scala 474:74] - buf_ldfwd_en[2] <= _T_3811 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3812 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 475:46] - buf_ldfwdtag_in[2] <= _T_3812 @[el2_lsu_bus_buffer.scala 475:28] - node _T_3813 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 476:47] - node _T_3814 = and(_T_3813, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:67] - node _T_3815 = and(_T_3814, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:81] - buf_data_en[2] <= _T_3815 @[el2_lsu_bus_buffer.scala 476:24] - node _T_3816 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:48] - node _T_3817 = and(_T_3816, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:68] - node _T_3818 = and(_T_3817, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 477:82] - buf_error_en[2] <= _T_3818 @[el2_lsu_bus_buffer.scala 477:25] - node _T_3819 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3820 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 478:85] - node _T_3821 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 478:103] - node _T_3822 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 478:126] - node _T_3823 = mux(_T_3820, _T_3821, _T_3822) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3824 = mux(buf_error_en[2], _T_3819, _T_3823) @[el2_lsu_bus_buffer.scala 478:30] - buf_data_in[2] <= _T_3824 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3792 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] + node _T_3793 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] + node _T_3794 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] + node _T_3795 = and(_T_3793, _T_3794) @[el2_lsu_bus_buffer.scala 470:104] + node _T_3796 = mux(_T_3795, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] + node _T_3797 = mux(_T_3792, UInt<3>("h00"), _T_3796) @[el2_lsu_bus_buffer.scala 470:31] + buf_nxtstate[2] <= _T_3797 @[el2_lsu_bus_buffer.scala 470:25] + node _T_3798 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:48] + node _T_3799 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3800 = and(obuf_merge, _T_3799) @[el2_lsu_bus_buffer.scala 471:91] + node _T_3801 = or(_T_3798, _T_3800) @[el2_lsu_bus_buffer.scala 471:77] + node _T_3802 = and(_T_3801, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] + node _T_3803 = and(_T_3802, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] + buf_cmd_state_bus_en[2] <= _T_3803 @[el2_lsu_bus_buffer.scala 471:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 472:29] + node _T_3804 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] + node _T_3805 = or(_T_3804, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] + buf_state_en[2] <= _T_3805 @[el2_lsu_bus_buffer.scala 473:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] + node _T_3806 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 475:56] + node _T_3807 = eq(_T_3806, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] + node _T_3808 = and(buf_state_en[2], _T_3807) @[el2_lsu_bus_buffer.scala 475:44] + node _T_3809 = and(_T_3808, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] + node _T_3810 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] + node _T_3811 = and(_T_3809, _T_3810) @[el2_lsu_bus_buffer.scala 475:74] + buf_ldfwd_en[2] <= _T_3811 @[el2_lsu_bus_buffer.scala 475:25] + node _T_3812 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] + buf_ldfwdtag_in[2] <= _T_3812 @[el2_lsu_bus_buffer.scala 476:28] + node _T_3813 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] + node _T_3814 = and(_T_3813, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] + node _T_3815 = and(_T_3814, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] + buf_data_en[2] <= _T_3815 @[el2_lsu_bus_buffer.scala 477:24] + node _T_3816 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3817 = and(_T_3816, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] + node _T_3818 = and(_T_3817, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] + buf_error_en[2] <= _T_3818 @[el2_lsu_bus_buffer.scala 478:25] + node _T_3819 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] + node _T_3820 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] + node _T_3821 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3822 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] + node _T_3823 = mux(_T_3820, _T_3821, _T_3822) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3824 = mux(buf_error_en[2], _T_3819, _T_3823) @[el2_lsu_bus_buffer.scala 479:30] + buf_data_in[2] <= _T_3824 @[el2_lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3825 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3825 : @[Conditional.scala 39:67] - node _T_3826 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3827 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 481:94] - node _T_3828 = eq(_T_3827, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:73] - node _T_3829 = and(_T_3826, _T_3828) @[el2_lsu_bus_buffer.scala 481:71] - node _T_3830 = or(io.dec_tlu_force_halt, _T_3829) @[el2_lsu_bus_buffer.scala 481:55] - node _T_3831 = bits(_T_3830, 0, 0) @[el2_lsu_bus_buffer.scala 481:125] - node _T_3832 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:30] - node _T_3833 = and(buf_dual[2], _T_3832) @[el2_lsu_bus_buffer.scala 482:28] - node _T_3834 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 482:57] - node _T_3835 = eq(_T_3834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3836 = and(_T_3833, _T_3835) @[el2_lsu_bus_buffer.scala 482:45] - node _T_3837 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 482:90] - node _T_3838 = and(_T_3836, _T_3837) @[el2_lsu_bus_buffer.scala 482:61] - node _T_3839 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 483:27] - node _T_3840 = or(_T_3839, any_done_wait_state) @[el2_lsu_bus_buffer.scala 483:31] - node _T_3841 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:70] - node _T_3842 = and(buf_dual[2], _T_3841) @[el2_lsu_bus_buffer.scala 483:68] - node _T_3843 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:97] - node _T_3844 = eq(_T_3843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:87] - node _T_3845 = and(_T_3842, _T_3844) @[el2_lsu_bus_buffer.scala 483:85] + node _T_3826 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3827 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] + node _T_3828 = eq(_T_3827, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] + node _T_3829 = and(_T_3826, _T_3828) @[el2_lsu_bus_buffer.scala 482:71] + node _T_3830 = or(io.dec_tlu_force_halt, _T_3829) @[el2_lsu_bus_buffer.scala 482:55] + node _T_3831 = bits(_T_3830, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] + node _T_3832 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] + node _T_3833 = and(buf_dual[2], _T_3832) @[el2_lsu_bus_buffer.scala 483:28] + node _T_3834 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:57] + node _T_3835 = eq(_T_3834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3836 = and(_T_3833, _T_3835) @[el2_lsu_bus_buffer.scala 483:45] + node _T_3837 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] + node _T_3838 = and(_T_3836, _T_3837) @[el2_lsu_bus_buffer.scala 483:61] + node _T_3839 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 484:27] + node _T_3840 = or(_T_3839, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] + node _T_3841 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] + node _T_3842 = and(buf_dual[2], _T_3841) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3843 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:97] + node _T_3844 = eq(_T_3843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] + node _T_3845 = and(_T_3842, _T_3844) @[el2_lsu_bus_buffer.scala 484:85] node _T_3846 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_3847 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_3848 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -4971,265 +4972,265 @@ circuit el2_lsu_bus_buffer : node _T_3860 = or(_T_3859, _T_3857) @[Mux.scala 27:72] wire _T_3861 : UInt<1> @[Mux.scala 27:72] _T_3861 <= _T_3860 @[Mux.scala 27:72] - node _T_3862 = and(_T_3845, _T_3861) @[el2_lsu_bus_buffer.scala 483:101] - node _T_3863 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:167] - node _T_3864 = and(_T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 483:138] - node _T_3865 = and(_T_3864, any_done_wait_state) @[el2_lsu_bus_buffer.scala 483:187] - node _T_3866 = or(_T_3840, _T_3865) @[el2_lsu_bus_buffer.scala 483:53] - node _T_3867 = mux(_T_3866, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:16] - node _T_3868 = mux(_T_3838, UInt<3>("h04"), _T_3867) @[el2_lsu_bus_buffer.scala 482:14] - node _T_3869 = mux(_T_3831, UInt<3>("h00"), _T_3868) @[el2_lsu_bus_buffer.scala 481:31] - buf_nxtstate[2] <= _T_3869 @[el2_lsu_bus_buffer.scala 481:25] - node _T_3870 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 484:73] - node _T_3871 = and(bus_rsp_write, _T_3870) @[el2_lsu_bus_buffer.scala 484:52] - node _T_3872 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_3873 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 486:23] - node _T_3874 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 486:47] - node _T_3875 = and(_T_3873, _T_3874) @[el2_lsu_bus_buffer.scala 486:27] - node _T_3876 = or(_T_3872, _T_3875) @[el2_lsu_bus_buffer.scala 485:77] - node _T_3877 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 487:26] - node _T_3878 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 487:54] - node _T_3879 = not(_T_3878) @[el2_lsu_bus_buffer.scala 487:44] - node _T_3880 = and(_T_3877, _T_3879) @[el2_lsu_bus_buffer.scala 487:42] - node _T_3881 = and(_T_3880, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 487:58] - node _T_3882 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 487:94] - node _T_3883 = and(_T_3881, _T_3882) @[el2_lsu_bus_buffer.scala 487:74] - node _T_3884 = or(_T_3876, _T_3883) @[el2_lsu_bus_buffer.scala 486:71] - node _T_3885 = and(bus_rsp_read, _T_3884) @[el2_lsu_bus_buffer.scala 485:25] - node _T_3886 = or(_T_3871, _T_3885) @[el2_lsu_bus_buffer.scala 484:105] - buf_resp_state_bus_en[2] <= _T_3886 @[el2_lsu_bus_buffer.scala 484:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 488:29] - node _T_3887 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 489:49] - node _T_3888 = or(_T_3887, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 489:70] - buf_state_en[2] <= _T_3888 @[el2_lsu_bus_buffer.scala 489:25] - node _T_3889 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3890 = and(_T_3889, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:62] - buf_data_en[2] <= _T_3890 @[el2_lsu_bus_buffer.scala 490:24] - node _T_3891 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:48] - node _T_3892 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 491:111] - node _T_3893 = and(bus_rsp_read_error, _T_3892) @[el2_lsu_bus_buffer.scala 491:91] - node _T_3894 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 492:42] - node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 492:31] - node _T_3896 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 492:66] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 492:46] - node _T_3898 = or(_T_3893, _T_3897) @[el2_lsu_bus_buffer.scala 491:143] - node _T_3899 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:32] - node _T_3900 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 493:74] - node _T_3901 = and(_T_3899, _T_3900) @[el2_lsu_bus_buffer.scala 493:53] - node _T_3902 = or(_T_3898, _T_3901) @[el2_lsu_bus_buffer.scala 492:88] - node _T_3903 = and(_T_3891, _T_3902) @[el2_lsu_bus_buffer.scala 491:68] - buf_error_en[2] <= _T_3903 @[el2_lsu_bus_buffer.scala 491:25] - node _T_3904 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:50] - node _T_3905 = and(buf_state_en[2], _T_3904) @[el2_lsu_bus_buffer.scala 494:48] - node _T_3906 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 494:84] - node _T_3907 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:102] - node _T_3908 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:125] - node _T_3909 = mux(_T_3906, _T_3907, _T_3908) @[el2_lsu_bus_buffer.scala 494:72] - node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:148] - node _T_3911 = mux(_T_3905, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 494:30] - buf_data_in[2] <= _T_3911 @[el2_lsu_bus_buffer.scala 494:24] + node _T_3862 = and(_T_3845, _T_3861) @[el2_lsu_bus_buffer.scala 484:101] + node _T_3863 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] + node _T_3864 = and(_T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 484:138] + node _T_3865 = and(_T_3864, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] + node _T_3866 = or(_T_3840, _T_3865) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3867 = mux(_T_3866, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] + node _T_3868 = mux(_T_3838, UInt<3>("h04"), _T_3867) @[el2_lsu_bus_buffer.scala 483:14] + node _T_3869 = mux(_T_3831, UInt<3>("h00"), _T_3868) @[el2_lsu_bus_buffer.scala 482:31] + buf_nxtstate[2] <= _T_3869 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3870 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3871 = and(bus_rsp_write, _T_3870) @[el2_lsu_bus_buffer.scala 485:52] + node _T_3872 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:46] + node _T_3873 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 487:23] + node _T_3874 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 487:47] + node _T_3875 = and(_T_3873, _T_3874) @[el2_lsu_bus_buffer.scala 487:27] + node _T_3876 = or(_T_3872, _T_3875) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3877 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 488:26] + node _T_3878 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 488:54] + node _T_3879 = not(_T_3878) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3880 = and(_T_3877, _T_3879) @[el2_lsu_bus_buffer.scala 488:42] + node _T_3881 = and(_T_3880, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 488:58] + node _T_3882 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3883 = and(_T_3881, _T_3882) @[el2_lsu_bus_buffer.scala 488:74] + node _T_3884 = or(_T_3876, _T_3883) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3885 = and(bus_rsp_read, _T_3884) @[el2_lsu_bus_buffer.scala 486:25] + node _T_3886 = or(_T_3871, _T_3885) @[el2_lsu_bus_buffer.scala 485:105] + buf_resp_state_bus_en[2] <= _T_3886 @[el2_lsu_bus_buffer.scala 485:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 489:29] + node _T_3887 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3888 = or(_T_3887, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] + buf_state_en[2] <= _T_3888 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3889 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3890 = and(_T_3889, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] + buf_data_en[2] <= _T_3890 @[el2_lsu_bus_buffer.scala 491:24] + node _T_3891 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] + node _T_3892 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:111] + node _T_3893 = and(bus_rsp_read_error, _T_3892) @[el2_lsu_bus_buffer.scala 492:91] + node _T_3894 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 493:42] + node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 493:31] + node _T_3896 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 493:66] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3898 = or(_T_3893, _T_3897) @[el2_lsu_bus_buffer.scala 492:143] + node _T_3899 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] + node _T_3900 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3901 = and(_T_3899, _T_3900) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3902 = or(_T_3898, _T_3901) @[el2_lsu_bus_buffer.scala 493:88] + node _T_3903 = and(_T_3891, _T_3902) @[el2_lsu_bus_buffer.scala 492:68] + buf_error_en[2] <= _T_3903 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3904 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] + node _T_3905 = and(buf_state_en[2], _T_3904) @[el2_lsu_bus_buffer.scala 495:48] + node _T_3906 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] + node _T_3907 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] + node _T_3908 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] + node _T_3909 = mux(_T_3906, _T_3907, _T_3908) @[el2_lsu_bus_buffer.scala 495:72] + node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] + node _T_3911 = mux(_T_3905, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 495:30] + buf_data_in[2] <= _T_3911 @[el2_lsu_bus_buffer.scala 495:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3912 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_3912 : @[Conditional.scala 39:67] - node _T_3913 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 497:60] - node _T_3914 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 497:86] - node _T_3915 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 497:101] - node _T_3916 = bits(_T_3915, 0, 0) @[el2_lsu_bus_buffer.scala 497:101] - node _T_3917 = or(_T_3914, _T_3916) @[el2_lsu_bus_buffer.scala 497:90] - node _T_3918 = or(_T_3917, any_done_wait_state) @[el2_lsu_bus_buffer.scala 497:118] - node _T_3919 = mux(_T_3918, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 497:75] - node _T_3920 = mux(_T_3913, UInt<3>("h00"), _T_3919) @[el2_lsu_bus_buffer.scala 497:31] - buf_nxtstate[2] <= _T_3920 @[el2_lsu_bus_buffer.scala 497:25] - node _T_3921 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 498:66] - node _T_3922 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:21] - node _T_3923 = bits(_T_3922, 0, 0) @[el2_lsu_bus_buffer.scala 499:21] - node _T_3924 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 499:58] - node _T_3925 = and(_T_3923, _T_3924) @[el2_lsu_bus_buffer.scala 499:38] - node _T_3926 = or(_T_3921, _T_3925) @[el2_lsu_bus_buffer.scala 498:95] - node _T_3927 = and(bus_rsp_read, _T_3926) @[el2_lsu_bus_buffer.scala 498:45] - buf_state_bus_en[2] <= _T_3927 @[el2_lsu_bus_buffer.scala 498:29] - node _T_3928 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_3929 = or(_T_3928, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[2] <= _T_3929 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3913 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] + node _T_3914 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 498:86] + node _T_3915 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3916 = bits(_T_3915, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3917 = or(_T_3914, _T_3916) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3918 = or(_T_3917, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] + node _T_3919 = mux(_T_3918, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] + node _T_3920 = mux(_T_3913, UInt<3>("h00"), _T_3919) @[el2_lsu_bus_buffer.scala 498:31] + buf_nxtstate[2] <= _T_3920 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3921 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3922 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3923 = bits(_T_3922, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3924 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 500:58] + node _T_3925 = and(_T_3923, _T_3924) @[el2_lsu_bus_buffer.scala 500:38] + node _T_3926 = or(_T_3921, _T_3925) @[el2_lsu_bus_buffer.scala 499:95] + node _T_3927 = and(bus_rsp_read, _T_3926) @[el2_lsu_bus_buffer.scala 499:45] + buf_state_bus_en[2] <= _T_3927 @[el2_lsu_bus_buffer.scala 499:29] + node _T_3928 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] + node _T_3929 = or(_T_3928, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] + buf_state_en[2] <= _T_3929 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3930 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_3930 : @[Conditional.scala 39:67] - node _T_3931 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_3932 = mux(_T_3931, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[2] <= _T_3932 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3933 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 504:37] - node _T_3934 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 504:98] - node _T_3935 = and(buf_dual[2], _T_3934) @[el2_lsu_bus_buffer.scala 504:80] - node _T_3936 = or(_T_3933, _T_3935) @[el2_lsu_bus_buffer.scala 504:65] - node _T_3937 = or(_T_3936, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:112] - buf_state_en[2] <= _T_3937 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3931 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3932 = mux(_T_3931, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[2] <= _T_3932 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3933 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 505:37] + node _T_3934 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] + node _T_3935 = and(buf_dual[2], _T_3934) @[el2_lsu_bus_buffer.scala 505:80] + node _T_3936 = or(_T_3933, _T_3935) @[el2_lsu_bus_buffer.scala 505:65] + node _T_3937 = or(_T_3936, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] + buf_state_en[2] <= _T_3937 @[el2_lsu_bus_buffer.scala 505:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3938 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_3938 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 507:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 511:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] - node _T_3939 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 514:108] + node _T_3939 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] reg _T_3940 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3939 : @[Reg.scala 28:19] _T_3940 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3940 @[el2_lsu_bus_buffer.scala 514:18] - reg _T_3941 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 515:60] - _T_3941 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 515:60] - buf_ageQ[2] <= _T_3941 @[el2_lsu_bus_buffer.scala 515:17] - reg _T_3942 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:63] - _T_3942 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 516:63] - buf_rspageQ[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 516:20] - node _T_3943 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 517:109] + buf_state[2] <= _T_3940 @[el2_lsu_bus_buffer.scala 515:18] + reg _T_3941 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] + _T_3941 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 516:60] + buf_ageQ[2] <= _T_3941 @[el2_lsu_bus_buffer.scala 516:17] + reg _T_3942 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] + _T_3942 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 517:63] + buf_rspageQ[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 517:20] + node _T_3943 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3943 : @[Reg.scala 28:19] _T_3944 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3945 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 518:74] - node _T_3946 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 518:107] + buf_dualtag[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3945 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 519:74] + node _T_3946 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] reg _T_3947 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3946 : @[Reg.scala 28:19] _T_3947 <= _T_3945 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 518:17] - node _T_3948 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 519:78] - node _T_3949 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:111] + buf_dual[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 519:17] + node _T_3948 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:78] + node _T_3949 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] reg _T_3950 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3949 : @[Reg.scala 28:19] _T_3950 <= _T_3948 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_3950 @[el2_lsu_bus_buffer.scala 519:19] - node _T_3951 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:80] - node _T_3952 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:113] + buf_samedw[2] <= _T_3950 @[el2_lsu_bus_buffer.scala 520:19] + node _T_3951 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:80] + node _T_3952 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] reg _T_3953 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3952 : @[Reg.scala 28:19] _T_3953 <= _T_3951 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_3953 @[el2_lsu_bus_buffer.scala 520:20] - node _T_3954 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:78] - node _T_3955 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] + buf_nomerge[2] <= _T_3953 @[el2_lsu_bus_buffer.scala 521:20] + node _T_3954 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 522:78] + node _T_3955 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] reg _T_3956 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3955 : @[Reg.scala 28:19] _T_3956 <= _T_3954 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_3956 @[el2_lsu_bus_buffer.scala 521:19] + buf_dualhi[2] <= _T_3956 @[el2_lsu_bus_buffer.scala 522:19] node _T_3957 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_3957 : @[Conditional.scala 40:58] - node _T_3958 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 458:56] - node _T_3959 = mux(_T_3958, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:31] - buf_nxtstate[3] <= _T_3959 @[el2_lsu_bus_buffer.scala 458:25] - node _T_3960 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 459:45] - node _T_3961 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:77] - node _T_3962 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:97] - node _T_3963 = and(_T_3961, _T_3962) @[el2_lsu_bus_buffer.scala 459:95] - node _T_3964 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 459:117] - node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 459:112] - node _T_3966 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:144] - node _T_3967 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:166] - node _T_3968 = and(_T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 459:161] - node _T_3969 = or(_T_3965, _T_3968) @[el2_lsu_bus_buffer.scala 459:132] - node _T_3970 = and(_T_3960, _T_3969) @[el2_lsu_bus_buffer.scala 459:63] - node _T_3971 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 459:206] - node _T_3972 = and(ibuf_drain_vld, _T_3971) @[el2_lsu_bus_buffer.scala 459:201] - node _T_3973 = or(_T_3970, _T_3972) @[el2_lsu_bus_buffer.scala 459:183] - buf_state_en[3] <= _T_3973 @[el2_lsu_bus_buffer.scala 459:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 460:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 461:24] - node _T_3974 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 462:52] - node _T_3975 = and(ibuf_drain_vld, _T_3974) @[el2_lsu_bus_buffer.scala 462:47] - node _T_3976 = bits(_T_3975, 0, 0) @[el2_lsu_bus_buffer.scala 462:73] - node _T_3977 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 462:90] - node _T_3978 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 462:114] - node _T_3979 = mux(_T_3976, _T_3977, _T_3978) @[el2_lsu_bus_buffer.scala 462:30] - buf_data_in[3] <= _T_3979 @[el2_lsu_bus_buffer.scala 462:24] + node _T_3958 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] + node _T_3959 = mux(_T_3958, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] + buf_nxtstate[3] <= _T_3959 @[el2_lsu_bus_buffer.scala 459:25] + node _T_3960 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] + node _T_3961 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] + node _T_3962 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] + node _T_3963 = and(_T_3961, _T_3962) @[el2_lsu_bus_buffer.scala 460:95] + node _T_3964 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] + node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 460:112] + node _T_3966 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] + node _T_3967 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] + node _T_3968 = and(_T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 460:161] + node _T_3969 = or(_T_3965, _T_3968) @[el2_lsu_bus_buffer.scala 460:132] + node _T_3970 = and(_T_3960, _T_3969) @[el2_lsu_bus_buffer.scala 460:63] + node _T_3971 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] + node _T_3972 = and(ibuf_drain_vld, _T_3971) @[el2_lsu_bus_buffer.scala 460:201] + node _T_3973 = or(_T_3970, _T_3972) @[el2_lsu_bus_buffer.scala 460:183] + buf_state_en[3] <= _T_3973 @[el2_lsu_bus_buffer.scala 460:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 461:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 462:24] + node _T_3974 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] + node _T_3975 = and(ibuf_drain_vld, _T_3974) @[el2_lsu_bus_buffer.scala 463:47] + node _T_3976 = bits(_T_3975, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] + node _T_3977 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] + node _T_3978 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] + node _T_3979 = mux(_T_3976, _T_3977, _T_3978) @[el2_lsu_bus_buffer.scala 463:30] + buf_data_in[3] <= _T_3979 @[el2_lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3980 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_3980 : @[Conditional.scala 39:67] - node _T_3981 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 465:60] - node _T_3982 = mux(_T_3981, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:31] - buf_nxtstate[3] <= _T_3982 @[el2_lsu_bus_buffer.scala 465:25] - node _T_3983 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 466:46] - buf_state_en[3] <= _T_3983 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3981 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] + node _T_3982 = mux(_T_3981, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[3] <= _T_3982 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3983 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] + buf_state_en[3] <= _T_3983 @[el2_lsu_bus_buffer.scala 467:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3984 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_3984 : @[Conditional.scala 39:67] - node _T_3985 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 469:60] - node _T_3986 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 469:89] - node _T_3987 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 469:124] - node _T_3988 = and(_T_3986, _T_3987) @[el2_lsu_bus_buffer.scala 469:104] - node _T_3989 = mux(_T_3988, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 469:75] - node _T_3990 = mux(_T_3985, UInt<3>("h00"), _T_3989) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[3] <= _T_3990 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3991 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:48] - node _T_3992 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3993 = and(obuf_merge, _T_3992) @[el2_lsu_bus_buffer.scala 470:91] - node _T_3994 = or(_T_3991, _T_3993) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3995 = and(_T_3994, obuf_valid) @[el2_lsu_bus_buffer.scala 470:135] - node _T_3996 = and(_T_3995, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 470:148] - buf_cmd_state_bus_en[3] <= _T_3996 @[el2_lsu_bus_buffer.scala 470:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 471:29] - node _T_3997 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 472:49] - node _T_3998 = or(_T_3997, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 472:70] - buf_state_en[3] <= _T_3998 @[el2_lsu_bus_buffer.scala 472:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 473:25] - node _T_3999 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 474:56] - node _T_4000 = eq(_T_3999, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:46] - node _T_4001 = and(buf_state_en[3], _T_4000) @[el2_lsu_bus_buffer.scala 474:44] - node _T_4002 = and(_T_4001, obuf_nosend) @[el2_lsu_bus_buffer.scala 474:60] - node _T_4003 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:76] - node _T_4004 = and(_T_4002, _T_4003) @[el2_lsu_bus_buffer.scala 474:74] - buf_ldfwd_en[3] <= _T_4004 @[el2_lsu_bus_buffer.scala 474:25] - node _T_4005 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 475:46] - buf_ldfwdtag_in[3] <= _T_4005 @[el2_lsu_bus_buffer.scala 475:28] - node _T_4006 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 476:47] - node _T_4007 = and(_T_4006, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:67] - node _T_4008 = and(_T_4007, bus_rsp_read) @[el2_lsu_bus_buffer.scala 476:81] - buf_data_en[3] <= _T_4008 @[el2_lsu_bus_buffer.scala 476:24] - node _T_4009 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:48] - node _T_4010 = and(_T_4009, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:68] - node _T_4011 = and(_T_4010, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 477:82] - buf_error_en[3] <= _T_4011 @[el2_lsu_bus_buffer.scala 477:25] - node _T_4012 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 478:61] - node _T_4013 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 478:85] - node _T_4014 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 478:103] - node _T_4015 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 478:126] - node _T_4016 = mux(_T_4013, _T_4014, _T_4015) @[el2_lsu_bus_buffer.scala 478:73] - node _T_4017 = mux(buf_error_en[3], _T_4012, _T_4016) @[el2_lsu_bus_buffer.scala 478:30] - buf_data_in[3] <= _T_4017 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3985 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] + node _T_3986 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] + node _T_3987 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] + node _T_3988 = and(_T_3986, _T_3987) @[el2_lsu_bus_buffer.scala 470:104] + node _T_3989 = mux(_T_3988, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] + node _T_3990 = mux(_T_3985, UInt<3>("h00"), _T_3989) @[el2_lsu_bus_buffer.scala 470:31] + buf_nxtstate[3] <= _T_3990 @[el2_lsu_bus_buffer.scala 470:25] + node _T_3991 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:48] + node _T_3992 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3993 = and(obuf_merge, _T_3992) @[el2_lsu_bus_buffer.scala 471:91] + node _T_3994 = or(_T_3991, _T_3993) @[el2_lsu_bus_buffer.scala 471:77] + node _T_3995 = and(_T_3994, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] + node _T_3996 = and(_T_3995, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] + buf_cmd_state_bus_en[3] <= _T_3996 @[el2_lsu_bus_buffer.scala 471:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 472:29] + node _T_3997 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] + node _T_3998 = or(_T_3997, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] + buf_state_en[3] <= _T_3998 @[el2_lsu_bus_buffer.scala 473:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] + node _T_3999 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 475:56] + node _T_4000 = eq(_T_3999, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] + node _T_4001 = and(buf_state_en[3], _T_4000) @[el2_lsu_bus_buffer.scala 475:44] + node _T_4002 = and(_T_4001, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] + node _T_4003 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] + node _T_4004 = and(_T_4002, _T_4003) @[el2_lsu_bus_buffer.scala 475:74] + buf_ldfwd_en[3] <= _T_4004 @[el2_lsu_bus_buffer.scala 475:25] + node _T_4005 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] + buf_ldfwdtag_in[3] <= _T_4005 @[el2_lsu_bus_buffer.scala 476:28] + node _T_4006 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] + node _T_4007 = and(_T_4006, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] + node _T_4008 = and(_T_4007, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] + buf_data_en[3] <= _T_4008 @[el2_lsu_bus_buffer.scala 477:24] + node _T_4009 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] + node _T_4010 = and(_T_4009, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] + node _T_4011 = and(_T_4010, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] + buf_error_en[3] <= _T_4011 @[el2_lsu_bus_buffer.scala 478:25] + node _T_4012 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] + node _T_4013 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] + node _T_4014 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] + node _T_4015 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] + node _T_4016 = mux(_T_4013, _T_4014, _T_4015) @[el2_lsu_bus_buffer.scala 479:73] + node _T_4017 = mux(buf_error_en[3], _T_4012, _T_4016) @[el2_lsu_bus_buffer.scala 479:30] + buf_data_in[3] <= _T_4017 @[el2_lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4018 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4018 : @[Conditional.scala 39:67] - node _T_4019 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 481:67] - node _T_4020 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 481:94] - node _T_4021 = eq(_T_4020, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:73] - node _T_4022 = and(_T_4019, _T_4021) @[el2_lsu_bus_buffer.scala 481:71] - node _T_4023 = or(io.dec_tlu_force_halt, _T_4022) @[el2_lsu_bus_buffer.scala 481:55] - node _T_4024 = bits(_T_4023, 0, 0) @[el2_lsu_bus_buffer.scala 481:125] - node _T_4025 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:30] - node _T_4026 = and(buf_dual[3], _T_4025) @[el2_lsu_bus_buffer.scala 482:28] - node _T_4027 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 482:57] - node _T_4028 = eq(_T_4027, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:47] - node _T_4029 = and(_T_4026, _T_4028) @[el2_lsu_bus_buffer.scala 482:45] - node _T_4030 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 482:90] - node _T_4031 = and(_T_4029, _T_4030) @[el2_lsu_bus_buffer.scala 482:61] - node _T_4032 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 483:27] - node _T_4033 = or(_T_4032, any_done_wait_state) @[el2_lsu_bus_buffer.scala 483:31] - node _T_4034 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:70] - node _T_4035 = and(buf_dual[3], _T_4034) @[el2_lsu_bus_buffer.scala 483:68] - node _T_4036 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:97] - node _T_4037 = eq(_T_4036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:87] - node _T_4038 = and(_T_4035, _T_4037) @[el2_lsu_bus_buffer.scala 483:85] + node _T_4019 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] + node _T_4020 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] + node _T_4021 = eq(_T_4020, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] + node _T_4022 = and(_T_4019, _T_4021) @[el2_lsu_bus_buffer.scala 482:71] + node _T_4023 = or(io.dec_tlu_force_halt, _T_4022) @[el2_lsu_bus_buffer.scala 482:55] + node _T_4024 = bits(_T_4023, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] + node _T_4025 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] + node _T_4026 = and(buf_dual[3], _T_4025) @[el2_lsu_bus_buffer.scala 483:28] + node _T_4027 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:57] + node _T_4028 = eq(_T_4027, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] + node _T_4029 = and(_T_4026, _T_4028) @[el2_lsu_bus_buffer.scala 483:45] + node _T_4030 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] + node _T_4031 = and(_T_4029, _T_4030) @[el2_lsu_bus_buffer.scala 483:61] + node _T_4032 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 484:27] + node _T_4033 = or(_T_4032, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] + node _T_4034 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] + node _T_4035 = and(buf_dual[3], _T_4034) @[el2_lsu_bus_buffer.scala 484:68] + node _T_4036 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:97] + node _T_4037 = eq(_T_4036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] + node _T_4038 = and(_T_4035, _T_4037) @[el2_lsu_bus_buffer.scala 484:85] node _T_4039 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_4040 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_4041 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -5247,172 +5248,172 @@ circuit el2_lsu_bus_buffer : node _T_4053 = or(_T_4052, _T_4050) @[Mux.scala 27:72] wire _T_4054 : UInt<1> @[Mux.scala 27:72] _T_4054 <= _T_4053 @[Mux.scala 27:72] - node _T_4055 = and(_T_4038, _T_4054) @[el2_lsu_bus_buffer.scala 483:101] - node _T_4056 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:167] - node _T_4057 = and(_T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 483:138] - node _T_4058 = and(_T_4057, any_done_wait_state) @[el2_lsu_bus_buffer.scala 483:187] - node _T_4059 = or(_T_4033, _T_4058) @[el2_lsu_bus_buffer.scala 483:53] - node _T_4060 = mux(_T_4059, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 483:16] - node _T_4061 = mux(_T_4031, UInt<3>("h04"), _T_4060) @[el2_lsu_bus_buffer.scala 482:14] - node _T_4062 = mux(_T_4024, UInt<3>("h00"), _T_4061) @[el2_lsu_bus_buffer.scala 481:31] - buf_nxtstate[3] <= _T_4062 @[el2_lsu_bus_buffer.scala 481:25] - node _T_4063 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 484:73] - node _T_4064 = and(bus_rsp_write, _T_4063) @[el2_lsu_bus_buffer.scala 484:52] - node _T_4065 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_4066 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 486:23] - node _T_4067 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 486:47] - node _T_4068 = and(_T_4066, _T_4067) @[el2_lsu_bus_buffer.scala 486:27] - node _T_4069 = or(_T_4065, _T_4068) @[el2_lsu_bus_buffer.scala 485:77] - node _T_4070 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 487:26] - node _T_4071 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 487:54] - node _T_4072 = not(_T_4071) @[el2_lsu_bus_buffer.scala 487:44] - node _T_4073 = and(_T_4070, _T_4072) @[el2_lsu_bus_buffer.scala 487:42] - node _T_4074 = and(_T_4073, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 487:58] - node _T_4075 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 487:94] - node _T_4076 = and(_T_4074, _T_4075) @[el2_lsu_bus_buffer.scala 487:74] - node _T_4077 = or(_T_4069, _T_4076) @[el2_lsu_bus_buffer.scala 486:71] - node _T_4078 = and(bus_rsp_read, _T_4077) @[el2_lsu_bus_buffer.scala 485:25] - node _T_4079 = or(_T_4064, _T_4078) @[el2_lsu_bus_buffer.scala 484:105] - buf_resp_state_bus_en[3] <= _T_4079 @[el2_lsu_bus_buffer.scala 484:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 488:29] - node _T_4080 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 489:49] - node _T_4081 = or(_T_4080, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 489:70] - buf_state_en[3] <= _T_4081 @[el2_lsu_bus_buffer.scala 489:25] - node _T_4082 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 490:47] - node _T_4083 = and(_T_4082, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:62] - buf_data_en[3] <= _T_4083 @[el2_lsu_bus_buffer.scala 490:24] - node _T_4084 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:48] - node _T_4085 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 491:111] - node _T_4086 = and(bus_rsp_read_error, _T_4085) @[el2_lsu_bus_buffer.scala 491:91] - node _T_4087 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 492:42] - node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 492:31] - node _T_4089 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 492:66] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 492:46] - node _T_4091 = or(_T_4086, _T_4090) @[el2_lsu_bus_buffer.scala 491:143] - node _T_4092 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:32] - node _T_4093 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 493:74] - node _T_4094 = and(_T_4092, _T_4093) @[el2_lsu_bus_buffer.scala 493:53] - node _T_4095 = or(_T_4091, _T_4094) @[el2_lsu_bus_buffer.scala 492:88] - node _T_4096 = and(_T_4084, _T_4095) @[el2_lsu_bus_buffer.scala 491:68] - buf_error_en[3] <= _T_4096 @[el2_lsu_bus_buffer.scala 491:25] - node _T_4097 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:50] - node _T_4098 = and(buf_state_en[3], _T_4097) @[el2_lsu_bus_buffer.scala 494:48] - node _T_4099 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 494:84] - node _T_4100 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:102] - node _T_4101 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:125] - node _T_4102 = mux(_T_4099, _T_4100, _T_4101) @[el2_lsu_bus_buffer.scala 494:72] - node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:148] - node _T_4104 = mux(_T_4098, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 494:30] - buf_data_in[3] <= _T_4104 @[el2_lsu_bus_buffer.scala 494:24] + node _T_4055 = and(_T_4038, _T_4054) @[el2_lsu_bus_buffer.scala 484:101] + node _T_4056 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] + node _T_4057 = and(_T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 484:138] + node _T_4058 = and(_T_4057, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] + node _T_4059 = or(_T_4033, _T_4058) @[el2_lsu_bus_buffer.scala 484:53] + node _T_4060 = mux(_T_4059, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] + node _T_4061 = mux(_T_4031, UInt<3>("h04"), _T_4060) @[el2_lsu_bus_buffer.scala 483:14] + node _T_4062 = mux(_T_4024, UInt<3>("h00"), _T_4061) @[el2_lsu_bus_buffer.scala 482:31] + buf_nxtstate[3] <= _T_4062 @[el2_lsu_bus_buffer.scala 482:25] + node _T_4063 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:73] + node _T_4064 = and(bus_rsp_write, _T_4063) @[el2_lsu_bus_buffer.scala 485:52] + node _T_4065 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:46] + node _T_4066 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 487:23] + node _T_4067 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 487:47] + node _T_4068 = and(_T_4066, _T_4067) @[el2_lsu_bus_buffer.scala 487:27] + node _T_4069 = or(_T_4065, _T_4068) @[el2_lsu_bus_buffer.scala 486:77] + node _T_4070 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 488:26] + node _T_4071 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 488:54] + node _T_4072 = not(_T_4071) @[el2_lsu_bus_buffer.scala 488:44] + node _T_4073 = and(_T_4070, _T_4072) @[el2_lsu_bus_buffer.scala 488:42] + node _T_4074 = and(_T_4073, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 488:58] + node _T_4075 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 488:94] + node _T_4076 = and(_T_4074, _T_4075) @[el2_lsu_bus_buffer.scala 488:74] + node _T_4077 = or(_T_4069, _T_4076) @[el2_lsu_bus_buffer.scala 487:71] + node _T_4078 = and(bus_rsp_read, _T_4077) @[el2_lsu_bus_buffer.scala 486:25] + node _T_4079 = or(_T_4064, _T_4078) @[el2_lsu_bus_buffer.scala 485:105] + buf_resp_state_bus_en[3] <= _T_4079 @[el2_lsu_bus_buffer.scala 485:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 489:29] + node _T_4080 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] + node _T_4081 = or(_T_4080, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] + buf_state_en[3] <= _T_4081 @[el2_lsu_bus_buffer.scala 490:25] + node _T_4082 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] + node _T_4083 = and(_T_4082, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] + buf_data_en[3] <= _T_4083 @[el2_lsu_bus_buffer.scala 491:24] + node _T_4084 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] + node _T_4085 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:111] + node _T_4086 = and(bus_rsp_read_error, _T_4085) @[el2_lsu_bus_buffer.scala 492:91] + node _T_4087 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 493:42] + node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 493:31] + node _T_4089 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 493:66] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 493:46] + node _T_4091 = or(_T_4086, _T_4090) @[el2_lsu_bus_buffer.scala 492:143] + node _T_4092 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] + node _T_4093 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 494:74] + node _T_4094 = and(_T_4092, _T_4093) @[el2_lsu_bus_buffer.scala 494:53] + node _T_4095 = or(_T_4091, _T_4094) @[el2_lsu_bus_buffer.scala 493:88] + node _T_4096 = and(_T_4084, _T_4095) @[el2_lsu_bus_buffer.scala 492:68] + buf_error_en[3] <= _T_4096 @[el2_lsu_bus_buffer.scala 492:25] + node _T_4097 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] + node _T_4098 = and(buf_state_en[3], _T_4097) @[el2_lsu_bus_buffer.scala 495:48] + node _T_4099 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] + node _T_4100 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] + node _T_4101 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] + node _T_4102 = mux(_T_4099, _T_4100, _T_4101) @[el2_lsu_bus_buffer.scala 495:72] + node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] + node _T_4104 = mux(_T_4098, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 495:30] + buf_data_in[3] <= _T_4104 @[el2_lsu_bus_buffer.scala 495:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4105 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4105 : @[Conditional.scala 39:67] - node _T_4106 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 497:60] - node _T_4107 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 497:86] - node _T_4108 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 497:101] - node _T_4109 = bits(_T_4108, 0, 0) @[el2_lsu_bus_buffer.scala 497:101] - node _T_4110 = or(_T_4107, _T_4109) @[el2_lsu_bus_buffer.scala 497:90] - node _T_4111 = or(_T_4110, any_done_wait_state) @[el2_lsu_bus_buffer.scala 497:118] - node _T_4112 = mux(_T_4111, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 497:75] - node _T_4113 = mux(_T_4106, UInt<3>("h00"), _T_4112) @[el2_lsu_bus_buffer.scala 497:31] - buf_nxtstate[3] <= _T_4113 @[el2_lsu_bus_buffer.scala 497:25] - node _T_4114 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 498:66] - node _T_4115 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:21] - node _T_4116 = bits(_T_4115, 0, 0) @[el2_lsu_bus_buffer.scala 499:21] - node _T_4117 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 499:58] - node _T_4118 = and(_T_4116, _T_4117) @[el2_lsu_bus_buffer.scala 499:38] - node _T_4119 = or(_T_4114, _T_4118) @[el2_lsu_bus_buffer.scala 498:95] - node _T_4120 = and(bus_rsp_read, _T_4119) @[el2_lsu_bus_buffer.scala 498:45] - buf_state_bus_en[3] <= _T_4120 @[el2_lsu_bus_buffer.scala 498:29] - node _T_4121 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_4122 = or(_T_4121, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[3] <= _T_4122 @[el2_lsu_bus_buffer.scala 500:25] + node _T_4106 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] + node _T_4107 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 498:86] + node _T_4108 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 498:101] + node _T_4109 = bits(_T_4108, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] + node _T_4110 = or(_T_4107, _T_4109) @[el2_lsu_bus_buffer.scala 498:90] + node _T_4111 = or(_T_4110, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] + node _T_4112 = mux(_T_4111, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] + node _T_4113 = mux(_T_4106, UInt<3>("h00"), _T_4112) @[el2_lsu_bus_buffer.scala 498:31] + buf_nxtstate[3] <= _T_4113 @[el2_lsu_bus_buffer.scala 498:25] + node _T_4114 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_4115 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 500:21] + node _T_4116 = bits(_T_4115, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] + node _T_4117 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 500:58] + node _T_4118 = and(_T_4116, _T_4117) @[el2_lsu_bus_buffer.scala 500:38] + node _T_4119 = or(_T_4114, _T_4118) @[el2_lsu_bus_buffer.scala 499:95] + node _T_4120 = and(bus_rsp_read, _T_4119) @[el2_lsu_bus_buffer.scala 499:45] + buf_state_bus_en[3] <= _T_4120 @[el2_lsu_bus_buffer.scala 499:29] + node _T_4121 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] + node _T_4122 = or(_T_4121, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] + buf_state_en[3] <= _T_4122 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4123 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4123 : @[Conditional.scala 39:67] - node _T_4124 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_4125 = mux(_T_4124, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[3] <= _T_4125 @[el2_lsu_bus_buffer.scala 503:25] - node _T_4126 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 504:37] - node _T_4127 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 504:98] - node _T_4128 = and(buf_dual[3], _T_4127) @[el2_lsu_bus_buffer.scala 504:80] - node _T_4129 = or(_T_4126, _T_4128) @[el2_lsu_bus_buffer.scala 504:65] - node _T_4130 = or(_T_4129, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:112] - buf_state_en[3] <= _T_4130 @[el2_lsu_bus_buffer.scala 504:25] + node _T_4124 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_4125 = mux(_T_4124, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[3] <= _T_4125 @[el2_lsu_bus_buffer.scala 504:25] + node _T_4126 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 505:37] + node _T_4127 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] + node _T_4128 = and(buf_dual[3], _T_4127) @[el2_lsu_bus_buffer.scala 505:80] + node _T_4129 = or(_T_4126, _T_4128) @[el2_lsu_bus_buffer.scala 505:65] + node _T_4130 = or(_T_4129, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] + buf_state_en[3] <= _T_4130 @[el2_lsu_bus_buffer.scala 505:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4131 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4131 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 507:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 511:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] - node _T_4132 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 514:108] + node _T_4132 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] reg _T_4133 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4132 : @[Reg.scala 28:19] _T_4133 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4133 @[el2_lsu_bus_buffer.scala 514:18] - reg _T_4134 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 515:60] - _T_4134 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 515:60] - buf_ageQ[3] <= _T_4134 @[el2_lsu_bus_buffer.scala 515:17] - reg _T_4135 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:63] - _T_4135 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 516:63] - buf_rspageQ[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 516:20] - node _T_4136 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 517:109] + buf_state[3] <= _T_4133 @[el2_lsu_bus_buffer.scala 515:18] + reg _T_4134 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] + _T_4134 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 516:60] + buf_ageQ[3] <= _T_4134 @[el2_lsu_bus_buffer.scala 516:17] + reg _T_4135 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] + _T_4135 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 517:63] + buf_rspageQ[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 517:20] + node _T_4136 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4136 : @[Reg.scala 28:19] _T_4137 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 517:20] - node _T_4138 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 518:74] - node _T_4139 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 518:107] + buf_dualtag[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 518:20] + node _T_4138 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 519:74] + node _T_4139 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] reg _T_4140 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4139 : @[Reg.scala 28:19] _T_4140 <= _T_4138 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 518:17] - node _T_4141 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 519:78] - node _T_4142 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:111] + buf_dual[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 519:17] + node _T_4141 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:78] + node _T_4142 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] reg _T_4143 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4142 : @[Reg.scala 28:19] _T_4143 <= _T_4141 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4143 @[el2_lsu_bus_buffer.scala 519:19] - node _T_4144 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:80] - node _T_4145 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:113] + buf_samedw[3] <= _T_4143 @[el2_lsu_bus_buffer.scala 520:19] + node _T_4144 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:80] + node _T_4145 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] reg _T_4146 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4145 : @[Reg.scala 28:19] _T_4146 <= _T_4144 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4146 @[el2_lsu_bus_buffer.scala 520:20] - node _T_4147 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:78] - node _T_4148 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] + buf_nomerge[3] <= _T_4146 @[el2_lsu_bus_buffer.scala 521:20] + node _T_4147 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 522:78] + node _T_4148 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] reg _T_4149 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4148 : @[Reg.scala 28:19] _T_4149 <= _T_4147 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4149 @[el2_lsu_bus_buffer.scala 521:19] - node _T_4150 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 524:133] + buf_dualhi[3] <= _T_4149 @[el2_lsu_bus_buffer.scala 522:19] + node _T_4150 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] reg _T_4151 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4150 : @[Reg.scala 28:19] _T_4151 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4152 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 524:133] + node _T_4152 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] reg _T_4153 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4152 : @[Reg.scala 28:19] _T_4153 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4154 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 524:133] + node _T_4154 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] reg _T_4155 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4154 : @[Reg.scala 28:19] _T_4155 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4156 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 524:133] + node _T_4156 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] reg _T_4157 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4156 : @[Reg.scala 28:19] _T_4157 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5420,51 +5421,51 @@ circuit el2_lsu_bus_buffer : node _T_4158 = cat(_T_4157, _T_4155) @[Cat.scala 29:58] node _T_4159 = cat(_T_4158, _T_4153) @[Cat.scala 29:58] node _T_4160 = cat(_T_4159, _T_4151) @[Cat.scala 29:58] - buf_ldfwd <= _T_4160 @[el2_lsu_bus_buffer.scala 524:15] - node _T_4161 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:134] + buf_ldfwd <= _T_4160 @[el2_lsu_bus_buffer.scala 525:15] + node _T_4161 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] reg _T_4162 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4161 : @[Reg.scala 28:19] _T_4162 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4163 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:134] + node _T_4163 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] reg _T_4164 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4163 : @[Reg.scala 28:19] _T_4164 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4165 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:134] + node _T_4165 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] reg _T_4166 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4165 : @[Reg.scala 28:19] _T_4166 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4167 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:134] + node _T_4167 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] reg _T_4168 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4167 : @[Reg.scala 28:19] _T_4168 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4162 @[el2_lsu_bus_buffer.scala 525:18] - buf_ldfwdtag[1] <= _T_4164 @[el2_lsu_bus_buffer.scala 525:18] - buf_ldfwdtag[2] <= _T_4166 @[el2_lsu_bus_buffer.scala 525:18] - buf_ldfwdtag[3] <= _T_4168 @[el2_lsu_bus_buffer.scala 525:18] - node _T_4169 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 526:107] - node _T_4170 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:140] + buf_ldfwdtag[0] <= _T_4162 @[el2_lsu_bus_buffer.scala 526:18] + buf_ldfwdtag[1] <= _T_4164 @[el2_lsu_bus_buffer.scala 526:18] + buf_ldfwdtag[2] <= _T_4166 @[el2_lsu_bus_buffer.scala 526:18] + buf_ldfwdtag[3] <= _T_4168 @[el2_lsu_bus_buffer.scala 526:18] + node _T_4169 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:107] + node _T_4170 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] reg _T_4171 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4170 : @[Reg.scala 28:19] _T_4171 <= _T_4169 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4172 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 526:107] - node _T_4173 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:140] + node _T_4172 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:107] + node _T_4173 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] reg _T_4174 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4173 : @[Reg.scala 28:19] _T_4174 <= _T_4172 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4175 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 526:107] - node _T_4176 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:140] + node _T_4175 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:107] + node _T_4176 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] reg _T_4177 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4176 : @[Reg.scala 28:19] _T_4177 <= _T_4175 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4178 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 526:107] - node _T_4179 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:140] + node _T_4178 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:107] + node _T_4179 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] reg _T_4180 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4179 : @[Reg.scala 28:19] _T_4180 <= _T_4178 @[Reg.scala 28:23] @@ -5472,27 +5473,27 @@ circuit el2_lsu_bus_buffer : node _T_4181 = cat(_T_4180, _T_4177) @[Cat.scala 29:58] node _T_4182 = cat(_T_4181, _T_4174) @[Cat.scala 29:58] node _T_4183 = cat(_T_4182, _T_4171) @[Cat.scala 29:58] - buf_sideeffect <= _T_4183 @[el2_lsu_bus_buffer.scala 526:20] - node _T_4184 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:99] - node _T_4185 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:132] + buf_sideeffect <= _T_4183 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4184 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:99] + node _T_4185 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] reg _T_4186 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4185 : @[Reg.scala 28:19] _T_4186 <= _T_4184 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4187 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:99] - node _T_4188 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:132] + node _T_4187 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:99] + node _T_4188 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] reg _T_4189 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4188 : @[Reg.scala 28:19] _T_4189 <= _T_4187 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4190 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:99] - node _T_4191 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:132] + node _T_4190 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:99] + node _T_4191 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] reg _T_4192 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4191 : @[Reg.scala 28:19] _T_4192 <= _T_4190 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4193 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:99] - node _T_4194 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:132] + node _T_4193 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:99] + node _T_4194 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] reg _T_4195 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4194 : @[Reg.scala 28:19] _T_4195 <= _T_4193 @[Reg.scala 28:23] @@ -5500,27 +5501,27 @@ circuit el2_lsu_bus_buffer : node _T_4196 = cat(_T_4195, _T_4192) @[Cat.scala 29:58] node _T_4197 = cat(_T_4196, _T_4189) @[Cat.scala 29:58] node _T_4198 = cat(_T_4197, _T_4186) @[Cat.scala 29:58] - buf_unsign <= _T_4198 @[el2_lsu_bus_buffer.scala 527:16] - node _T_4199 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:97] - node _T_4200 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:130] + buf_unsign <= _T_4198 @[el2_lsu_bus_buffer.scala 528:16] + node _T_4199 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4200 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] reg _T_4201 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4200 : @[Reg.scala 28:19] _T_4201 <= _T_4199 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4202 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:97] - node _T_4203 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:130] + node _T_4202 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4203 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] reg _T_4204 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4203 : @[Reg.scala 28:19] _T_4204 <= _T_4202 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4205 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:97] - node _T_4206 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:130] + node _T_4205 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4206 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] reg _T_4207 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4206 : @[Reg.scala 28:19] _T_4207 <= _T_4205 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4208 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:97] - node _T_4209 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:130] + node _T_4208 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4209 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4209 : @[Reg.scala 28:19] _T_4210 <= _T_4208 @[Reg.scala 28:23] @@ -5528,32 +5529,32 @@ circuit el2_lsu_bus_buffer : node _T_4211 = cat(_T_4210, _T_4207) @[Cat.scala 29:58] node _T_4212 = cat(_T_4211, _T_4204) @[Cat.scala 29:58] node _T_4213 = cat(_T_4212, _T_4201) @[Cat.scala 29:58] - buf_write <= _T_4213 @[el2_lsu_bus_buffer.scala 528:15] - node _T_4214 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:119] + buf_write <= _T_4213 @[el2_lsu_bus_buffer.scala 529:15] + node _T_4214 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] reg _T_4215 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4214 : @[Reg.scala 28:19] _T_4215 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4216 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:119] + node _T_4216 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] reg _T_4217 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4216 : @[Reg.scala 28:19] _T_4217 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4218 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:119] + node _T_4218 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] reg _T_4219 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4218 : @[Reg.scala 28:19] _T_4219 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4220 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:119] + node _T_4220 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] _T_4221 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4215 @[el2_lsu_bus_buffer.scala 529:12] - buf_sz[1] <= _T_4217 @[el2_lsu_bus_buffer.scala 529:12] - buf_sz[2] <= _T_4219 @[el2_lsu_bus_buffer.scala 529:12] - buf_sz[3] <= _T_4221 @[el2_lsu_bus_buffer.scala 529:12] - node _T_4222 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:82] + buf_sz[0] <= _T_4215 @[el2_lsu_bus_buffer.scala 530:12] + buf_sz[1] <= _T_4217 @[el2_lsu_bus_buffer.scala 530:12] + buf_sz[2] <= _T_4219 @[el2_lsu_bus_buffer.scala 530:12] + buf_sz[3] <= _T_4221 @[el2_lsu_bus_buffer.scala 530:12] + node _T_4222 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 472:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5562,7 +5563,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] reg _T_4223 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] _T_4223 <= buf_addr_in[0] @[el2_lib.scala 478:16] - node _T_4224 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:82] + node _T_4224 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 472:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5571,7 +5572,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] reg _T_4225 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] _T_4225 <= buf_addr_in[1] @[el2_lib.scala 478:16] - node _T_4226 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:82] + node _T_4226 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 472:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5580,7 +5581,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] reg _T_4227 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] _T_4227 <= buf_addr_in[2] @[el2_lib.scala 478:16] - node _T_4228 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:82] + node _T_4228 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 472:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5589,34 +5590,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] reg _T_4229 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] _T_4229 <= buf_addr_in[3] @[el2_lib.scala 478:16] - buf_addr[0] <= _T_4223 @[el2_lsu_bus_buffer.scala 530:14] - buf_addr[1] <= _T_4225 @[el2_lsu_bus_buffer.scala 530:14] - buf_addr[2] <= _T_4227 @[el2_lsu_bus_buffer.scala 530:14] - buf_addr[3] <= _T_4229 @[el2_lsu_bus_buffer.scala 530:14] - node _T_4230 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:127] + buf_addr[0] <= _T_4223 @[el2_lsu_bus_buffer.scala 531:14] + buf_addr[1] <= _T_4225 @[el2_lsu_bus_buffer.scala 531:14] + buf_addr[2] <= _T_4227 @[el2_lsu_bus_buffer.scala 531:14] + buf_addr[3] <= _T_4229 @[el2_lsu_bus_buffer.scala 531:14] + node _T_4230 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] reg _T_4231 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4230 : @[Reg.scala 28:19] _T_4231 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:127] + node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] reg _T_4233 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:127] + node _T_4234 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] reg _T_4235 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4234 : @[Reg.scala 28:19] _T_4235 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4236 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:127] + node _T_4236 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] reg _T_4237 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4236 : @[Reg.scala 28:19] _T_4237 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4231 @[el2_lsu_bus_buffer.scala 531:16] - buf_byteen[1] <= _T_4233 @[el2_lsu_bus_buffer.scala 531:16] - buf_byteen[2] <= _T_4235 @[el2_lsu_bus_buffer.scala 531:16] - buf_byteen[3] <= _T_4237 @[el2_lsu_bus_buffer.scala 531:16] + buf_byteen[0] <= _T_4231 @[el2_lsu_bus_buffer.scala 532:16] + buf_byteen[1] <= _T_4233 @[el2_lsu_bus_buffer.scala 532:16] + buf_byteen[2] <= _T_4235 @[el2_lsu_bus_buffer.scala 532:16] + buf_byteen[3] <= _T_4237 @[el2_lsu_bus_buffer.scala 532:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 472:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5649,179 +5650,179 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] reg _T_4241 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] _T_4241 <= buf_data_in[3] @[el2_lib.scala 478:16] - buf_data[0] <= _T_4238 @[el2_lsu_bus_buffer.scala 532:14] - buf_data[1] <= _T_4239 @[el2_lsu_bus_buffer.scala 532:14] - buf_data[2] <= _T_4240 @[el2_lsu_bus_buffer.scala 532:14] - buf_data[3] <= _T_4241 @[el2_lsu_bus_buffer.scala 532:14] - node _T_4242 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 533:121] - node _T_4243 = mux(buf_error_en[0], UInt<1>("h01"), _T_4242) @[el2_lsu_bus_buffer.scala 533:86] - node _T_4244 = and(_T_4243, buf_rst[0]) @[el2_lsu_bus_buffer.scala 533:126] - reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 533:82] - _T_4245 <= _T_4244 @[el2_lsu_bus_buffer.scala 533:82] - node _T_4246 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 533:121] - node _T_4247 = mux(buf_error_en[1], UInt<1>("h01"), _T_4246) @[el2_lsu_bus_buffer.scala 533:86] - node _T_4248 = and(_T_4247, buf_rst[1]) @[el2_lsu_bus_buffer.scala 533:126] - reg _T_4249 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 533:82] - _T_4249 <= _T_4248 @[el2_lsu_bus_buffer.scala 533:82] - node _T_4250 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 533:121] - node _T_4251 = mux(buf_error_en[2], UInt<1>("h01"), _T_4250) @[el2_lsu_bus_buffer.scala 533:86] - node _T_4252 = and(_T_4251, buf_rst[2]) @[el2_lsu_bus_buffer.scala 533:126] - reg _T_4253 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 533:82] - _T_4253 <= _T_4252 @[el2_lsu_bus_buffer.scala 533:82] - node _T_4254 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 533:121] - node _T_4255 = mux(buf_error_en[3], UInt<1>("h01"), _T_4254) @[el2_lsu_bus_buffer.scala 533:86] - node _T_4256 = and(_T_4255, buf_rst[3]) @[el2_lsu_bus_buffer.scala 533:126] - reg _T_4257 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 533:82] - _T_4257 <= _T_4256 @[el2_lsu_bus_buffer.scala 533:82] + buf_data[0] <= _T_4238 @[el2_lsu_bus_buffer.scala 533:14] + buf_data[1] <= _T_4239 @[el2_lsu_bus_buffer.scala 533:14] + buf_data[2] <= _T_4240 @[el2_lsu_bus_buffer.scala 533:14] + buf_data[3] <= _T_4241 @[el2_lsu_bus_buffer.scala 533:14] + node _T_4242 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 534:121] + node _T_4243 = mux(buf_error_en[0], UInt<1>("h01"), _T_4242) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4244 = and(_T_4243, buf_rst[0]) @[el2_lsu_bus_buffer.scala 534:126] + reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] + _T_4245 <= _T_4244 @[el2_lsu_bus_buffer.scala 534:82] + node _T_4246 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 534:121] + node _T_4247 = mux(buf_error_en[1], UInt<1>("h01"), _T_4246) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4248 = and(_T_4247, buf_rst[1]) @[el2_lsu_bus_buffer.scala 534:126] + reg _T_4249 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] + _T_4249 <= _T_4248 @[el2_lsu_bus_buffer.scala 534:82] + node _T_4250 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 534:121] + node _T_4251 = mux(buf_error_en[2], UInt<1>("h01"), _T_4250) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4252 = and(_T_4251, buf_rst[2]) @[el2_lsu_bus_buffer.scala 534:126] + reg _T_4253 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] + _T_4253 <= _T_4252 @[el2_lsu_bus_buffer.scala 534:82] + node _T_4254 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 534:121] + node _T_4255 = mux(buf_error_en[3], UInt<1>("h01"), _T_4254) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4256 = and(_T_4255, buf_rst[3]) @[el2_lsu_bus_buffer.scala 534:126] + reg _T_4257 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] + _T_4257 <= _T_4256 @[el2_lsu_bus_buffer.scala 534:82] node _T_4258 = cat(_T_4257, _T_4253) @[Cat.scala 29:58] node _T_4259 = cat(_T_4258, _T_4249) @[Cat.scala 29:58] node _T_4260 = cat(_T_4259, _T_4245) @[Cat.scala 29:58] - buf_error <= _T_4260 @[el2_lsu_bus_buffer.scala 533:15] - node _T_4261 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 535:60] - node _T_4262 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 535:60] - node _T_4263 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 535:60] - node _T_4264 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 535:60] - node _T_4265 = add(_T_4264, _T_4263) @[el2_lsu_bus_buffer.scala 535:96] - node _T_4266 = add(_T_4265, _T_4262) @[el2_lsu_bus_buffer.scala 535:96] - node buf_numvld_any = add(_T_4266, _T_4261) @[el2_lsu_bus_buffer.scala 535:96] - node _T_4267 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4268 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 536:76] - node _T_4269 = eq(_T_4268, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 536:79] - node _T_4270 = and(_T_4267, _T_4269) @[el2_lsu_bus_buffer.scala 536:64] - node _T_4271 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 536:91] - node _T_4272 = and(_T_4270, _T_4271) @[el2_lsu_bus_buffer.scala 536:89] - node _T_4273 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4274 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 536:76] - node _T_4275 = eq(_T_4274, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 536:79] - node _T_4276 = and(_T_4273, _T_4275) @[el2_lsu_bus_buffer.scala 536:64] - node _T_4277 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 536:91] - node _T_4278 = and(_T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 536:89] - node _T_4279 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4280 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 536:76] - node _T_4281 = eq(_T_4280, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 536:79] - node _T_4282 = and(_T_4279, _T_4281) @[el2_lsu_bus_buffer.scala 536:64] - node _T_4283 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 536:91] - node _T_4284 = and(_T_4282, _T_4283) @[el2_lsu_bus_buffer.scala 536:89] - node _T_4285 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4286 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 536:76] - node _T_4287 = eq(_T_4286, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 536:79] - node _T_4288 = and(_T_4285, _T_4287) @[el2_lsu_bus_buffer.scala 536:64] - node _T_4289 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 536:91] - node _T_4290 = and(_T_4288, _T_4289) @[el2_lsu_bus_buffer.scala 536:89] - node _T_4291 = add(_T_4290, _T_4284) @[el2_lsu_bus_buffer.scala 536:142] - node _T_4292 = add(_T_4291, _T_4278) @[el2_lsu_bus_buffer.scala 536:142] - node _T_4293 = add(_T_4292, _T_4272) @[el2_lsu_bus_buffer.scala 536:142] - buf_numvld_wrcmd_any <= _T_4293 @[el2_lsu_bus_buffer.scala 536:24] - node _T_4294 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4295 = eq(_T_4294, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:63] - node _T_4296 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:75] - node _T_4297 = and(_T_4295, _T_4296) @[el2_lsu_bus_buffer.scala 537:73] - node _T_4298 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4299 = eq(_T_4298, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:63] - node _T_4300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:75] - node _T_4301 = and(_T_4299, _T_4300) @[el2_lsu_bus_buffer.scala 537:73] - node _T_4302 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4303 = eq(_T_4302, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:63] - node _T_4304 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:75] - node _T_4305 = and(_T_4303, _T_4304) @[el2_lsu_bus_buffer.scala 537:73] - node _T_4306 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4307 = eq(_T_4306, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:63] - node _T_4308 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:75] - node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 537:73] - node _T_4310 = add(_T_4309, _T_4305) @[el2_lsu_bus_buffer.scala 537:126] - node _T_4311 = add(_T_4310, _T_4301) @[el2_lsu_bus_buffer.scala 537:126] - node _T_4312 = add(_T_4311, _T_4297) @[el2_lsu_bus_buffer.scala 537:126] - buf_numvld_cmd_any <= _T_4312 @[el2_lsu_bus_buffer.scala 537:22] - node _T_4313 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:61] - node _T_4314 = eq(_T_4313, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4315 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:85] - node _T_4316 = eq(_T_4315, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:88] - node _T_4317 = or(_T_4314, _T_4316) @[el2_lsu_bus_buffer.scala 538:74] - node _T_4318 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:102] - node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 538:100] - node _T_4320 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:61] - node _T_4321 = eq(_T_4320, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4322 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:85] - node _T_4323 = eq(_T_4322, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:88] - node _T_4324 = or(_T_4321, _T_4323) @[el2_lsu_bus_buffer.scala 538:74] - node _T_4325 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:102] - node _T_4326 = and(_T_4324, _T_4325) @[el2_lsu_bus_buffer.scala 538:100] - node _T_4327 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:61] - node _T_4328 = eq(_T_4327, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4329 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:85] - node _T_4330 = eq(_T_4329, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:88] - node _T_4331 = or(_T_4328, _T_4330) @[el2_lsu_bus_buffer.scala 538:74] - node _T_4332 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:102] - node _T_4333 = and(_T_4331, _T_4332) @[el2_lsu_bus_buffer.scala 538:100] - node _T_4334 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:61] - node _T_4335 = eq(_T_4334, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 538:64] - node _T_4336 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:85] - node _T_4337 = eq(_T_4336, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:88] - node _T_4338 = or(_T_4335, _T_4337) @[el2_lsu_bus_buffer.scala 538:74] - node _T_4339 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:102] - node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 538:100] - node _T_4341 = add(_T_4340, _T_4333) @[el2_lsu_bus_buffer.scala 538:153] - node _T_4342 = add(_T_4341, _T_4326) @[el2_lsu_bus_buffer.scala 538:153] - node _T_4343 = add(_T_4342, _T_4319) @[el2_lsu_bus_buffer.scala 538:153] - buf_numvld_pend_any <= _T_4343 @[el2_lsu_bus_buffer.scala 538:23] - node _T_4344 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4345 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4346 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4347 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4348 = or(_T_4347, _T_4346) @[el2_lsu_bus_buffer.scala 539:93] - node _T_4349 = or(_T_4348, _T_4345) @[el2_lsu_bus_buffer.scala 539:93] - node _T_4350 = or(_T_4349, _T_4344) @[el2_lsu_bus_buffer.scala 539:93] - any_done_wait_state <= _T_4350 @[el2_lsu_bus_buffer.scala 539:23] - node _T_4351 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 540:53] - io.lsu_bus_buffer_pend_any <= _T_4351 @[el2_lsu_bus_buffer.scala 540:30] - node _T_4352 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 541:52] - node _T_4353 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 541:92] - node _T_4354 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 541:119] - node _T_4355 = mux(_T_4352, _T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 541:36] - io.lsu_bus_buffer_full_any <= _T_4355 @[el2_lsu_bus_buffer.scala 541:30] - node _T_4356 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 542:52] - node _T_4357 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 542:52] - node _T_4358 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 542:52] - node _T_4359 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 542:52] - node _T_4360 = or(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 542:65] - node _T_4361 = or(_T_4360, _T_4358) @[el2_lsu_bus_buffer.scala 542:65] - node _T_4362 = or(_T_4361, _T_4359) @[el2_lsu_bus_buffer.scala 542:65] - node _T_4363 = eq(_T_4362, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:34] - node _T_4364 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:72] - node _T_4365 = and(_T_4363, _T_4364) @[el2_lsu_bus_buffer.scala 542:70] - node _T_4366 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 542:86] - node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 542:84] - io.lsu_bus_buffer_empty_any <= _T_4367 @[el2_lsu_bus_buffer.scala 542:31] - node _T_4368 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 544:51] - node _T_4369 = and(_T_4368, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 544:72] - node _T_4370 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:94] - node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 544:92] - node _T_4372 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:111] - node _T_4373 = and(_T_4371, _T_4372) @[el2_lsu_bus_buffer.scala 544:109] - io.lsu_nonblock_load_valid_m <= _T_4373 @[el2_lsu_bus_buffer.scala 544:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 545:30] + buf_error <= _T_4260 @[el2_lsu_bus_buffer.scala 534:15] + node _T_4261 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] + node _T_4262 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] + node _T_4263 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] + node _T_4264 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] + node _T_4265 = add(_T_4264, _T_4263) @[el2_lsu_bus_buffer.scala 536:96] + node _T_4266 = add(_T_4265, _T_4262) @[el2_lsu_bus_buffer.scala 536:96] + node buf_numvld_any = add(_T_4266, _T_4261) @[el2_lsu_bus_buffer.scala 536:96] + node _T_4267 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4268 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:76] + node _T_4269 = eq(_T_4268, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] + node _T_4270 = and(_T_4267, _T_4269) @[el2_lsu_bus_buffer.scala 537:64] + node _T_4271 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4272 = and(_T_4270, _T_4271) @[el2_lsu_bus_buffer.scala 537:89] + node _T_4273 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4274 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:76] + node _T_4275 = eq(_T_4274, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] + node _T_4276 = and(_T_4273, _T_4275) @[el2_lsu_bus_buffer.scala 537:64] + node _T_4277 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4278 = and(_T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 537:89] + node _T_4279 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4280 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:76] + node _T_4281 = eq(_T_4280, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] + node _T_4282 = and(_T_4279, _T_4281) @[el2_lsu_bus_buffer.scala 537:64] + node _T_4283 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4284 = and(_T_4282, _T_4283) @[el2_lsu_bus_buffer.scala 537:89] + node _T_4285 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4286 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:76] + node _T_4287 = eq(_T_4286, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] + node _T_4288 = and(_T_4285, _T_4287) @[el2_lsu_bus_buffer.scala 537:64] + node _T_4289 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4290 = and(_T_4288, _T_4289) @[el2_lsu_bus_buffer.scala 537:89] + node _T_4291 = add(_T_4290, _T_4284) @[el2_lsu_bus_buffer.scala 537:142] + node _T_4292 = add(_T_4291, _T_4278) @[el2_lsu_bus_buffer.scala 537:142] + node _T_4293 = add(_T_4292, _T_4272) @[el2_lsu_bus_buffer.scala 537:142] + buf_numvld_wrcmd_any <= _T_4293 @[el2_lsu_bus_buffer.scala 537:24] + node _T_4294 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4295 = eq(_T_4294, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] + node _T_4296 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] + node _T_4297 = and(_T_4295, _T_4296) @[el2_lsu_bus_buffer.scala 538:73] + node _T_4298 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4299 = eq(_T_4298, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] + node _T_4300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] + node _T_4301 = and(_T_4299, _T_4300) @[el2_lsu_bus_buffer.scala 538:73] + node _T_4302 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4303 = eq(_T_4302, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] + node _T_4304 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] + node _T_4305 = and(_T_4303, _T_4304) @[el2_lsu_bus_buffer.scala 538:73] + node _T_4306 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4307 = eq(_T_4306, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] + node _T_4308 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] + node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 538:73] + node _T_4310 = add(_T_4309, _T_4305) @[el2_lsu_bus_buffer.scala 538:126] + node _T_4311 = add(_T_4310, _T_4301) @[el2_lsu_bus_buffer.scala 538:126] + node _T_4312 = add(_T_4311, _T_4297) @[el2_lsu_bus_buffer.scala 538:126] + buf_numvld_cmd_any <= _T_4312 @[el2_lsu_bus_buffer.scala 538:22] + node _T_4313 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:61] + node _T_4314 = eq(_T_4313, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] + node _T_4315 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:85] + node _T_4316 = eq(_T_4315, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] + node _T_4317 = or(_T_4314, _T_4316) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4318 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 539:100] + node _T_4320 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:61] + node _T_4321 = eq(_T_4320, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] + node _T_4322 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:85] + node _T_4323 = eq(_T_4322, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] + node _T_4324 = or(_T_4321, _T_4323) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4325 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4326 = and(_T_4324, _T_4325) @[el2_lsu_bus_buffer.scala 539:100] + node _T_4327 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:61] + node _T_4328 = eq(_T_4327, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] + node _T_4329 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:85] + node _T_4330 = eq(_T_4329, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] + node _T_4331 = or(_T_4328, _T_4330) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4332 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4333 = and(_T_4331, _T_4332) @[el2_lsu_bus_buffer.scala 539:100] + node _T_4334 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:61] + node _T_4335 = eq(_T_4334, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] + node _T_4336 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:85] + node _T_4337 = eq(_T_4336, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] + node _T_4338 = or(_T_4335, _T_4337) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4339 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 539:100] + node _T_4341 = add(_T_4340, _T_4333) @[el2_lsu_bus_buffer.scala 539:153] + node _T_4342 = add(_T_4341, _T_4326) @[el2_lsu_bus_buffer.scala 539:153] + node _T_4343 = add(_T_4342, _T_4319) @[el2_lsu_bus_buffer.scala 539:153] + buf_numvld_pend_any <= _T_4343 @[el2_lsu_bus_buffer.scala 539:23] + node _T_4344 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4345 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4346 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4347 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4348 = or(_T_4347, _T_4346) @[el2_lsu_bus_buffer.scala 540:93] + node _T_4349 = or(_T_4348, _T_4345) @[el2_lsu_bus_buffer.scala 540:93] + node _T_4350 = or(_T_4349, _T_4344) @[el2_lsu_bus_buffer.scala 540:93] + any_done_wait_state <= _T_4350 @[el2_lsu_bus_buffer.scala 540:23] + node _T_4351 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 541:53] + io.lsu_bus_buffer_pend_any <= _T_4351 @[el2_lsu_bus_buffer.scala 541:30] + node _T_4352 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 542:52] + node _T_4353 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:92] + node _T_4354 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:119] + node _T_4355 = mux(_T_4352, _T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 542:36] + io.lsu_bus_buffer_full_any <= _T_4355 @[el2_lsu_bus_buffer.scala 542:30] + node _T_4356 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4357 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4358 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4359 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4360 = or(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 543:65] + node _T_4361 = or(_T_4360, _T_4358) @[el2_lsu_bus_buffer.scala 543:65] + node _T_4362 = or(_T_4361, _T_4359) @[el2_lsu_bus_buffer.scala 543:65] + node _T_4363 = eq(_T_4362, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:34] + node _T_4364 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:72] + node _T_4365 = and(_T_4363, _T_4364) @[el2_lsu_bus_buffer.scala 543:70] + node _T_4366 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:86] + node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 543:84] + io.lsu_bus_buffer_empty_any <= _T_4367 @[el2_lsu_bus_buffer.scala 543:31] + node _T_4368 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 545:51] + node _T_4369 = and(_T_4368, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 545:72] + node _T_4370 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:94] + node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 545:92] + node _T_4372 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:111] + node _T_4373 = and(_T_4371, _T_4372) @[el2_lsu_bus_buffer.scala 545:109] + io.lsu_nonblock_load_valid_m <= _T_4373 @[el2_lsu_bus_buffer.scala 545:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 546:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4374 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:61] - node _T_4375 = and(lsu_nonblock_load_valid_r, _T_4374) @[el2_lsu_bus_buffer.scala 547:59] - io.lsu_nonblock_load_inv_r <= _T_4375 @[el2_lsu_bus_buffer.scala 547:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 548:34] - node _T_4376 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:80] - node _T_4377 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 549:127] - node _T_4378 = and(UInt<1>("h01"), _T_4377) @[el2_lsu_bus_buffer.scala 549:116] - node _T_4379 = eq(_T_4378, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:95] - node _T_4380 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:80] - node _T_4381 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 549:127] - node _T_4382 = and(UInt<1>("h01"), _T_4381) @[el2_lsu_bus_buffer.scala 549:116] - node _T_4383 = eq(_T_4382, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:95] - node _T_4384 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:80] - node _T_4385 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 549:127] - node _T_4386 = and(UInt<1>("h01"), _T_4385) @[el2_lsu_bus_buffer.scala 549:116] - node _T_4387 = eq(_T_4386, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:95] - node _T_4388 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:80] - node _T_4389 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 549:127] - node _T_4390 = and(UInt<1>("h01"), _T_4389) @[el2_lsu_bus_buffer.scala 549:116] - node _T_4391 = eq(_T_4390, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:95] + node _T_4374 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:61] + node _T_4375 = and(lsu_nonblock_load_valid_r, _T_4374) @[el2_lsu_bus_buffer.scala 548:59] + io.lsu_nonblock_load_inv_r <= _T_4375 @[el2_lsu_bus_buffer.scala 548:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 549:34] + node _T_4376 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4377 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 550:127] + node _T_4378 = and(UInt<1>("h01"), _T_4377) @[el2_lsu_bus_buffer.scala 550:116] + node _T_4379 = eq(_T_4378, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] + node _T_4380 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4381 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 550:127] + node _T_4382 = and(UInt<1>("h01"), _T_4381) @[el2_lsu_bus_buffer.scala 550:116] + node _T_4383 = eq(_T_4382, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] + node _T_4384 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4385 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 550:127] + node _T_4386 = and(UInt<1>("h01"), _T_4385) @[el2_lsu_bus_buffer.scala 550:116] + node _T_4387 = eq(_T_4386, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] + node _T_4388 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4389 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 550:127] + node _T_4390 = and(UInt<1>("h01"), _T_4389) @[el2_lsu_bus_buffer.scala 550:116] + node _T_4391 = eq(_T_4390, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] node _T_4392 = mux(_T_4376, _T_4379, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4393 = mux(_T_4380, _T_4383, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4394 = mux(_T_4384, _T_4387, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5831,26 +5832,26 @@ circuit el2_lsu_bus_buffer : node _T_4398 = or(_T_4397, _T_4395) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4398 @[Mux.scala 27:72] - node _T_4399 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4400 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 550:104] - node _T_4401 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 550:120] - node _T_4402 = eq(_T_4401, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:110] - node _T_4403 = and(_T_4400, _T_4402) @[el2_lsu_bus_buffer.scala 550:108] - node _T_4404 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4405 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 550:104] - node _T_4406 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 550:120] - node _T_4407 = eq(_T_4406, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:110] - node _T_4408 = and(_T_4405, _T_4407) @[el2_lsu_bus_buffer.scala 550:108] - node _T_4409 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4410 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 550:104] - node _T_4411 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 550:120] - node _T_4412 = eq(_T_4411, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:110] - node _T_4413 = and(_T_4410, _T_4412) @[el2_lsu_bus_buffer.scala 550:108] - node _T_4414 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4415 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 550:104] - node _T_4416 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 550:120] - node _T_4417 = eq(_T_4416, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:110] - node _T_4418 = and(_T_4415, _T_4417) @[el2_lsu_bus_buffer.scala 550:108] + node _T_4399 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4400 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 551:104] + node _T_4401 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:120] + node _T_4402 = eq(_T_4401, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] + node _T_4403 = and(_T_4400, _T_4402) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4404 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4405 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 551:104] + node _T_4406 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:120] + node _T_4407 = eq(_T_4406, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] + node _T_4408 = and(_T_4405, _T_4407) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4409 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4410 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 551:104] + node _T_4411 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:120] + node _T_4412 = eq(_T_4411, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] + node _T_4413 = and(_T_4410, _T_4412) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4414 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4415 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 551:104] + node _T_4416 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:120] + node _T_4417 = eq(_T_4416, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] + node _T_4418 = and(_T_4415, _T_4417) @[el2_lsu_bus_buffer.scala 551:108] node _T_4419 = mux(_T_4399, _T_4403, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4420 = mux(_T_4404, _T_4408, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4421 = mux(_T_4409, _T_4413, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5860,39 +5861,39 @@ circuit el2_lsu_bus_buffer : node _T_4425 = or(_T_4424, _T_4422) @[Mux.scala 27:72] wire _T_4426 : UInt<1> @[Mux.scala 27:72] _T_4426 <= _T_4425 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4426 @[el2_lsu_bus_buffer.scala 550:35] - node _T_4427 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:79] - node _T_4428 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:102] - node _T_4429 = eq(_T_4428, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:92] - node _T_4430 = and(_T_4427, _T_4429) @[el2_lsu_bus_buffer.scala 551:90] - node _T_4431 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:109] - node _T_4432 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:124] - node _T_4433 = or(_T_4431, _T_4432) @[el2_lsu_bus_buffer.scala 551:122] - node _T_4434 = and(_T_4430, _T_4433) @[el2_lsu_bus_buffer.scala 551:106] - node _T_4435 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:79] - node _T_4436 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:102] - node _T_4437 = eq(_T_4436, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:92] - node _T_4438 = and(_T_4435, _T_4437) @[el2_lsu_bus_buffer.scala 551:90] - node _T_4439 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:109] - node _T_4440 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:124] - node _T_4441 = or(_T_4439, _T_4440) @[el2_lsu_bus_buffer.scala 551:122] - node _T_4442 = and(_T_4438, _T_4441) @[el2_lsu_bus_buffer.scala 551:106] - node _T_4443 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:79] - node _T_4444 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:102] - node _T_4445 = eq(_T_4444, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:92] - node _T_4446 = and(_T_4443, _T_4445) @[el2_lsu_bus_buffer.scala 551:90] - node _T_4447 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:109] - node _T_4448 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:124] - node _T_4449 = or(_T_4447, _T_4448) @[el2_lsu_bus_buffer.scala 551:122] - node _T_4450 = and(_T_4446, _T_4449) @[el2_lsu_bus_buffer.scala 551:106] - node _T_4451 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:79] - node _T_4452 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:102] - node _T_4453 = eq(_T_4452, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:92] - node _T_4454 = and(_T_4451, _T_4453) @[el2_lsu_bus_buffer.scala 551:90] - node _T_4455 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:109] - node _T_4456 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:124] - node _T_4457 = or(_T_4455, _T_4456) @[el2_lsu_bus_buffer.scala 551:122] - node _T_4458 = and(_T_4454, _T_4457) @[el2_lsu_bus_buffer.scala 551:106] + io.lsu_nonblock_load_data_error <= _T_4426 @[el2_lsu_bus_buffer.scala 551:35] + node _T_4427 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] + node _T_4428 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:102] + node _T_4429 = eq(_T_4428, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4430 = and(_T_4427, _T_4429) @[el2_lsu_bus_buffer.scala 552:90] + node _T_4431 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] + node _T_4432 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] + node _T_4433 = or(_T_4431, _T_4432) @[el2_lsu_bus_buffer.scala 552:122] + node _T_4434 = and(_T_4430, _T_4433) @[el2_lsu_bus_buffer.scala 552:106] + node _T_4435 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] + node _T_4436 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:102] + node _T_4437 = eq(_T_4436, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4438 = and(_T_4435, _T_4437) @[el2_lsu_bus_buffer.scala 552:90] + node _T_4439 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] + node _T_4440 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] + node _T_4441 = or(_T_4439, _T_4440) @[el2_lsu_bus_buffer.scala 552:122] + node _T_4442 = and(_T_4438, _T_4441) @[el2_lsu_bus_buffer.scala 552:106] + node _T_4443 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] + node _T_4444 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:102] + node _T_4445 = eq(_T_4444, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4446 = and(_T_4443, _T_4445) @[el2_lsu_bus_buffer.scala 552:90] + node _T_4447 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] + node _T_4448 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] + node _T_4449 = or(_T_4447, _T_4448) @[el2_lsu_bus_buffer.scala 552:122] + node _T_4450 = and(_T_4446, _T_4449) @[el2_lsu_bus_buffer.scala 552:106] + node _T_4451 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] + node _T_4452 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:102] + node _T_4453 = eq(_T_4452, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4454 = and(_T_4451, _T_4453) @[el2_lsu_bus_buffer.scala 552:90] + node _T_4455 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] + node _T_4456 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] + node _T_4457 = or(_T_4455, _T_4456) @[el2_lsu_bus_buffer.scala 552:122] + node _T_4458 = and(_T_4454, _T_4457) @[el2_lsu_bus_buffer.scala 552:106] node _T_4459 = mux(_T_4434, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4460 = mux(_T_4442, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4461 = mux(_T_4450, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -5902,39 +5903,39 @@ circuit el2_lsu_bus_buffer : node _T_4465 = or(_T_4464, _T_4462) @[Mux.scala 27:72] wire _T_4466 : UInt<2> @[Mux.scala 27:72] _T_4466 <= _T_4465 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4466 @[el2_lsu_bus_buffer.scala 551:33] - node _T_4467 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:78] - node _T_4468 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:101] - node _T_4469 = eq(_T_4468, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:91] - node _T_4470 = and(_T_4467, _T_4469) @[el2_lsu_bus_buffer.scala 552:89] - node _T_4471 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4472 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:123] - node _T_4473 = or(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 552:121] - node _T_4474 = and(_T_4470, _T_4473) @[el2_lsu_bus_buffer.scala 552:105] - node _T_4475 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:78] - node _T_4476 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:101] - node _T_4477 = eq(_T_4476, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:91] - node _T_4478 = and(_T_4475, _T_4477) @[el2_lsu_bus_buffer.scala 552:89] - node _T_4479 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4480 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:123] - node _T_4481 = or(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 552:121] - node _T_4482 = and(_T_4478, _T_4481) @[el2_lsu_bus_buffer.scala 552:105] - node _T_4483 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:78] - node _T_4484 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:101] - node _T_4485 = eq(_T_4484, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:91] - node _T_4486 = and(_T_4483, _T_4485) @[el2_lsu_bus_buffer.scala 552:89] - node _T_4487 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4488 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:123] - node _T_4489 = or(_T_4487, _T_4488) @[el2_lsu_bus_buffer.scala 552:121] - node _T_4490 = and(_T_4486, _T_4489) @[el2_lsu_bus_buffer.scala 552:105] - node _T_4491 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:78] - node _T_4492 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:101] - node _T_4493 = eq(_T_4492, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:91] - node _T_4494 = and(_T_4491, _T_4493) @[el2_lsu_bus_buffer.scala 552:89] - node _T_4495 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:108] - node _T_4496 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:123] - node _T_4497 = or(_T_4495, _T_4496) @[el2_lsu_bus_buffer.scala 552:121] - node _T_4498 = and(_T_4494, _T_4497) @[el2_lsu_bus_buffer.scala 552:105] + io.lsu_nonblock_load_data_tag <= _T_4466 @[el2_lsu_bus_buffer.scala 552:33] + node _T_4467 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4468 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:101] + node _T_4469 = eq(_T_4468, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4470 = and(_T_4467, _T_4469) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4471 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] + node _T_4472 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] + node _T_4473 = or(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 553:121] + node _T_4474 = and(_T_4470, _T_4473) @[el2_lsu_bus_buffer.scala 553:105] + node _T_4475 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4476 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:101] + node _T_4477 = eq(_T_4476, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4478 = and(_T_4475, _T_4477) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4479 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] + node _T_4480 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] + node _T_4481 = or(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 553:121] + node _T_4482 = and(_T_4478, _T_4481) @[el2_lsu_bus_buffer.scala 553:105] + node _T_4483 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4484 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:101] + node _T_4485 = eq(_T_4484, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4486 = and(_T_4483, _T_4485) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4487 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] + node _T_4488 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] + node _T_4489 = or(_T_4487, _T_4488) @[el2_lsu_bus_buffer.scala 553:121] + node _T_4490 = and(_T_4486, _T_4489) @[el2_lsu_bus_buffer.scala 553:105] + node _T_4491 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4492 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:101] + node _T_4493 = eq(_T_4492, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4494 = and(_T_4491, _T_4493) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4495 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] + node _T_4496 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] + node _T_4497 = or(_T_4495, _T_4496) @[el2_lsu_bus_buffer.scala 553:121] + node _T_4498 = and(_T_4494, _T_4497) @[el2_lsu_bus_buffer.scala 553:105] node _T_4499 = mux(_T_4474, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4500 = mux(_T_4482, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4501 = mux(_T_4490, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -5944,30 +5945,30 @@ circuit el2_lsu_bus_buffer : node _T_4505 = or(_T_4504, _T_4502) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4505 @[Mux.scala 27:72] - node _T_4506 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4509 = and(_T_4506, _T_4508) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4510 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 553:120] - node _T_4511 = and(_T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4512 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4513 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4515 = and(_T_4512, _T_4514) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4516 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 553:120] - node _T_4517 = and(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4518 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4519 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4521 = and(_T_4518, _T_4520) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4522 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 553:120] - node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4524 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4525 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4528 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 553:120] - node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 553:105] + node _T_4506 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4509 = and(_T_4506, _T_4508) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4510 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 554:120] + node _T_4511 = and(_T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4512 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4513 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4515 = and(_T_4512, _T_4514) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4516 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 554:120] + node _T_4517 = and(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4518 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4519 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4521 = and(_T_4518, _T_4520) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4522 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 554:120] + node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4524 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4525 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4528 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 554:120] + node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 554:105] node _T_4530 = mux(_T_4511, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4531 = mux(_T_4517, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4532 = mux(_T_4523, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6041,36 +6042,36 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4591 @[Mux.scala 27:72] node _T_4592 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4593 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 558:121] - node lsu_nonblock_data_unalgn = dshr(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 558:92] - node _T_4594 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:69] - node _T_4595 = and(lsu_nonblock_load_data_ready, _T_4594) @[el2_lsu_bus_buffer.scala 559:67] - io.lsu_nonblock_load_data_valid <= _T_4595 @[el2_lsu_bus_buffer.scala 559:35] - node _T_4596 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:81] - node _T_4597 = and(lsu_nonblock_unsign, _T_4596) @[el2_lsu_bus_buffer.scala 560:63] - node _T_4598 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 560:131] + node _T_4593 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 559:121] + node lsu_nonblock_data_unalgn = dshr(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4594 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:69] + node _T_4595 = and(lsu_nonblock_load_data_ready, _T_4594) @[el2_lsu_bus_buffer.scala 560:67] + io.lsu_nonblock_load_data_valid <= _T_4595 @[el2_lsu_bus_buffer.scala 560:35] + node _T_4596 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:81] + node _T_4597 = and(lsu_nonblock_unsign, _T_4596) @[el2_lsu_bus_buffer.scala 561:63] + node _T_4598 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 561:131] node _T_4599 = cat(UInt<24>("h00"), _T_4598) @[Cat.scala 29:58] - node _T_4600 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 561:45] - node _T_4601 = and(lsu_nonblock_unsign, _T_4600) @[el2_lsu_bus_buffer.scala 561:26] - node _T_4602 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 561:95] + node _T_4600 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 562:45] + node _T_4601 = and(lsu_nonblock_unsign, _T_4600) @[el2_lsu_bus_buffer.scala 562:26] + node _T_4602 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 562:95] node _T_4603 = cat(UInt<16>("h00"), _T_4602) @[Cat.scala 29:58] - node _T_4604 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:6] - node _T_4605 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:45] - node _T_4606 = and(_T_4604, _T_4605) @[el2_lsu_bus_buffer.scala 562:27] - node _T_4607 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 562:93] + node _T_4604 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:6] + node _T_4605 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:45] + node _T_4606 = and(_T_4604, _T_4605) @[el2_lsu_bus_buffer.scala 563:27] + node _T_4607 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 563:93] node _T_4608 = bits(_T_4607, 0, 0) @[Bitwise.scala 72:15] node _T_4609 = mux(_T_4608, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4610 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 562:123] + node _T_4610 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 563:123] node _T_4611 = cat(_T_4609, _T_4610) @[Cat.scala 29:58] - node _T_4612 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:6] - node _T_4613 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 563:45] - node _T_4614 = and(_T_4612, _T_4613) @[el2_lsu_bus_buffer.scala 563:27] - node _T_4615 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 563:93] + node _T_4612 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:6] + node _T_4613 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 564:45] + node _T_4614 = and(_T_4612, _T_4613) @[el2_lsu_bus_buffer.scala 564:27] + node _T_4615 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 564:93] node _T_4616 = bits(_T_4615, 0, 0) @[Bitwise.scala 72:15] node _T_4617 = mux(_T_4616, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4618 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 563:124] + node _T_4618 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 564:124] node _T_4619 = cat(_T_4617, _T_4618) @[Cat.scala 29:58] - node _T_4620 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 564:21] + node _T_4620 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 565:21] node _T_4621 = mux(_T_4597, _T_4599, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4622 = mux(_T_4601, _T_4603, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4623 = mux(_T_4606, _T_4611, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6082,19 +6083,19 @@ circuit el2_lsu_bus_buffer : node _T_4629 = or(_T_4628, _T_4625) @[Mux.scala 27:72] wire _T_4630 : UInt<64> @[Mux.scala 27:72] _T_4630 <= _T_4629 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4630 @[el2_lsu_bus_buffer.scala 560:29] - node _T_4631 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 565:68] - node _T_4632 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 565:95] - node _T_4633 = and(_T_4632, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 565:99] - node _T_4634 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 565:68] - node _T_4635 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 565:95] - node _T_4636 = and(_T_4635, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 565:99] - node _T_4637 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 565:68] - node _T_4638 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 565:95] - node _T_4639 = and(_T_4638, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 565:99] - node _T_4640 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 565:68] - node _T_4641 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 565:95] - node _T_4642 = and(_T_4641, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 565:99] + io.lsu_nonblock_load_data <= _T_4630 @[el2_lsu_bus_buffer.scala 561:29] + node _T_4631 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] + node _T_4632 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4633 = and(_T_4632, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] + node _T_4634 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] + node _T_4635 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4636 = and(_T_4635, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] + node _T_4637 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] + node _T_4638 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4639 = and(_T_4638, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] + node _T_4640 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] + node _T_4641 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4642 = and(_T_4641, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] node _T_4643 = mux(_T_4631, _T_4633, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4644 = mux(_T_4634, _T_4636, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4645 = mux(_T_4637, _T_4639, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6104,55 +6105,55 @@ circuit el2_lsu_bus_buffer : node _T_4649 = or(_T_4648, _T_4646) @[Mux.scala 27:72] wire _T_4650 : UInt<1> @[Mux.scala 27:72] _T_4650 <= _T_4649 @[Mux.scala 27:72] - bus_sideeffect_pend <= _T_4650 @[el2_lsu_bus_buffer.scala 565:23] - node _T_4651 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:71] - node _T_4652 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 567:25] - node _T_4653 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 567:50] - node _T_4654 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 567:70] - node _T_4655 = eq(_T_4653, _T_4654) @[el2_lsu_bus_buffer.scala 567:56] - node _T_4656 = and(_T_4652, _T_4655) @[el2_lsu_bus_buffer.scala 567:38] - node _T_4657 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:92] - node _T_4658 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:126] - node _T_4659 = and(obuf_merge, _T_4658) @[el2_lsu_bus_buffer.scala 567:114] - node _T_4660 = or(_T_4657, _T_4659) @[el2_lsu_bus_buffer.scala 567:100] - node _T_4661 = eq(_T_4660, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4662 = and(_T_4656, _T_4661) @[el2_lsu_bus_buffer.scala 567:78] - node _T_4663 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:71] - node _T_4664 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 567:25] - node _T_4665 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 567:50] - node _T_4666 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 567:70] - node _T_4667 = eq(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 567:56] - node _T_4668 = and(_T_4664, _T_4667) @[el2_lsu_bus_buffer.scala 567:38] - node _T_4669 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 567:92] - node _T_4670 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 567:126] - node _T_4671 = and(obuf_merge, _T_4670) @[el2_lsu_bus_buffer.scala 567:114] - node _T_4672 = or(_T_4669, _T_4671) @[el2_lsu_bus_buffer.scala 567:100] - node _T_4673 = eq(_T_4672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4674 = and(_T_4668, _T_4673) @[el2_lsu_bus_buffer.scala 567:78] - node _T_4675 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:71] - node _T_4676 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 567:25] - node _T_4677 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 567:50] - node _T_4678 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 567:70] - node _T_4679 = eq(_T_4677, _T_4678) @[el2_lsu_bus_buffer.scala 567:56] - node _T_4680 = and(_T_4676, _T_4679) @[el2_lsu_bus_buffer.scala 567:38] - node _T_4681 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 567:92] - node _T_4682 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 567:126] - node _T_4683 = and(obuf_merge, _T_4682) @[el2_lsu_bus_buffer.scala 567:114] - node _T_4684 = or(_T_4681, _T_4683) @[el2_lsu_bus_buffer.scala 567:100] - node _T_4685 = eq(_T_4684, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4686 = and(_T_4680, _T_4685) @[el2_lsu_bus_buffer.scala 567:78] - node _T_4687 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:71] - node _T_4688 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 567:25] - node _T_4689 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 567:50] - node _T_4690 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 567:70] - node _T_4691 = eq(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 567:56] - node _T_4692 = and(_T_4688, _T_4691) @[el2_lsu_bus_buffer.scala 567:38] - node _T_4693 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 567:92] - node _T_4694 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 567:126] - node _T_4695 = and(obuf_merge, _T_4694) @[el2_lsu_bus_buffer.scala 567:114] - node _T_4696 = or(_T_4693, _T_4695) @[el2_lsu_bus_buffer.scala 567:100] - node _T_4697 = eq(_T_4696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4698 = and(_T_4692, _T_4697) @[el2_lsu_bus_buffer.scala 567:78] + bus_sideeffect_pend <= _T_4650 @[el2_lsu_bus_buffer.scala 566:23] + node _T_4651 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] + node _T_4652 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] + node _T_4653 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] + node _T_4654 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] + node _T_4655 = eq(_T_4653, _T_4654) @[el2_lsu_bus_buffer.scala 568:56] + node _T_4656 = and(_T_4652, _T_4655) @[el2_lsu_bus_buffer.scala 568:38] + node _T_4657 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4658 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:126] + node _T_4659 = and(obuf_merge, _T_4658) @[el2_lsu_bus_buffer.scala 568:114] + node _T_4660 = or(_T_4657, _T_4659) @[el2_lsu_bus_buffer.scala 568:100] + node _T_4661 = eq(_T_4660, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] + node _T_4662 = and(_T_4656, _T_4661) @[el2_lsu_bus_buffer.scala 568:78] + node _T_4663 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] + node _T_4664 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] + node _T_4665 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] + node _T_4666 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] + node _T_4667 = eq(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 568:56] + node _T_4668 = and(_T_4664, _T_4667) @[el2_lsu_bus_buffer.scala 568:38] + node _T_4669 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4670 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:126] + node _T_4671 = and(obuf_merge, _T_4670) @[el2_lsu_bus_buffer.scala 568:114] + node _T_4672 = or(_T_4669, _T_4671) @[el2_lsu_bus_buffer.scala 568:100] + node _T_4673 = eq(_T_4672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] + node _T_4674 = and(_T_4668, _T_4673) @[el2_lsu_bus_buffer.scala 568:78] + node _T_4675 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] + node _T_4676 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] + node _T_4677 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] + node _T_4678 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] + node _T_4679 = eq(_T_4677, _T_4678) @[el2_lsu_bus_buffer.scala 568:56] + node _T_4680 = and(_T_4676, _T_4679) @[el2_lsu_bus_buffer.scala 568:38] + node _T_4681 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4682 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:126] + node _T_4683 = and(obuf_merge, _T_4682) @[el2_lsu_bus_buffer.scala 568:114] + node _T_4684 = or(_T_4681, _T_4683) @[el2_lsu_bus_buffer.scala 568:100] + node _T_4685 = eq(_T_4684, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] + node _T_4686 = and(_T_4680, _T_4685) @[el2_lsu_bus_buffer.scala 568:78] + node _T_4687 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] + node _T_4688 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] + node _T_4689 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] + node _T_4690 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] + node _T_4691 = eq(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 568:56] + node _T_4692 = and(_T_4688, _T_4691) @[el2_lsu_bus_buffer.scala 568:38] + node _T_4693 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4694 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:126] + node _T_4695 = and(obuf_merge, _T_4694) @[el2_lsu_bus_buffer.scala 568:114] + node _T_4696 = or(_T_4693, _T_4695) @[el2_lsu_bus_buffer.scala 568:100] + node _T_4697 = eq(_T_4696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] + node _T_4698 = and(_T_4692, _T_4697) @[el2_lsu_bus_buffer.scala 568:78] node _T_4699 = mux(_T_4651, _T_4662, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4700 = mux(_T_4663, _T_4674, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4701 = mux(_T_4675, _T_4686, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6162,117 +6163,117 @@ circuit el2_lsu_bus_buffer : node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72] wire _T_4706 : UInt<1> @[Mux.scala 27:72] _T_4706 <= _T_4705 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4706 @[el2_lsu_bus_buffer.scala 566:26] - node _T_4707 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 569:54] - node _T_4708 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 569:75] - node _T_4709 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 569:150] - node _T_4710 = mux(_T_4707, _T_4708, _T_4709) @[el2_lsu_bus_buffer.scala 569:39] - node _T_4711 = mux(obuf_write, _T_4710, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 569:23] - bus_cmd_ready <= _T_4711 @[el2_lsu_bus_buffer.scala 569:17] - node _T_4712 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:39] - bus_wcmd_sent <= _T_4712 @[el2_lsu_bus_buffer.scala 570:17] - node _T_4713 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 571:39] - bus_wdata_sent <= _T_4713 @[el2_lsu_bus_buffer.scala 571:18] - node _T_4714 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 572:35] - node _T_4715 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 572:70] - node _T_4716 = and(_T_4714, _T_4715) @[el2_lsu_bus_buffer.scala 572:52] - node _T_4717 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 572:111] - node _T_4718 = or(_T_4716, _T_4717) @[el2_lsu_bus_buffer.scala 572:89] - bus_cmd_sent <= _T_4718 @[el2_lsu_bus_buffer.scala 572:16] - node _T_4719 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 573:37] - bus_rsp_read <= _T_4719 @[el2_lsu_bus_buffer.scala 573:16] - node _T_4720 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 574:38] - bus_rsp_write <= _T_4720 @[el2_lsu_bus_buffer.scala 574:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 575:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 576:21] - node _T_4721 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:60] - node _T_4722 = and(bus_rsp_write, _T_4721) @[el2_lsu_bus_buffer.scala 577:40] - bus_rsp_write_error <= _T_4722 @[el2_lsu_bus_buffer.scala 577:23] - node _T_4723 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:58] - node _T_4724 = and(bus_rsp_read, _T_4723) @[el2_lsu_bus_buffer.scala 578:38] - bus_rsp_read_error <= _T_4724 @[el2_lsu_bus_buffer.scala 578:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 579:17] - node _T_4725 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 582:36] - node _T_4726 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:51] - node _T_4727 = and(_T_4725, _T_4726) @[el2_lsu_bus_buffer.scala 582:49] - node _T_4728 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:68] - node _T_4729 = and(_T_4727, _T_4728) @[el2_lsu_bus_buffer.scala 582:66] - io.lsu_axi_awvalid <= _T_4729 @[el2_lsu_bus_buffer.scala 582:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 583:19] - node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 584:69] + bus_addr_match_pending <= _T_4706 @[el2_lsu_bus_buffer.scala 567:26] + node _T_4707 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 570:54] + node _T_4708 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:75] + node _T_4709 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:150] + node _T_4710 = mux(_T_4707, _T_4708, _T_4709) @[el2_lsu_bus_buffer.scala 570:39] + node _T_4711 = mux(obuf_write, _T_4710, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 570:23] + bus_cmd_ready <= _T_4711 @[el2_lsu_bus_buffer.scala 570:17] + node _T_4712 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:39] + bus_wcmd_sent <= _T_4712 @[el2_lsu_bus_buffer.scala 571:17] + node _T_4713 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 572:39] + bus_wdata_sent <= _T_4713 @[el2_lsu_bus_buffer.scala 572:18] + node _T_4714 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 573:35] + node _T_4715 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 573:70] + node _T_4716 = and(_T_4714, _T_4715) @[el2_lsu_bus_buffer.scala 573:52] + node _T_4717 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 573:111] + node _T_4718 = or(_T_4716, _T_4717) @[el2_lsu_bus_buffer.scala 573:89] + bus_cmd_sent <= _T_4718 @[el2_lsu_bus_buffer.scala 573:16] + node _T_4719 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 574:37] + bus_rsp_read <= _T_4719 @[el2_lsu_bus_buffer.scala 574:16] + node _T_4720 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 575:38] + bus_rsp_write <= _T_4720 @[el2_lsu_bus_buffer.scala 575:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 576:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 577:21] + node _T_4721 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:60] + node _T_4722 = and(bus_rsp_write, _T_4721) @[el2_lsu_bus_buffer.scala 578:40] + bus_rsp_write_error <= _T_4722 @[el2_lsu_bus_buffer.scala 578:23] + node _T_4723 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:58] + node _T_4724 = and(bus_rsp_read, _T_4723) @[el2_lsu_bus_buffer.scala 579:38] + bus_rsp_read_error <= _T_4724 @[el2_lsu_bus_buffer.scala 579:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 580:17] + node _T_4725 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 583:36] + node _T_4726 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:51] + node _T_4727 = and(_T_4725, _T_4726) @[el2_lsu_bus_buffer.scala 583:49] + node _T_4728 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:68] + node _T_4729 = and(_T_4727, _T_4728) @[el2_lsu_bus_buffer.scala 583:66] + io.lsu_axi_awvalid <= _T_4729 @[el2_lsu_bus_buffer.scala 583:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 584:19] + node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 585:69] node _T_4731 = cat(_T_4730, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4732 = mux(obuf_sideeffect, obuf_addr, _T_4731) @[el2_lsu_bus_buffer.scala 584:27] - io.lsu_axi_awaddr <= _T_4732 @[el2_lsu_bus_buffer.scala 584:21] + node _T_4732 = mux(obuf_sideeffect, obuf_addr, _T_4731) @[el2_lsu_bus_buffer.scala 585:27] + io.lsu_axi_awaddr <= _T_4732 @[el2_lsu_bus_buffer.scala 585:21] node _T_4733 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4734 = mux(obuf_sideeffect, _T_4733, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:27] - io.lsu_axi_awsize <= _T_4734 @[el2_lsu_bus_buffer.scala 585:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 586:21] - node _T_4735 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 587:28] - io.lsu_axi_awcache <= _T_4735 @[el2_lsu_bus_buffer.scala 587:22] - node _T_4736 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 588:35] - io.lsu_axi_awregion <= _T_4736 @[el2_lsu_bus_buffer.scala 588:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 589:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 590:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 591:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 592:21] - node _T_4737 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 594:35] - node _T_4738 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:50] - node _T_4739 = and(_T_4737, _T_4738) @[el2_lsu_bus_buffer.scala 594:48] - node _T_4740 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:68] - node _T_4741 = and(_T_4739, _T_4740) @[el2_lsu_bus_buffer.scala 594:66] - io.lsu_axi_wvalid <= _T_4741 @[el2_lsu_bus_buffer.scala 594:21] + node _T_4734 = mux(obuf_sideeffect, _T_4733, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 586:27] + io.lsu_axi_awsize <= _T_4734 @[el2_lsu_bus_buffer.scala 586:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 587:21] + node _T_4735 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 588:28] + io.lsu_axi_awcache <= _T_4735 @[el2_lsu_bus_buffer.scala 588:22] + node _T_4736 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 589:35] + io.lsu_axi_awregion <= _T_4736 @[el2_lsu_bus_buffer.scala 589:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 590:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 591:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 592:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:21] + node _T_4737 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 595:35] + node _T_4738 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:50] + node _T_4739 = and(_T_4737, _T_4738) @[el2_lsu_bus_buffer.scala 595:48] + node _T_4740 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:68] + node _T_4741 = and(_T_4739, _T_4740) @[el2_lsu_bus_buffer.scala 595:66] + io.lsu_axi_wvalid <= _T_4741 @[el2_lsu_bus_buffer.scala 595:21] node _T_4742 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4743 = mux(_T_4742, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4744 = and(obuf_byteen, _T_4743) @[el2_lsu_bus_buffer.scala 595:35] - io.lsu_axi_wstrb <= _T_4744 @[el2_lsu_bus_buffer.scala 595:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 596:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 597:20] - node _T_4745 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:38] - node _T_4746 = and(obuf_valid, _T_4745) @[el2_lsu_bus_buffer.scala 599:36] - node _T_4747 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:52] - node _T_4748 = and(_T_4746, _T_4747) @[el2_lsu_bus_buffer.scala 599:50] - node _T_4749 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:67] - node _T_4750 = and(_T_4748, _T_4749) @[el2_lsu_bus_buffer.scala 599:65] - io.lsu_axi_arvalid <= _T_4750 @[el2_lsu_bus_buffer.scala 599:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 600:19] - node _T_4751 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 601:69] + node _T_4744 = and(obuf_byteen, _T_4743) @[el2_lsu_bus_buffer.scala 596:35] + io.lsu_axi_wstrb <= _T_4744 @[el2_lsu_bus_buffer.scala 596:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 597:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 598:20] + node _T_4745 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:38] + node _T_4746 = and(obuf_valid, _T_4745) @[el2_lsu_bus_buffer.scala 600:36] + node _T_4747 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:52] + node _T_4748 = and(_T_4746, _T_4747) @[el2_lsu_bus_buffer.scala 600:50] + node _T_4749 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:67] + node _T_4750 = and(_T_4748, _T_4749) @[el2_lsu_bus_buffer.scala 600:65] + io.lsu_axi_arvalid <= _T_4750 @[el2_lsu_bus_buffer.scala 600:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 601:19] + node _T_4751 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 602:69] node _T_4752 = cat(_T_4751, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4753 = mux(obuf_sideeffect, obuf_addr, _T_4752) @[el2_lsu_bus_buffer.scala 601:27] - io.lsu_axi_araddr <= _T_4753 @[el2_lsu_bus_buffer.scala 601:21] + node _T_4753 = mux(obuf_sideeffect, obuf_addr, _T_4752) @[el2_lsu_bus_buffer.scala 602:27] + io.lsu_axi_araddr <= _T_4753 @[el2_lsu_bus_buffer.scala 602:21] node _T_4754 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4755 = mux(obuf_sideeffect, _T_4754, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 602:27] - io.lsu_axi_arsize <= _T_4755 @[el2_lsu_bus_buffer.scala 602:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 603:21] - node _T_4756 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 604:28] - io.lsu_axi_arcache <= _T_4756 @[el2_lsu_bus_buffer.scala 604:22] - node _T_4757 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 605:35] - io.lsu_axi_arregion <= _T_4757 @[el2_lsu_bus_buffer.scala 605:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 606:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 607:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 609:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 610:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 611:21] - node _T_4758 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 612:81] - node _T_4759 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 612:125] - node _T_4760 = and(io.lsu_bus_clk_en_q, _T_4759) @[el2_lsu_bus_buffer.scala 612:114] - node _T_4761 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 612:140] - node _T_4762 = and(_T_4760, _T_4761) @[el2_lsu_bus_buffer.scala 612:129] - node _T_4763 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 612:81] - node _T_4764 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 612:125] - node _T_4765 = and(io.lsu_bus_clk_en_q, _T_4764) @[el2_lsu_bus_buffer.scala 612:114] - node _T_4766 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 612:140] - node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 612:129] - node _T_4768 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 612:81] - node _T_4769 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 612:125] - node _T_4770 = and(io.lsu_bus_clk_en_q, _T_4769) @[el2_lsu_bus_buffer.scala 612:114] - node _T_4771 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 612:140] - node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 612:129] - node _T_4773 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 612:81] - node _T_4774 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 612:125] - node _T_4775 = and(io.lsu_bus_clk_en_q, _T_4774) @[el2_lsu_bus_buffer.scala 612:114] - node _T_4776 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 612:140] - node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 612:129] + node _T_4755 = mux(obuf_sideeffect, _T_4754, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:27] + io.lsu_axi_arsize <= _T_4755 @[el2_lsu_bus_buffer.scala 603:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 604:21] + node _T_4756 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 605:28] + io.lsu_axi_arcache <= _T_4756 @[el2_lsu_bus_buffer.scala 605:22] + node _T_4757 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 606:35] + io.lsu_axi_arregion <= _T_4757 @[el2_lsu_bus_buffer.scala 606:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 607:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 608:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 609:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 611:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 612:21] + node _T_4758 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] + node _T_4759 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 613:125] + node _T_4760 = and(io.lsu_bus_clk_en_q, _T_4759) @[el2_lsu_bus_buffer.scala 613:114] + node _T_4761 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 613:140] + node _T_4762 = and(_T_4760, _T_4761) @[el2_lsu_bus_buffer.scala 613:129] + node _T_4763 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] + node _T_4764 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 613:125] + node _T_4765 = and(io.lsu_bus_clk_en_q, _T_4764) @[el2_lsu_bus_buffer.scala 613:114] + node _T_4766 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 613:140] + node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 613:129] + node _T_4768 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] + node _T_4769 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 613:125] + node _T_4770 = and(io.lsu_bus_clk_en_q, _T_4769) @[el2_lsu_bus_buffer.scala 613:114] + node _T_4771 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 613:140] + node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 613:129] + node _T_4773 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] + node _T_4774 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 613:125] + node _T_4775 = and(io.lsu_bus_clk_en_q, _T_4774) @[el2_lsu_bus_buffer.scala 613:114] + node _T_4776 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 613:140] + node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 613:129] node _T_4778 = mux(_T_4758, _T_4762, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4779 = mux(_T_4763, _T_4767, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4780 = mux(_T_4768, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6282,25 +6283,25 @@ circuit el2_lsu_bus_buffer : node _T_4784 = or(_T_4783, _T_4781) @[Mux.scala 27:72] wire _T_4785 : UInt<1> @[Mux.scala 27:72] _T_4785 <= _T_4784 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4785 @[el2_lsu_bus_buffer.scala 612:36] - node _T_4786 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:87] - node _T_4787 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 613:109] - node _T_4788 = and(_T_4786, _T_4787) @[el2_lsu_bus_buffer.scala 613:98] - node _T_4789 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 613:124] - node _T_4790 = and(_T_4788, _T_4789) @[el2_lsu_bus_buffer.scala 613:113] - node _T_4791 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:87] - node _T_4792 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 613:109] - node _T_4793 = and(_T_4791, _T_4792) @[el2_lsu_bus_buffer.scala 613:98] - node _T_4794 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 613:124] - node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 613:113] + io.lsu_imprecise_error_store_any <= _T_4785 @[el2_lsu_bus_buffer.scala 613:36] + node _T_4786 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] + node _T_4787 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 614:109] + node _T_4788 = and(_T_4786, _T_4787) @[el2_lsu_bus_buffer.scala 614:98] + node _T_4789 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 614:124] + node _T_4790 = and(_T_4788, _T_4789) @[el2_lsu_bus_buffer.scala 614:113] + node _T_4791 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] + node _T_4792 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 614:109] + node _T_4793 = and(_T_4791, _T_4792) @[el2_lsu_bus_buffer.scala 614:98] + node _T_4794 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 614:124] + node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 614:113] node _T_4796 = mux(_T_4790, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4797 = mux(_T_4795, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4798 = or(_T_4796, _T_4797) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4798 @[Mux.scala 27:72] - node _T_4799 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:72] - node _T_4800 = and(io.lsu_nonblock_load_data_error, _T_4799) @[el2_lsu_bus_buffer.scala 615:70] - io.lsu_imprecise_error_load_any <= _T_4800 @[el2_lsu_bus_buffer.scala 615:35] + node _T_4799 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:72] + node _T_4800 = and(io.lsu_nonblock_load_data_error, _T_4799) @[el2_lsu_bus_buffer.scala 616:70] + io.lsu_imprecise_error_load_any <= _T_4800 @[el2_lsu_bus_buffer.scala 616:35] node _T_4801 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] node _T_4802 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] node _T_4803 = mux(_T_4801, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6321,44 +6322,44 @@ circuit el2_lsu_bus_buffer : node _T_4817 = or(_T_4816, _T_4814) @[Mux.scala 27:72] wire _T_4818 : UInt<32> @[Mux.scala 27:72] _T_4818 <= _T_4817 @[Mux.scala 27:72] - node _T_4819 = mux(io.lsu_imprecise_error_store_any, _T_4806, _T_4818) @[el2_lsu_bus_buffer.scala 616:41] - io.lsu_imprecise_error_addr_any <= _T_4819 @[el2_lsu_bus_buffer.scala 616:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 617:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 619:23] - node _T_4820 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 622:46] - node _T_4821 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 622:89] - node _T_4822 = or(_T_4820, _T_4821) @[el2_lsu_bus_buffer.scala 622:68] - node _T_4823 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 622:132] - node _T_4824 = or(_T_4822, _T_4823) @[el2_lsu_bus_buffer.scala 622:110] - io.lsu_pmu_bus_trxn <= _T_4824 @[el2_lsu_bus_buffer.scala 622:23] - node _T_4825 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 623:48] - node _T_4826 = and(_T_4825, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 623:65] - io.lsu_pmu_bus_misaligned <= _T_4826 @[el2_lsu_bus_buffer.scala 623:29] - node _T_4827 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 624:59] - io.lsu_pmu_bus_error <= _T_4827 @[el2_lsu_bus_buffer.scala 624:24] - node _T_4828 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 626:48] - node _T_4829 = and(io.lsu_axi_awvalid, _T_4828) @[el2_lsu_bus_buffer.scala 626:46] - node _T_4830 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 626:92] - node _T_4831 = and(io.lsu_axi_wvalid, _T_4830) @[el2_lsu_bus_buffer.scala 626:90] - node _T_4832 = or(_T_4829, _T_4831) @[el2_lsu_bus_buffer.scala 626:69] - node _T_4833 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 626:136] - node _T_4834 = and(io.lsu_axi_arvalid, _T_4833) @[el2_lsu_bus_buffer.scala 626:134] - node _T_4835 = or(_T_4832, _T_4834) @[el2_lsu_bus_buffer.scala 626:112] - io.lsu_pmu_bus_busy <= _T_4835 @[el2_lsu_bus_buffer.scala 626:23] - reg _T_4836 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 628:49] - _T_4836 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 628:49] - WrPtr0_r <= _T_4836 @[el2_lsu_bus_buffer.scala 628:12] - reg _T_4837 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 629:49] - _T_4837 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 629:49] - WrPtr1_r <= _T_4837 @[el2_lsu_bus_buffer.scala 629:12] - node _T_4838 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:75] - node _T_4839 = and(io.lsu_busreq_m, _T_4838) @[el2_lsu_bus_buffer.scala 630:73] - node _T_4840 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:89] - node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 630:87] - reg _T_4842 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:56] - _T_4842 <= _T_4841 @[el2_lsu_bus_buffer.scala 630:56] - io.lsu_busreq_r <= _T_4842 @[el2_lsu_bus_buffer.scala 630:19] - reg _T_4843 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:66] - _T_4843 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 631:66] - lsu_nonblock_load_valid_r <= _T_4843 @[el2_lsu_bus_buffer.scala 631:29] + node _T_4819 = mux(io.lsu_imprecise_error_store_any, _T_4806, _T_4818) @[el2_lsu_bus_buffer.scala 617:41] + io.lsu_imprecise_error_addr_any <= _T_4819 @[el2_lsu_bus_buffer.scala 617:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 618:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 620:23] + node _T_4820 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 623:46] + node _T_4821 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 623:89] + node _T_4822 = or(_T_4820, _T_4821) @[el2_lsu_bus_buffer.scala 623:68] + node _T_4823 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 623:132] + node _T_4824 = or(_T_4822, _T_4823) @[el2_lsu_bus_buffer.scala 623:110] + io.lsu_pmu_bus_trxn <= _T_4824 @[el2_lsu_bus_buffer.scala 623:23] + node _T_4825 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 624:48] + node _T_4826 = and(_T_4825, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 624:65] + io.lsu_pmu_bus_misaligned <= _T_4826 @[el2_lsu_bus_buffer.scala 624:29] + node _T_4827 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 625:59] + io.lsu_pmu_bus_error <= _T_4827 @[el2_lsu_bus_buffer.scala 625:24] + node _T_4828 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:48] + node _T_4829 = and(io.lsu_axi_awvalid, _T_4828) @[el2_lsu_bus_buffer.scala 627:46] + node _T_4830 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:92] + node _T_4831 = and(io.lsu_axi_wvalid, _T_4830) @[el2_lsu_bus_buffer.scala 627:90] + node _T_4832 = or(_T_4829, _T_4831) @[el2_lsu_bus_buffer.scala 627:69] + node _T_4833 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:136] + node _T_4834 = and(io.lsu_axi_arvalid, _T_4833) @[el2_lsu_bus_buffer.scala 627:134] + node _T_4835 = or(_T_4832, _T_4834) @[el2_lsu_bus_buffer.scala 627:112] + io.lsu_pmu_bus_busy <= _T_4835 @[el2_lsu_bus_buffer.scala 627:23] + reg _T_4836 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 629:49] + _T_4836 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 629:49] + WrPtr0_r <= _T_4836 @[el2_lsu_bus_buffer.scala 629:12] + reg _T_4837 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:49] + _T_4837 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 630:49] + WrPtr1_r <= _T_4837 @[el2_lsu_bus_buffer.scala 630:12] + node _T_4838 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:75] + node _T_4839 = and(io.lsu_busreq_m, _T_4838) @[el2_lsu_bus_buffer.scala 631:73] + node _T_4840 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:89] + node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 631:87] + reg _T_4842 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:56] + _T_4842 <= _T_4841 @[el2_lsu_bus_buffer.scala 631:56] + io.lsu_busreq_r <= _T_4842 @[el2_lsu_bus_buffer.scala 631:19] + reg _T_4843 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 632:66] + _T_4843 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 632:66] + lsu_nonblock_load_valid_r <= _T_4843 @[el2_lsu_bus_buffer.scala 632:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index f077c3ed..85f6fb85 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -140,7 +140,8 @@ module el2_lsu_bus_buffer( output [3:0] io_lsu_axi_arcache, output [2:0] io_lsu_axi_arprot, output [3:0] io_lsu_axi_arqos, - output io_lsu_axi_rready + output io_lsu_axi_rready, + output [1:0] io_test ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -348,97 +349,97 @@ module el2_lsu_bus_buffer( wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] reg [2:0] _T_4237; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4237}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 531:16] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4237}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] reg [2:0] _T_4235; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4235}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 531:16] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4235}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] reg [2:0] _T_4233; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4233}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 531:16] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4233}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] reg [2:0] _T_4231; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4231}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 531:16] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4231}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 515:60] - wire _T_2472 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 428:94] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 516:60] + wire _T_2472 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] wire _T_3957 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_3980 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_3984 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1772; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1772}; // @[el2_lsu_bus_buffer.scala 381:13] - wire _T_3991 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 470:48] + wire _T_3991 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 470:104] - wire _T_3992 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 470:104] - wire _T_3993 = obuf_merge & _T_3992; // @[el2_lsu_bus_buffer.scala 470:91] - wire _T_3994 = _T_3991 | _T_3993; // @[el2_lsu_bus_buffer.scala 470:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3992 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3993 = obuf_merge & _T_3992; // @[el2_lsu_bus_buffer.scala 471:91] + wire _T_3994 = _T_3991 | _T_3993; // @[el2_lsu_bus_buffer.scala 471:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 375:51] - wire _T_3995 = _T_3994 & obuf_valid; // @[el2_lsu_bus_buffer.scala 470:135] + wire _T_3995 = _T_3994 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 374:55] - wire _T_3996 = _T_3995 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 470:148] + wire _T_3996 = _T_3995 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] wire _GEN_280 = _T_3984 & _T_3996; // @[Conditional.scala 39:67] wire _GEN_293 = _T_3980 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_3957 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2473 = _T_2472 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 428:104] - wire _T_2474 = buf_ageQ_3[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2468 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 428:94] + wire _T_2473 = _T_2472 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 429:104] + wire _T_2474 = buf_ageQ_3[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2468 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] wire _T_3764 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3787 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3791 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3798 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 470:48] - wire _T_3799 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 470:104] - wire _T_3800 = obuf_merge & _T_3799; // @[el2_lsu_bus_buffer.scala 470:91] - wire _T_3801 = _T_3798 | _T_3800; // @[el2_lsu_bus_buffer.scala 470:77] - wire _T_3802 = _T_3801 & obuf_valid; // @[el2_lsu_bus_buffer.scala 470:135] - wire _T_3803 = _T_3802 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 470:148] + wire _T_3798 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:48] + wire _T_3799 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3800 = obuf_merge & _T_3799; // @[el2_lsu_bus_buffer.scala 471:91] + wire _T_3801 = _T_3798 | _T_3800; // @[el2_lsu_bus_buffer.scala 471:77] + wire _T_3802 = _T_3801 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] + wire _T_3803 = _T_3802 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] wire _GEN_204 = _T_3791 & _T_3803; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3787 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3764 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2469 = _T_2468 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 428:104] - wire _T_2470 = buf_ageQ_3[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2464 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 428:94] + wire _T_2469 = _T_2468 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 429:104] + wire _T_2470 = buf_ageQ_3[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2464 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] wire _T_3571 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3594 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3598 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3605 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 470:48] - wire _T_3606 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 470:104] - wire _T_3607 = obuf_merge & _T_3606; // @[el2_lsu_bus_buffer.scala 470:91] - wire _T_3608 = _T_3605 | _T_3607; // @[el2_lsu_bus_buffer.scala 470:77] - wire _T_3609 = _T_3608 & obuf_valid; // @[el2_lsu_bus_buffer.scala 470:135] - wire _T_3610 = _T_3609 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 470:148] + wire _T_3605 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:48] + wire _T_3606 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3607 = obuf_merge & _T_3606; // @[el2_lsu_bus_buffer.scala 471:91] + wire _T_3608 = _T_3605 | _T_3607; // @[el2_lsu_bus_buffer.scala 471:77] + wire _T_3609 = _T_3608 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] + wire _T_3610 = _T_3609 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] wire _GEN_128 = _T_3598 & _T_3610; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3594 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3571 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2465 = _T_2464 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 428:104] - wire _T_2466 = buf_ageQ_3[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2460 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 428:94] + wire _T_2465 = _T_2464 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 429:104] + wire _T_2466 = buf_ageQ_3[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2460 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] wire _T_3378 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3401 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3405 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3412 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 470:48] - wire _T_3413 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 470:104] - wire _T_3414 = obuf_merge & _T_3413; // @[el2_lsu_bus_buffer.scala 470:91] - wire _T_3415 = _T_3412 | _T_3414; // @[el2_lsu_bus_buffer.scala 470:77] - wire _T_3416 = _T_3415 & obuf_valid; // @[el2_lsu_bus_buffer.scala 470:135] - wire _T_3417 = _T_3416 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 470:148] + wire _T_3412 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:48] + wire _T_3413 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3414 = obuf_merge & _T_3413; // @[el2_lsu_bus_buffer.scala 471:91] + wire _T_3415 = _T_3412 | _T_3414; // @[el2_lsu_bus_buffer.scala 471:77] + wire _T_3416 = _T_3415 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] + wire _T_3417 = _T_3416 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] wire _GEN_52 = _T_3405 & _T_3417; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3401 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3378 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2461 = _T_2460 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 428:104] - wire _T_2462 = buf_ageQ_3[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 428:78] + wire _T_2461 = _T_2460 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 429:104] + wire _T_2462 = buf_ageQ_3[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 429:78] wire [3:0] buf_age_3 = {_T_2474,_T_2470,_T_2466,_T_2462}; // @[Cat.scala 29:58] - wire _T_2573 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2575 = _T_2573 & _T_19; // @[el2_lsu_bus_buffer.scala 429:106] - wire _T_2567 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2569 = _T_2567 & _T_12; // @[el2_lsu_bus_buffer.scala 429:106] - wire _T_2561 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2563 = _T_2561 & _T_5; // @[el2_lsu_bus_buffer.scala 429:106] + wire _T_2573 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2575 = _T_2573 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2567 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2569 = _T_2567 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2561 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2563 = _T_2561 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2575,_T_2569,_T_2563}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 196:144] @@ -457,54 +458,54 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 515:60] - wire _T_2455 = buf_ageQ_2[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2451 = buf_ageQ_2[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2447 = buf_ageQ_2[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2443 = buf_ageQ_2[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 428:78] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 516:60] + wire _T_2455 = buf_ageQ_2[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2451 = buf_ageQ_2[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2447 = buf_ageQ_2[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2443 = buf_ageQ_2[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 429:78] wire [3:0] buf_age_2 = {_T_2455,_T_2451,_T_2447,_T_2443}; // @[Cat.scala 29:58] - wire _T_2552 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2554 = _T_2552 & _T_26; // @[el2_lsu_bus_buffer.scala 429:106] - wire _T_2540 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2542 = _T_2540 & _T_12; // @[el2_lsu_bus_buffer.scala 429:106] - wire _T_2534 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2536 = _T_2534 & _T_5; // @[el2_lsu_bus_buffer.scala 429:106] + wire _T_2552 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2554 = _T_2552 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2540 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2542 = _T_2540 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2534 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2536 = _T_2534 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] wire [3:0] buf_age_younger_2 = {_T_2554,1'h0,_T_2542,_T_2536}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 515:60] - wire _T_2436 = buf_ageQ_1[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2432 = buf_ageQ_1[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2428 = buf_ageQ_1[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2424 = buf_ageQ_1[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 428:78] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 516:60] + wire _T_2436 = buf_ageQ_1[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2432 = buf_ageQ_1[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2428 = buf_ageQ_1[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2424 = buf_ageQ_1[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 429:78] wire [3:0] buf_age_1 = {_T_2436,_T_2432,_T_2428,_T_2424}; // @[Cat.scala 29:58] - wire _T_2525 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2527 = _T_2525 & _T_26; // @[el2_lsu_bus_buffer.scala 429:106] - wire _T_2519 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2521 = _T_2519 & _T_19; // @[el2_lsu_bus_buffer.scala 429:106] - wire _T_2507 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2509 = _T_2507 & _T_5; // @[el2_lsu_bus_buffer.scala 429:106] + wire _T_2525 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2527 = _T_2525 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2519 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2521 = _T_2519 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2507 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2509 = _T_2507 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] wire [3:0] buf_age_younger_1 = {_T_2527,_T_2521,1'h0,_T_2509}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 515:60] - wire _T_2417 = buf_ageQ_0[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2413 = buf_ageQ_0[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2409 = buf_ageQ_0[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 428:78] - wire _T_2405 = buf_ageQ_0[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 428:78] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 516:60] + wire _T_2417 = buf_ageQ_0[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2413 = buf_ageQ_0[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2409 = buf_ageQ_0[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2405 = buf_ageQ_0[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 429:78] wire [3:0] buf_age_0 = {_T_2417,_T_2413,_T_2409,_T_2405}; // @[Cat.scala 29:58] - wire _T_2498 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2500 = _T_2498 & _T_26; // @[el2_lsu_bus_buffer.scala 429:106] - wire _T_2492 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2494 = _T_2492 & _T_19; // @[el2_lsu_bus_buffer.scala 429:106] - wire _T_2486 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 429:91] - wire _T_2488 = _T_2486 & _T_12; // @[el2_lsu_bus_buffer.scala 429:106] + wire _T_2498 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2500 = _T_2498 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2492 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2494 = _T_2492 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2486 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2488 = _T_2486 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] wire [3:0] buf_age_younger_0 = {_T_2500,_T_2494,_T_2488,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 196:144] @@ -756,22 +757,22 @@ module el2_lsu_bus_buffer( wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4238; // @[el2_lib.scala 478:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4238}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 532:14] + wire [31:0] buf_data_0 = {{28'd0}, _T_4238}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4239; // @[el2_lib.scala 478:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4239}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 532:14] + wire [31:0] buf_data_1 = {{28'd0}, _T_4239}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4240; // @[el2_lib.scala 478:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4240}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 532:14] + wire [31:0] buf_data_2 = {{28'd0}, _T_4240}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4241; // @[el2_lib.scala 478:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4241}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 532:14] + wire [31:0] buf_data_3 = {{28'd0}, _T_4241}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 214:123] @@ -924,8 +925,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 247:32] wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 241:34] wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 241:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 629:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 628:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 630:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 629:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 257:77] @@ -965,41 +966,41 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 536:79] - wire _T_4287 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 536:79] - wire _T_4288 = buf_write[3] & _T_4287; // @[el2_lsu_bus_buffer.scala 536:64] - wire _T_4289 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 536:91] - wire _T_4290 = _T_4288 & _T_4289; // @[el2_lsu_bus_buffer.scala 536:89] - wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 536:79] - wire _T_4281 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 536:79] - wire _T_4282 = buf_write[2] & _T_4281; // @[el2_lsu_bus_buffer.scala 536:64] - wire _T_4283 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 536:91] - wire _T_4284 = _T_4282 & _T_4283; // @[el2_lsu_bus_buffer.scala 536:89] - wire [1:0] _T_4291 = _T_4290 + _T_4284; // @[el2_lsu_bus_buffer.scala 536:142] - wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 536:79] - wire _T_4275 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 536:79] - wire _T_4276 = buf_write[1] & _T_4275; // @[el2_lsu_bus_buffer.scala 536:64] - wire _T_4277 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 536:91] - wire _T_4278 = _T_4276 & _T_4277; // @[el2_lsu_bus_buffer.scala 536:89] - wire [1:0] _GEN_368 = {{1'd0}, _T_4278}; // @[el2_lsu_bus_buffer.scala 536:142] - wire [2:0] _T_4292 = _T_4291 + _GEN_368; // @[el2_lsu_bus_buffer.scala 536:142] - wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 536:79] - wire _T_4269 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 536:79] - wire _T_4270 = buf_write[0] & _T_4269; // @[el2_lsu_bus_buffer.scala 536:64] - wire _T_4271 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 536:91] - wire _T_4272 = _T_4270 & _T_4271; // @[el2_lsu_bus_buffer.scala 536:89] - wire [2:0] _GEN_370 = {{2'd0}, _T_4272}; // @[el2_lsu_bus_buffer.scala 536:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4292 + _GEN_370; // @[el2_lsu_bus_buffer.scala 536:142] + wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4287 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4288 = buf_write[3] & _T_4287; // @[el2_lsu_bus_buffer.scala 537:64] + wire _T_4289 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4290 = _T_4288 & _T_4289; // @[el2_lsu_bus_buffer.scala 537:89] + wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4281 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4282 = buf_write[2] & _T_4281; // @[el2_lsu_bus_buffer.scala 537:64] + wire _T_4283 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4284 = _T_4282 & _T_4283; // @[el2_lsu_bus_buffer.scala 537:89] + wire [1:0] _T_4291 = _T_4290 + _T_4284; // @[el2_lsu_bus_buffer.scala 537:142] + wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4275 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4276 = buf_write[1] & _T_4275; // @[el2_lsu_bus_buffer.scala 537:64] + wire _T_4277 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4278 = _T_4276 & _T_4277; // @[el2_lsu_bus_buffer.scala 537:89] + wire [1:0] _GEN_368 = {{1'd0}, _T_4278}; // @[el2_lsu_bus_buffer.scala 537:142] + wire [2:0] _T_4292 = _T_4291 + _GEN_368; // @[el2_lsu_bus_buffer.scala 537:142] + wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4269 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4270 = buf_write[0] & _T_4269; // @[el2_lsu_bus_buffer.scala 537:64] + wire _T_4271 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4272 = _T_4270 & _T_4271; // @[el2_lsu_bus_buffer.scala 537:89] + wire [2:0] _GEN_370 = {{2'd0}, _T_4272}; // @[el2_lsu_bus_buffer.scala 537:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4292 + _GEN_370; // @[el2_lsu_bus_buffer.scala 537:142] wire _T_941 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4309 = _T_4287 & _T_4289; // @[el2_lsu_bus_buffer.scala 537:73] - wire _T_4305 = _T_4281 & _T_4283; // @[el2_lsu_bus_buffer.scala 537:73] - wire [1:0] _T_4310 = _T_4309 + _T_4305; // @[el2_lsu_bus_buffer.scala 537:126] - wire _T_4301 = _T_4275 & _T_4277; // @[el2_lsu_bus_buffer.scala 537:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4301}; // @[el2_lsu_bus_buffer.scala 537:126] - wire [2:0] _T_4311 = _T_4310 + _GEN_374; // @[el2_lsu_bus_buffer.scala 537:126] - wire _T_4297 = _T_4269 & _T_4271; // @[el2_lsu_bus_buffer.scala 537:73] - wire [2:0] _GEN_376 = {{2'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 537:126] - wire [3:0] buf_numvld_cmd_any = _T_4311 + _GEN_376; // @[el2_lsu_bus_buffer.scala 537:126] + wire _T_4309 = _T_4287 & _T_4289; // @[el2_lsu_bus_buffer.scala 538:73] + wire _T_4305 = _T_4281 & _T_4283; // @[el2_lsu_bus_buffer.scala 538:73] + wire [1:0] _T_4310 = _T_4309 + _T_4305; // @[el2_lsu_bus_buffer.scala 538:126] + wire _T_4301 = _T_4275 & _T_4277; // @[el2_lsu_bus_buffer.scala 538:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4301}; // @[el2_lsu_bus_buffer.scala 538:126] + wire [2:0] _T_4311 = _T_4310 + _GEN_374; // @[el2_lsu_bus_buffer.scala 538:126] + wire _T_4297 = _T_4269 & _T_4271; // @[el2_lsu_bus_buffer.scala 538:73] + wire [2:0] _GEN_376 = {{2'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 538:126] + wire [3:0] buf_numvld_cmd_any = _T_4311 + _GEN_376; // @[el2_lsu_bus_buffer.scala 538:126] wire _T_942 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] wire _T_943 = _T_941 & _T_942; // @[el2_lsu_bus_buffer.scala 293:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] @@ -1026,42 +1027,42 @@ module el2_lsu_bus_buffer( wire _T_982 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] wire _T_983 = _T_981 & _T_982; // @[el2_lsu_bus_buffer.scala 296:79] wire [2:0] _T_985 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4335 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4338 = _T_4335 | _T_4287; // @[el2_lsu_bus_buffer.scala 538:74] - wire _T_4340 = _T_4338 & _T_4289; // @[el2_lsu_bus_buffer.scala 538:100] - wire _T_4328 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4331 = _T_4328 | _T_4281; // @[el2_lsu_bus_buffer.scala 538:74] - wire _T_4333 = _T_4331 & _T_4283; // @[el2_lsu_bus_buffer.scala 538:100] - wire [1:0] _T_4341 = _T_4340 + _T_4333; // @[el2_lsu_bus_buffer.scala 538:153] - wire _T_4321 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4324 = _T_4321 | _T_4275; // @[el2_lsu_bus_buffer.scala 538:74] - wire _T_4326 = _T_4324 & _T_4277; // @[el2_lsu_bus_buffer.scala 538:100] - wire [1:0] _GEN_383 = {{1'd0}, _T_4326}; // @[el2_lsu_bus_buffer.scala 538:153] - wire [2:0] _T_4342 = _T_4341 + _GEN_383; // @[el2_lsu_bus_buffer.scala 538:153] - wire _T_4314 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 538:64] - wire _T_4317 = _T_4314 | _T_4269; // @[el2_lsu_bus_buffer.scala 538:74] - wire _T_4319 = _T_4317 & _T_4271; // @[el2_lsu_bus_buffer.scala 538:100] - wire [2:0] _GEN_386 = {{2'd0}, _T_4319}; // @[el2_lsu_bus_buffer.scala 538:153] - wire [3:0] buf_numvld_pend_any = _T_4342 + _GEN_386; // @[el2_lsu_bus_buffer.scala 538:153] + wire _T_4335 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] + wire _T_4338 = _T_4335 | _T_4287; // @[el2_lsu_bus_buffer.scala 539:74] + wire _T_4340 = _T_4338 & _T_4289; // @[el2_lsu_bus_buffer.scala 539:100] + wire _T_4328 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] + wire _T_4331 = _T_4328 | _T_4281; // @[el2_lsu_bus_buffer.scala 539:74] + wire _T_4333 = _T_4331 & _T_4283; // @[el2_lsu_bus_buffer.scala 539:100] + wire [1:0] _T_4341 = _T_4340 + _T_4333; // @[el2_lsu_bus_buffer.scala 539:153] + wire _T_4321 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] + wire _T_4324 = _T_4321 | _T_4275; // @[el2_lsu_bus_buffer.scala 539:74] + wire _T_4326 = _T_4324 & _T_4277; // @[el2_lsu_bus_buffer.scala 539:100] + wire [1:0] _GEN_383 = {{1'd0}, _T_4326}; // @[el2_lsu_bus_buffer.scala 539:153] + wire [2:0] _T_4342 = _T_4341 + _GEN_383; // @[el2_lsu_bus_buffer.scala 539:153] + wire _T_4314 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] + wire _T_4317 = _T_4314 | _T_4269; // @[el2_lsu_bus_buffer.scala 539:74] + wire _T_4319 = _T_4317 & _T_4271; // @[el2_lsu_bus_buffer.scala 539:100] + wire [2:0] _GEN_386 = {{2'd0}, _T_4319}; // @[el2_lsu_bus_buffer.scala 539:153] + wire [3:0] buf_numvld_pend_any = _T_4342 + _GEN_386; // @[el2_lsu_bus_buffer.scala 539:153] wire _T_1012 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] wire _T_1013 = ibuf_byp & _T_1012; // @[el2_lsu_bus_buffer.scala 299:31] wire _T_1014 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] wire _T_1015 = _T_1014 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] wire ibuf_buf_byp = _T_1013 & _T_1015; // @[el2_lsu_bus_buffer.scala 299:61] wire _T_1016 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4631 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 565:68] - wire _T_4633 = buf_sideeffect[0] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 565:99] + wire _T_4631 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] + wire _T_4633 = buf_sideeffect[0] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] wire _T_4643 = _T_4631 & _T_4633; // @[Mux.scala 27:72] - wire _T_4634 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 565:68] - wire _T_4636 = buf_sideeffect[1] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 565:99] + wire _T_4634 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] + wire _T_4636 = buf_sideeffect[1] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] wire _T_4644 = _T_4634 & _T_4636; // @[Mux.scala 27:72] wire _T_4647 = _T_4643 | _T_4644; // @[Mux.scala 27:72] - wire _T_4637 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 565:68] - wire _T_4639 = buf_sideeffect[2] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 565:99] + wire _T_4637 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] + wire _T_4639 = buf_sideeffect[2] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] wire _T_4645 = _T_4637 & _T_4639; // @[Mux.scala 27:72] wire _T_4648 = _T_4647 | _T_4645; // @[Mux.scala 27:72] - wire _T_4640 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 565:68] - wire _T_4642 = buf_sideeffect[3] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 565:99] + wire _T_4640 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] + wire _T_4642 = buf_sideeffect[3] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] wire _T_4646 = _T_4640 & _T_4642; // @[Mux.scala 27:72] wire bus_sideeffect_pend = _T_4648 | _T_4646; // @[Mux.scala 27:72] wire _T_1017 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] @@ -1079,10 +1080,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4707 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 569:54] - wire _T_4708 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 569:75] - wire _T_4710 = _T_4707 ? _T_4708 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 569:39] - wire bus_cmd_ready = obuf_write ? _T_4710 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 569:23] + wire _T_4707 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 570:54] + wire _T_4708 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:75] + wire _T_4710 = _T_4707 ? _T_4708 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:39] + wire bus_cmd_ready = obuf_write ? _T_4710 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 570:23] wire _T_1156 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] wire _T_1157 = bus_cmd_ready | _T_1156; // @[el2_lsu_bus_buffer.scala 318:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1091,52 +1092,52 @@ module el2_lsu_bus_buffer( wire _T_1160 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] wire _T_1161 = _T_1159 & _T_1160; // @[el2_lsu_bus_buffer.scala 318:75] reg [31:0] obuf_addr; // @[el2_lib.scala 478:16] - wire _T_4655 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 567:56] - wire _T_4656 = obuf_valid & _T_4655; // @[el2_lsu_bus_buffer.scala 567:38] - wire _T_4658 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 567:126] - wire _T_4659 = obuf_merge & _T_4658; // @[el2_lsu_bus_buffer.scala 567:114] - wire _T_4660 = _T_3412 | _T_4659; // @[el2_lsu_bus_buffer.scala 567:100] - wire _T_4661 = ~_T_4660; // @[el2_lsu_bus_buffer.scala 567:80] - wire _T_4662 = _T_4656 & _T_4661; // @[el2_lsu_bus_buffer.scala 567:78] + wire _T_4655 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] + wire _T_4656 = obuf_valid & _T_4655; // @[el2_lsu_bus_buffer.scala 568:38] + wire _T_4658 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 568:126] + wire _T_4659 = obuf_merge & _T_4658; // @[el2_lsu_bus_buffer.scala 568:114] + wire _T_4660 = _T_3412 | _T_4659; // @[el2_lsu_bus_buffer.scala 568:100] + wire _T_4661 = ~_T_4660; // @[el2_lsu_bus_buffer.scala 568:80] + wire _T_4662 = _T_4656 & _T_4661; // @[el2_lsu_bus_buffer.scala 568:78] wire _T_4699 = _T_4631 & _T_4662; // @[Mux.scala 27:72] - wire _T_4667 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 567:56] - wire _T_4668 = obuf_valid & _T_4667; // @[el2_lsu_bus_buffer.scala 567:38] - wire _T_4670 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 567:126] - wire _T_4671 = obuf_merge & _T_4670; // @[el2_lsu_bus_buffer.scala 567:114] - wire _T_4672 = _T_3605 | _T_4671; // @[el2_lsu_bus_buffer.scala 567:100] - wire _T_4673 = ~_T_4672; // @[el2_lsu_bus_buffer.scala 567:80] - wire _T_4674 = _T_4668 & _T_4673; // @[el2_lsu_bus_buffer.scala 567:78] + wire _T_4667 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] + wire _T_4668 = obuf_valid & _T_4667; // @[el2_lsu_bus_buffer.scala 568:38] + wire _T_4670 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 568:126] + wire _T_4671 = obuf_merge & _T_4670; // @[el2_lsu_bus_buffer.scala 568:114] + wire _T_4672 = _T_3605 | _T_4671; // @[el2_lsu_bus_buffer.scala 568:100] + wire _T_4673 = ~_T_4672; // @[el2_lsu_bus_buffer.scala 568:80] + wire _T_4674 = _T_4668 & _T_4673; // @[el2_lsu_bus_buffer.scala 568:78] wire _T_4700 = _T_4634 & _T_4674; // @[Mux.scala 27:72] wire _T_4703 = _T_4699 | _T_4700; // @[Mux.scala 27:72] - wire _T_4679 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 567:56] - wire _T_4680 = obuf_valid & _T_4679; // @[el2_lsu_bus_buffer.scala 567:38] - wire _T_4682 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 567:126] - wire _T_4683 = obuf_merge & _T_4682; // @[el2_lsu_bus_buffer.scala 567:114] - wire _T_4684 = _T_3798 | _T_4683; // @[el2_lsu_bus_buffer.scala 567:100] - wire _T_4685 = ~_T_4684; // @[el2_lsu_bus_buffer.scala 567:80] - wire _T_4686 = _T_4680 & _T_4685; // @[el2_lsu_bus_buffer.scala 567:78] + wire _T_4679 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] + wire _T_4680 = obuf_valid & _T_4679; // @[el2_lsu_bus_buffer.scala 568:38] + wire _T_4682 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 568:126] + wire _T_4683 = obuf_merge & _T_4682; // @[el2_lsu_bus_buffer.scala 568:114] + wire _T_4684 = _T_3798 | _T_4683; // @[el2_lsu_bus_buffer.scala 568:100] + wire _T_4685 = ~_T_4684; // @[el2_lsu_bus_buffer.scala 568:80] + wire _T_4686 = _T_4680 & _T_4685; // @[el2_lsu_bus_buffer.scala 568:78] wire _T_4701 = _T_4637 & _T_4686; // @[Mux.scala 27:72] wire _T_4704 = _T_4703 | _T_4701; // @[Mux.scala 27:72] - wire _T_4691 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 567:56] - wire _T_4692 = obuf_valid & _T_4691; // @[el2_lsu_bus_buffer.scala 567:38] - wire _T_4694 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 567:126] - wire _T_4695 = obuf_merge & _T_4694; // @[el2_lsu_bus_buffer.scala 567:114] - wire _T_4696 = _T_3991 | _T_4695; // @[el2_lsu_bus_buffer.scala 567:100] - wire _T_4697 = ~_T_4696; // @[el2_lsu_bus_buffer.scala 567:80] - wire _T_4698 = _T_4692 & _T_4697; // @[el2_lsu_bus_buffer.scala 567:78] + wire _T_4691 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] + wire _T_4692 = obuf_valid & _T_4691; // @[el2_lsu_bus_buffer.scala 568:38] + wire _T_4694 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 568:126] + wire _T_4695 = obuf_merge & _T_4694; // @[el2_lsu_bus_buffer.scala 568:114] + wire _T_4696 = _T_3991 | _T_4695; // @[el2_lsu_bus_buffer.scala 568:100] + wire _T_4697 = ~_T_4696; // @[el2_lsu_bus_buffer.scala 568:80] + wire _T_4698 = _T_4692 & _T_4697; // @[el2_lsu_bus_buffer.scala 568:78] wire _T_4702 = _T_4640 & _T_4698; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4704 | _T_4702; // @[Mux.scala 27:72] wire _T_1164 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] wire _T_1165 = _T_1161 & _T_1164; // @[el2_lsu_bus_buffer.scala 318:116] wire obuf_wr_en = _T_1165 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] wire _T_1167 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:39] - wire _T_4714 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 572:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 571:39] - wire _T_4715 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 572:70] - wire _T_4716 = _T_4714 & _T_4715; // @[el2_lsu_bus_buffer.scala 572:52] - wire _T_4717 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 572:111] - wire bus_cmd_sent = _T_4716 | _T_4717; // @[el2_lsu_bus_buffer.scala 572:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:39] + wire _T_4714 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 573:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 572:39] + wire _T_4715 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 573:70] + wire _T_4716 = _T_4714 & _T_4715; // @[el2_lsu_bus_buffer.scala 573:52] + wire _T_4717 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 573:111] + wire bus_cmd_sent = _T_4716 | _T_4717; // @[el2_lsu_bus_buffer.scala 573:89] wire _T_1168 = bus_cmd_sent | _T_1167; // @[el2_lsu_bus_buffer.scala 320:33] wire _T_1169 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] wire _T_1170 = _T_1168 & _T_1169; // @[el2_lsu_bus_buffer.scala 320:63] @@ -1174,7 +1175,7 @@ module el2_lsu_bus_buffer( wire _T_1274 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 353:20] wire _T_1275 = obuf_valid & _T_1274; // @[el2_lsu_bus_buffer.scala 353:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 379:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 573:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 574:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:55] wire _T_1276 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 353:90] wire _T_1277 = bus_rsp_read & _T_1276; // @[el2_lsu_bus_buffer.scala 353:70] @@ -1325,119 +1326,122 @@ module el2_lsu_bus_buffer( wire _T_1878 = _T_1875 | _T_1817; // @[el2_lsu_bus_buffer.scala 396:83] wire _T_1879 = ~_T_1878; // @[el2_lsu_bus_buffer.scala 395:72] wire _T_1880 = _T_1810 & _T_1879; // @[el2_lsu_bus_buffer.scala 395:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 516:63] - wire _T_2596 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2597 = buf_rspageQ_0[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2593 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2594 = buf_rspageQ_0[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2590 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2591 = buf_rspageQ_0[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2587 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 430:104] - wire _T_2588 = buf_rspageQ_0[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 430:89] + wire [1:0] _T_1881 = _T_1880 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1882 = _T_1866 ? 2'h2 : _T_1881; // @[Mux.scala 98:16] + wire [1:0] _T_1883 = _T_1852 ? 2'h1 : _T_1882; // @[Mux.scala 98:16] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 517:63] + wire _T_2596 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] + wire _T_2597 = buf_rspageQ_0[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2593 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] + wire _T_2594 = buf_rspageQ_0[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2590 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] + wire _T_2591 = buf_rspageQ_0[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2587 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] + wire _T_2588 = buf_rspageQ_0[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 431:89] wire [3:0] buf_rsp_pickage_0 = {_T_2597,_T_2594,_T_2591,_T_2588}; // @[Cat.scala 29:58] - wire _T_1956 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 404:65] - wire _T_1957 = ~_T_1956; // @[el2_lsu_bus_buffer.scala 404:44] - wire _T_1959 = _T_1957 & _T_2587; // @[el2_lsu_bus_buffer.scala 404:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 516:63] - wire _T_2612 = buf_rspageQ_1[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2609 = buf_rspageQ_1[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2606 = buf_rspageQ_1[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2603 = buf_rspageQ_1[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 430:89] + wire _T_1956 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 405:65] + wire _T_1957 = ~_T_1956; // @[el2_lsu_bus_buffer.scala 405:44] + wire _T_1959 = _T_1957 & _T_2587; // @[el2_lsu_bus_buffer.scala 405:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 517:63] + wire _T_2612 = buf_rspageQ_1[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2609 = buf_rspageQ_1[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2606 = buf_rspageQ_1[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2603 = buf_rspageQ_1[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 431:89] wire [3:0] buf_rsp_pickage_1 = {_T_2612,_T_2609,_T_2606,_T_2603}; // @[Cat.scala 29:58] - wire _T_1960 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 404:65] - wire _T_1961 = ~_T_1960; // @[el2_lsu_bus_buffer.scala 404:44] - wire _T_1963 = _T_1961 & _T_2590; // @[el2_lsu_bus_buffer.scala 404:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 516:63] - wire _T_2627 = buf_rspageQ_2[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2624 = buf_rspageQ_2[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2621 = buf_rspageQ_2[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2618 = buf_rspageQ_2[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 430:89] + wire _T_1960 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 405:65] + wire _T_1961 = ~_T_1960; // @[el2_lsu_bus_buffer.scala 405:44] + wire _T_1963 = _T_1961 & _T_2590; // @[el2_lsu_bus_buffer.scala 405:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 517:63] + wire _T_2627 = buf_rspageQ_2[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2624 = buf_rspageQ_2[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2621 = buf_rspageQ_2[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2618 = buf_rspageQ_2[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 431:89] wire [3:0] buf_rsp_pickage_2 = {_T_2627,_T_2624,_T_2621,_T_2618}; // @[Cat.scala 29:58] - wire _T_1964 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 404:65] - wire _T_1965 = ~_T_1964; // @[el2_lsu_bus_buffer.scala 404:44] - wire _T_1967 = _T_1965 & _T_2593; // @[el2_lsu_bus_buffer.scala 404:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 516:63] - wire _T_2642 = buf_rspageQ_3[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2639 = buf_rspageQ_3[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2636 = buf_rspageQ_3[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 430:89] - wire _T_2633 = buf_rspageQ_3[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 430:89] + wire _T_1964 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 405:65] + wire _T_1965 = ~_T_1964; // @[el2_lsu_bus_buffer.scala 405:44] + wire _T_1967 = _T_1965 & _T_2593; // @[el2_lsu_bus_buffer.scala 405:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 517:63] + wire _T_2642 = buf_rspageQ_3[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2639 = buf_rspageQ_3[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2636 = buf_rspageQ_3[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2633 = buf_rspageQ_3[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 431:89] wire [3:0] buf_rsp_pickage_3 = {_T_2642,_T_2639,_T_2636,_T_2633}; // @[Cat.scala 29:58] - wire _T_1968 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 404:65] - wire _T_1969 = ~_T_1968; // @[el2_lsu_bus_buffer.scala 404:44] - wire _T_1971 = _T_1969 & _T_2596; // @[el2_lsu_bus_buffer.scala 404:70] + wire _T_1968 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 405:65] + wire _T_1969 = ~_T_1968; // @[el2_lsu_bus_buffer.scala 405:44] + wire _T_1971 = _T_1969 & _T_2596; // @[el2_lsu_bus_buffer.scala 405:70] wire [3:0] RspPtrDec = {_T_1971,_T_1967,_T_1963,_T_1959}; // @[Cat.scala 29:58] wire [1:0] _T_1992 = RspPtrDec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] wire [1:0] _T_1993 = RspPtrDec[1] ? 2'h1 : _T_1992; // @[Mux.scala 47:69] wire [1:0] RspPtr = RspPtrDec[0] ? 2'h0 : _T_1993; // @[Mux.scala 47:69] - wire _T_3382 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 459:77] - wire _T_3383 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 459:97] - wire _T_3384 = _T_3382 & _T_3383; // @[el2_lsu_bus_buffer.scala 459:95] - wire _T_3385 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 459:117] - wire _T_3386 = _T_3384 & _T_3385; // @[el2_lsu_bus_buffer.scala 459:112] - wire _T_3387 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 459:144] - wire _T_3388 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 459:166] - wire _T_3389 = _T_3387 & _T_3388; // @[el2_lsu_bus_buffer.scala 459:161] - wire _T_3390 = _T_3386 | _T_3389; // @[el2_lsu_bus_buffer.scala 459:132] - wire _T_3391 = _T_766 & _T_3390; // @[el2_lsu_bus_buffer.scala 459:63] - wire _T_3392 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 459:206] - wire _T_3393 = ibuf_drain_vld & _T_3392; // @[el2_lsu_bus_buffer.scala 459:201] - wire _T_3394 = _T_3391 | _T_3393; // @[el2_lsu_bus_buffer.scala 459:183] - wire _T_3404 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 466:46] + wire _T_3382 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:77] + wire _T_3383 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_3384 = _T_3382 & _T_3383; // @[el2_lsu_bus_buffer.scala 460:95] + wire _T_3385 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] + wire _T_3386 = _T_3384 & _T_3385; // @[el2_lsu_bus_buffer.scala 460:112] + wire _T_3387 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:144] + wire _T_3388 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] + wire _T_3389 = _T_3387 & _T_3388; // @[el2_lsu_bus_buffer.scala 460:161] + wire _T_3390 = _T_3386 | _T_3389; // @[el2_lsu_bus_buffer.scala 460:132] + wire _T_3391 = _T_766 & _T_3390; // @[el2_lsu_bus_buffer.scala 460:63] + wire _T_3392 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] + wire _T_3393 = ibuf_drain_vld & _T_3392; // @[el2_lsu_bus_buffer.scala 460:201] + wire _T_3394 = _T_3391 | _T_3393; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3404 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 467:46] wire _T_3439 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 574:38] - wire _T_3484 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 484:73] - wire _T_3485 = bus_rsp_write & _T_3484; // @[el2_lsu_bus_buffer.scala 484:52] - wire _T_3486 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 485:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 575:38] + wire _T_3484 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3485 = bus_rsp_write & _T_3484; // @[el2_lsu_bus_buffer.scala 485:52] + wire _T_3486 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 486:46] reg _T_4157; // @[Reg.scala 27:20] reg _T_4155; // @[Reg.scala 27:20] reg _T_4153; // @[Reg.scala 27:20] reg _T_4151; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4157,_T_4155,_T_4153,_T_4151}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 486:47] - wire _T_3488 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 486:47] - wire _T_3489 = buf_ldfwd[0] & _T_3488; // @[el2_lsu_bus_buffer.scala 486:27] - wire _T_3490 = _T_3486 | _T_3489; // @[el2_lsu_bus_buffer.scala 485:77] - wire _T_3491 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 487:26] - wire _T_3494 = _T_3491 & _T_1129; // @[el2_lsu_bus_buffer.scala 487:42] - wire _T_3495 = _T_3494 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 487:58] + wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3488 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3489 = buf_ldfwd[0] & _T_3488; // @[el2_lsu_bus_buffer.scala 487:27] + wire _T_3490 = _T_3486 | _T_3489; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3491 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 488:26] + wire _T_3494 = _T_3491 & _T_1129; // @[el2_lsu_bus_buffer.scala 488:42] + wire _T_3495 = _T_3494 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 488:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 487:94] - wire _T_3496 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 487:94] - wire _T_3497 = _T_3495 & _T_3496; // @[el2_lsu_bus_buffer.scala 487:74] - wire _T_3498 = _T_3490 | _T_3497; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_3499 = bus_rsp_read & _T_3498; // @[el2_lsu_bus_buffer.scala 485:25] - wire _T_3500 = _T_3485 | _T_3499; // @[el2_lsu_bus_buffer.scala 484:105] + wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3496 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3497 = _T_3495 & _T_3496; // @[el2_lsu_bus_buffer.scala 488:74] + wire _T_3498 = _T_3490 | _T_3497; // @[el2_lsu_bus_buffer.scala 487:71] + wire _T_3499 = bus_rsp_read & _T_3498; // @[el2_lsu_bus_buffer.scala 486:25] + wire _T_3500 = _T_3485 | _T_3499; // @[el2_lsu_bus_buffer.scala 485:105] wire _GEN_42 = _T_3439 & _T_3500; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3405 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3401 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3378 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3526 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3536 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 499:21] + wire [3:0] _T_3536 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 500:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 499:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 499:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 499:58] - wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 499:58] - wire _T_3538 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 499:58] - wire _T_3539 = _T_3536[0] & _T_3538; // @[el2_lsu_bus_buffer.scala 499:38] - wire _T_3540 = _T_3496 | _T_3539; // @[el2_lsu_bus_buffer.scala 498:95] - wire _T_3541 = bus_rsp_read & _T_3540; // @[el2_lsu_bus_buffer.scala 498:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 500:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 500:58] + wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3538 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3539 = _T_3536[0] & _T_3538; // @[el2_lsu_bus_buffer.scala 500:38] + wire _T_3540 = _T_3496 | _T_3539; // @[el2_lsu_bus_buffer.scala 499:95] + wire _T_3541 = bus_rsp_read & _T_3540; // @[el2_lsu_bus_buffer.scala 499:45] wire _GEN_36 = _T_3526 & _T_3541; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3439 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3405 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3401 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3378 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3418 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 472:49] - wire _T_3419 = _T_3418 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 472:70] + wire _T_3418 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] + wire _T_3419 = _T_3418 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] wire _T_3544 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3547 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 504:37] - wire _T_3548 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 504:98] - wire _T_3549 = buf_dual_0 & _T_3548; // @[el2_lsu_bus_buffer.scala 504:80] - wire _T_3550 = _T_3547 | _T_3549; // @[el2_lsu_bus_buffer.scala 504:65] - wire _T_3551 = _T_3550 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_3547 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 505:37] + wire _T_3548 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] + wire _T_3549 = buf_dual_0 & _T_3548; // @[el2_lsu_bus_buffer.scala 505:80] + wire _T_3550 = _T_3547 | _T_3549; // @[el2_lsu_bus_buffer.scala 505:65] + wire _T_3551 = _T_3550 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] wire _T_3552 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3544 ? _T_3551 : _T_3552; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3526 ? _T_3419 : _GEN_31; // @[Conditional.scala 39:67] @@ -1445,105 +1449,105 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3405 ? _T_3419 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3401 ? _T_3404 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3378 ? _T_3394 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_1995 = _T_1777 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 422:94] - wire _T_1996 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 423:20] - wire _T_1999 = _T_2460 & _T_4271; // @[el2_lsu_bus_buffer.scala 423:57] - wire _T_2000 = _T_1996 | _T_1999; // @[el2_lsu_bus_buffer.scala 423:31] - wire _T_2001 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 424:23] - wire _T_2003 = _T_2001 & _T_3382; // @[el2_lsu_bus_buffer.scala 424:41] - wire _T_2005 = _T_2003 & _T_1780; // @[el2_lsu_bus_buffer.scala 424:71] - wire _T_2007 = _T_2005 & _T_1778; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2008 = _T_2000 | _T_2007; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2009 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 425:17] - wire _T_2010 = _T_2009 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 425:35] - wire _T_2012 = _T_2010 & _T_1783; // @[el2_lsu_bus_buffer.scala 425:52] - wire _T_2014 = _T_2012 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2015 = _T_2008 | _T_2014; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2016 = _T_1995 & _T_2015; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2018 = _T_2016 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2021 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 423:20] - wire _T_2024 = _T_2464 & _T_4277; // @[el2_lsu_bus_buffer.scala 423:57] - wire _T_2025 = _T_2021 | _T_2024; // @[el2_lsu_bus_buffer.scala 423:31] - wire _T_2032 = _T_2005 & _T_1789; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2033 = _T_2025 | _T_2032; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2039 = _T_2012 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2040 = _T_2033 | _T_2039; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2041 = _T_1995 & _T_2040; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2043 = _T_2041 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2046 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 423:20] - wire _T_2049 = _T_2468 & _T_4283; // @[el2_lsu_bus_buffer.scala 423:57] - wire _T_2050 = _T_2046 | _T_2049; // @[el2_lsu_bus_buffer.scala 423:31] - wire _T_2057 = _T_2005 & _T_1800; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2058 = _T_2050 | _T_2057; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2064 = _T_2012 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2065 = _T_2058 | _T_2064; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2066 = _T_1995 & _T_2065; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2068 = _T_2066 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2071 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 423:20] - wire _T_2074 = _T_2472 & _T_4289; // @[el2_lsu_bus_buffer.scala 423:57] - wire _T_2075 = _T_2071 | _T_2074; // @[el2_lsu_bus_buffer.scala 423:31] - wire _T_2082 = _T_2005 & _T_1811; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2083 = _T_2075 | _T_2082; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2089 = _T_2012 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2090 = _T_2083 | _T_2089; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2091 = _T_1995 & _T_2090; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2093 = _T_2091 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 425:97] + wire _T_1995 = _T_1777 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 423:94] + wire _T_1996 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] + wire _T_1999 = _T_2460 & _T_4271; // @[el2_lsu_bus_buffer.scala 424:57] + wire _T_2000 = _T_1996 | _T_1999; // @[el2_lsu_bus_buffer.scala 424:31] + wire _T_2001 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 425:23] + wire _T_2003 = _T_2001 & _T_3382; // @[el2_lsu_bus_buffer.scala 425:41] + wire _T_2005 = _T_2003 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:71] + wire _T_2007 = _T_2005 & _T_1778; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2008 = _T_2000 | _T_2007; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2009 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 426:17] + wire _T_2010 = _T_2009 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 426:35] + wire _T_2012 = _T_2010 & _T_1783; // @[el2_lsu_bus_buffer.scala 426:52] + wire _T_2014 = _T_2012 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2015 = _T_2008 | _T_2014; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2016 = _T_1995 & _T_2015; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2018 = _T_2016 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2021 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] + wire _T_2024 = _T_2464 & _T_4277; // @[el2_lsu_bus_buffer.scala 424:57] + wire _T_2025 = _T_2021 | _T_2024; // @[el2_lsu_bus_buffer.scala 424:31] + wire _T_2032 = _T_2005 & _T_1789; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2033 = _T_2025 | _T_2032; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2039 = _T_2012 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2040 = _T_2033 | _T_2039; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2041 = _T_1995 & _T_2040; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2043 = _T_2041 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2046 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] + wire _T_2049 = _T_2468 & _T_4283; // @[el2_lsu_bus_buffer.scala 424:57] + wire _T_2050 = _T_2046 | _T_2049; // @[el2_lsu_bus_buffer.scala 424:31] + wire _T_2057 = _T_2005 & _T_1800; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2058 = _T_2050 | _T_2057; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2064 = _T_2012 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2065 = _T_2058 | _T_2064; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2066 = _T_1995 & _T_2065; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2068 = _T_2066 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2071 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] + wire _T_2074 = _T_2472 & _T_4289; // @[el2_lsu_bus_buffer.scala 424:57] + wire _T_2075 = _T_2071 | _T_2074; // @[el2_lsu_bus_buffer.scala 424:31] + wire _T_2082 = _T_2005 & _T_1811; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2083 = _T_2075 | _T_2082; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2089 = _T_2012 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2090 = _T_2083 | _T_2089; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2091 = _T_1995 & _T_2090; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2093 = _T_2091 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 426:97] wire [2:0] _T_2095 = {_T_2093,_T_2068,_T_2043}; // @[Cat.scala 29:58] - wire _T_3578 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 459:117] - wire _T_3579 = _T_3384 & _T_3578; // @[el2_lsu_bus_buffer.scala 459:112] - wire _T_3581 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 459:166] - wire _T_3582 = _T_3387 & _T_3581; // @[el2_lsu_bus_buffer.scala 459:161] - wire _T_3583 = _T_3579 | _T_3582; // @[el2_lsu_bus_buffer.scala 459:132] - wire _T_3584 = _T_766 & _T_3583; // @[el2_lsu_bus_buffer.scala 459:63] - wire _T_3585 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 459:206] - wire _T_3586 = ibuf_drain_vld & _T_3585; // @[el2_lsu_bus_buffer.scala 459:201] - wire _T_3587 = _T_3584 | _T_3586; // @[el2_lsu_bus_buffer.scala 459:183] + wire _T_3578 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] + wire _T_3579 = _T_3384 & _T_3578; // @[el2_lsu_bus_buffer.scala 460:112] + wire _T_3581 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] + wire _T_3582 = _T_3387 & _T_3581; // @[el2_lsu_bus_buffer.scala 460:161] + wire _T_3583 = _T_3579 | _T_3582; // @[el2_lsu_bus_buffer.scala 460:132] + wire _T_3584 = _T_766 & _T_3583; // @[el2_lsu_bus_buffer.scala 460:63] + wire _T_3585 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] + wire _T_3586 = ibuf_drain_vld & _T_3585; // @[el2_lsu_bus_buffer.scala 460:201] + wire _T_3587 = _T_3584 | _T_3586; // @[el2_lsu_bus_buffer.scala 460:183] wire _T_3632 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3677 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 484:73] - wire _T_3678 = bus_rsp_write & _T_3677; // @[el2_lsu_bus_buffer.scala 484:52] - wire _T_3679 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 485:46] - wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 486:47] - wire _T_3681 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 486:47] - wire _T_3682 = buf_ldfwd[1] & _T_3681; // @[el2_lsu_bus_buffer.scala 486:27] - wire _T_3683 = _T_3679 | _T_3682; // @[el2_lsu_bus_buffer.scala 485:77] - wire _T_3684 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 487:26] - wire _T_3686 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 487:44] - wire _T_3687 = _T_3684 & _T_3686; // @[el2_lsu_bus_buffer.scala 487:42] - wire _T_3688 = _T_3687 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 487:58] + wire _T_3677 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3678 = bus_rsp_write & _T_3677; // @[el2_lsu_bus_buffer.scala 485:52] + wire _T_3679 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 486:46] + wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3681 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3682 = buf_ldfwd[1] & _T_3681; // @[el2_lsu_bus_buffer.scala 487:27] + wire _T_3683 = _T_3679 | _T_3682; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3684 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 488:26] + wire _T_3686 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 488:44] + wire _T_3687 = _T_3684 & _T_3686; // @[el2_lsu_bus_buffer.scala 488:42] + wire _T_3688 = _T_3687 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 488:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 487:94] - wire _T_3689 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 487:94] - wire _T_3690 = _T_3688 & _T_3689; // @[el2_lsu_bus_buffer.scala 487:74] - wire _T_3691 = _T_3683 | _T_3690; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_3692 = bus_rsp_read & _T_3691; // @[el2_lsu_bus_buffer.scala 485:25] - wire _T_3693 = _T_3678 | _T_3692; // @[el2_lsu_bus_buffer.scala 484:105] + wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3689 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3690 = _T_3688 & _T_3689; // @[el2_lsu_bus_buffer.scala 488:74] + wire _T_3691 = _T_3683 | _T_3690; // @[el2_lsu_bus_buffer.scala 487:71] + wire _T_3692 = bus_rsp_read & _T_3691; // @[el2_lsu_bus_buffer.scala 486:25] + wire _T_3693 = _T_3678 | _T_3692; // @[el2_lsu_bus_buffer.scala 485:105] wire _GEN_118 = _T_3632 & _T_3693; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3598 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3594 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3571 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3719 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3729 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 499:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 499:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 499:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 499:58] - wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 499:58] - wire _T_3731 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 499:58] - wire _T_3732 = _T_3729[0] & _T_3731; // @[el2_lsu_bus_buffer.scala 499:38] - wire _T_3733 = _T_3689 | _T_3732; // @[el2_lsu_bus_buffer.scala 498:95] - wire _T_3734 = bus_rsp_read & _T_3733; // @[el2_lsu_bus_buffer.scala 498:45] + wire [3:0] _T_3729 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 500:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 500:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 500:58] + wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3731 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3732 = _T_3729[0] & _T_3731; // @[el2_lsu_bus_buffer.scala 500:38] + wire _T_3733 = _T_3689 | _T_3732; // @[el2_lsu_bus_buffer.scala 499:95] + wire _T_3734 = bus_rsp_read & _T_3733; // @[el2_lsu_bus_buffer.scala 499:45] wire _GEN_112 = _T_3719 & _T_3734; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3632 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3598 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3594 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3571 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3611 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 472:49] - wire _T_3612 = _T_3611 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 472:70] + wire _T_3611 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] + wire _T_3612 = _T_3611 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] wire _T_3737 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3740 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 504:37] - wire _T_3741 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 504:98] - wire _T_3742 = buf_dual_1 & _T_3741; // @[el2_lsu_bus_buffer.scala 504:80] - wire _T_3743 = _T_3740 | _T_3742; // @[el2_lsu_bus_buffer.scala 504:65] - wire _T_3744 = _T_3743 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_3740 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 505:37] + wire _T_3741 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] + wire _T_3742 = buf_dual_1 & _T_3741; // @[el2_lsu_bus_buffer.scala 505:80] + wire _T_3743 = _T_3740 | _T_3742; // @[el2_lsu_bus_buffer.scala 505:65] + wire _T_3744 = _T_3743 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] wire _T_3745 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3737 ? _T_3744 : _T_3745; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3719 ? _T_3612 : _GEN_107; // @[Conditional.scala 39:67] @@ -1551,89 +1555,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3598 ? _T_3612 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3594 ? _T_3404 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3571 ? _T_3587 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2097 = _T_1788 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 422:94] - wire _T_2107 = _T_2003 & _T_1791; // @[el2_lsu_bus_buffer.scala 424:71] - wire _T_2109 = _T_2107 & _T_1778; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2110 = _T_2000 | _T_2109; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2114 = _T_2010 & _T_1794; // @[el2_lsu_bus_buffer.scala 425:52] - wire _T_2116 = _T_2114 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2117 = _T_2110 | _T_2116; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2118 = _T_2097 & _T_2117; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2120 = _T_2118 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2134 = _T_2107 & _T_1789; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2135 = _T_2025 | _T_2134; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2141 = _T_2114 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2142 = _T_2135 | _T_2141; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2143 = _T_2097 & _T_2142; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2145 = _T_2143 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2159 = _T_2107 & _T_1800; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2160 = _T_2050 | _T_2159; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2166 = _T_2114 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2167 = _T_2160 | _T_2166; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2168 = _T_2097 & _T_2167; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2170 = _T_2168 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2184 = _T_2107 & _T_1811; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2185 = _T_2075 | _T_2184; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2191 = _T_2114 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2192 = _T_2185 | _T_2191; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2193 = _T_2097 & _T_2192; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2195 = _T_2193 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 425:97] + wire _T_2097 = _T_1788 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 423:94] + wire _T_2107 = _T_2003 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:71] + wire _T_2109 = _T_2107 & _T_1778; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2110 = _T_2000 | _T_2109; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2114 = _T_2010 & _T_1794; // @[el2_lsu_bus_buffer.scala 426:52] + wire _T_2116 = _T_2114 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2117 = _T_2110 | _T_2116; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2118 = _T_2097 & _T_2117; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2120 = _T_2118 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2134 = _T_2107 & _T_1789; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2135 = _T_2025 | _T_2134; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2141 = _T_2114 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2142 = _T_2135 | _T_2141; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2143 = _T_2097 & _T_2142; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2145 = _T_2143 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2159 = _T_2107 & _T_1800; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2160 = _T_2050 | _T_2159; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2166 = _T_2114 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2167 = _T_2160 | _T_2166; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2168 = _T_2097 & _T_2167; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2170 = _T_2168 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2184 = _T_2107 & _T_1811; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2185 = _T_2075 | _T_2184; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2191 = _T_2114 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2192 = _T_2185 | _T_2191; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2193 = _T_2097 & _T_2192; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2195 = _T_2193 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 426:97] wire [2:0] _T_2197 = {_T_2195,_T_2170,_T_2145}; // @[Cat.scala 29:58] - wire _T_3771 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 459:117] - wire _T_3772 = _T_3384 & _T_3771; // @[el2_lsu_bus_buffer.scala 459:112] - wire _T_3774 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 459:166] - wire _T_3775 = _T_3387 & _T_3774; // @[el2_lsu_bus_buffer.scala 459:161] - wire _T_3776 = _T_3772 | _T_3775; // @[el2_lsu_bus_buffer.scala 459:132] - wire _T_3777 = _T_766 & _T_3776; // @[el2_lsu_bus_buffer.scala 459:63] - wire _T_3778 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 459:206] - wire _T_3779 = ibuf_drain_vld & _T_3778; // @[el2_lsu_bus_buffer.scala 459:201] - wire _T_3780 = _T_3777 | _T_3779; // @[el2_lsu_bus_buffer.scala 459:183] + wire _T_3771 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] + wire _T_3772 = _T_3384 & _T_3771; // @[el2_lsu_bus_buffer.scala 460:112] + wire _T_3774 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] + wire _T_3775 = _T_3387 & _T_3774; // @[el2_lsu_bus_buffer.scala 460:161] + wire _T_3776 = _T_3772 | _T_3775; // @[el2_lsu_bus_buffer.scala 460:132] + wire _T_3777 = _T_766 & _T_3776; // @[el2_lsu_bus_buffer.scala 460:63] + wire _T_3778 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] + wire _T_3779 = ibuf_drain_vld & _T_3778; // @[el2_lsu_bus_buffer.scala 460:201] + wire _T_3780 = _T_3777 | _T_3779; // @[el2_lsu_bus_buffer.scala 460:183] wire _T_3825 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3870 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 484:73] - wire _T_3871 = bus_rsp_write & _T_3870; // @[el2_lsu_bus_buffer.scala 484:52] - wire _T_3872 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 485:46] - wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 486:47] - wire _T_3874 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 486:47] - wire _T_3875 = buf_ldfwd[2] & _T_3874; // @[el2_lsu_bus_buffer.scala 486:27] - wire _T_3876 = _T_3872 | _T_3875; // @[el2_lsu_bus_buffer.scala 485:77] - wire _T_3877 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 487:26] - wire _T_3879 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 487:44] - wire _T_3880 = _T_3877 & _T_3879; // @[el2_lsu_bus_buffer.scala 487:42] - wire _T_3881 = _T_3880 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 487:58] + wire _T_3870 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3871 = bus_rsp_write & _T_3870; // @[el2_lsu_bus_buffer.scala 485:52] + wire _T_3872 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 486:46] + wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3874 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3875 = buf_ldfwd[2] & _T_3874; // @[el2_lsu_bus_buffer.scala 487:27] + wire _T_3876 = _T_3872 | _T_3875; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3877 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 488:26] + wire _T_3879 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 488:44] + wire _T_3880 = _T_3877 & _T_3879; // @[el2_lsu_bus_buffer.scala 488:42] + wire _T_3881 = _T_3880 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 488:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 487:94] - wire _T_3882 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 487:94] - wire _T_3883 = _T_3881 & _T_3882; // @[el2_lsu_bus_buffer.scala 487:74] - wire _T_3884 = _T_3876 | _T_3883; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_3885 = bus_rsp_read & _T_3884; // @[el2_lsu_bus_buffer.scala 485:25] - wire _T_3886 = _T_3871 | _T_3885; // @[el2_lsu_bus_buffer.scala 484:105] + wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3882 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3883 = _T_3881 & _T_3882; // @[el2_lsu_bus_buffer.scala 488:74] + wire _T_3884 = _T_3876 | _T_3883; // @[el2_lsu_bus_buffer.scala 487:71] + wire _T_3885 = bus_rsp_read & _T_3884; // @[el2_lsu_bus_buffer.scala 486:25] + wire _T_3886 = _T_3871 | _T_3885; // @[el2_lsu_bus_buffer.scala 485:105] wire _GEN_194 = _T_3825 & _T_3886; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3791 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3787 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3764 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_3912 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3922 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 499:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 499:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 499:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 499:58] - wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 499:58] - wire _T_3924 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 499:58] - wire _T_3925 = _T_3922[0] & _T_3924; // @[el2_lsu_bus_buffer.scala 499:38] - wire _T_3926 = _T_3882 | _T_3925; // @[el2_lsu_bus_buffer.scala 498:95] - wire _T_3927 = bus_rsp_read & _T_3926; // @[el2_lsu_bus_buffer.scala 498:45] + wire [3:0] _T_3922 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 500:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 500:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 500:58] + wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3924 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3925 = _T_3922[0] & _T_3924; // @[el2_lsu_bus_buffer.scala 500:38] + wire _T_3926 = _T_3882 | _T_3925; // @[el2_lsu_bus_buffer.scala 499:95] + wire _T_3927 = bus_rsp_read & _T_3926; // @[el2_lsu_bus_buffer.scala 499:45] wire _GEN_188 = _T_3912 & _T_3927; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3825 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3791 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3787 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3764 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3804 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 472:49] - wire _T_3805 = _T_3804 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 472:70] + wire _T_3804 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] + wire _T_3805 = _T_3804 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] wire _T_3930 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3933 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 504:37] - wire _T_3934 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 504:98] - wire _T_3935 = buf_dual_2 & _T_3934; // @[el2_lsu_bus_buffer.scala 504:80] - wire _T_3936 = _T_3933 | _T_3935; // @[el2_lsu_bus_buffer.scala 504:65] - wire _T_3937 = _T_3936 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_3933 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 505:37] + wire _T_3934 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] + wire _T_3935 = buf_dual_2 & _T_3934; // @[el2_lsu_bus_buffer.scala 505:80] + wire _T_3936 = _T_3933 | _T_3935; // @[el2_lsu_bus_buffer.scala 505:65] + wire _T_3937 = _T_3936 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] wire _T_3938 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_3930 ? _T_3937 : _T_3938; // @[Conditional.scala 39:67] wire _GEN_189 = _T_3912 ? _T_3805 : _GEN_183; // @[Conditional.scala 39:67] @@ -1641,89 +1645,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3791 ? _T_3805 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3787 ? _T_3404 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3764 ? _T_3780 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2199 = _T_1799 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 422:94] - wire _T_2209 = _T_2003 & _T_1802; // @[el2_lsu_bus_buffer.scala 424:71] - wire _T_2211 = _T_2209 & _T_1778; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2212 = _T_2000 | _T_2211; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2216 = _T_2010 & _T_1805; // @[el2_lsu_bus_buffer.scala 425:52] - wire _T_2218 = _T_2216 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2219 = _T_2212 | _T_2218; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2220 = _T_2199 & _T_2219; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2222 = _T_2220 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2236 = _T_2209 & _T_1789; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2237 = _T_2025 | _T_2236; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2243 = _T_2216 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2244 = _T_2237 | _T_2243; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2245 = _T_2199 & _T_2244; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2247 = _T_2245 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2261 = _T_2209 & _T_1800; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2262 = _T_2050 | _T_2261; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2268 = _T_2216 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2269 = _T_2262 | _T_2268; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2270 = _T_2199 & _T_2269; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2272 = _T_2270 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2286 = _T_2209 & _T_1811; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2287 = _T_2075 | _T_2286; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2293 = _T_2216 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2294 = _T_2287 | _T_2293; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2295 = _T_2199 & _T_2294; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2297 = _T_2295 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 425:97] + wire _T_2199 = _T_1799 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 423:94] + wire _T_2209 = _T_2003 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:71] + wire _T_2211 = _T_2209 & _T_1778; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2212 = _T_2000 | _T_2211; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2216 = _T_2010 & _T_1805; // @[el2_lsu_bus_buffer.scala 426:52] + wire _T_2218 = _T_2216 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2219 = _T_2212 | _T_2218; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2220 = _T_2199 & _T_2219; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2222 = _T_2220 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2236 = _T_2209 & _T_1789; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2237 = _T_2025 | _T_2236; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2243 = _T_2216 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2244 = _T_2237 | _T_2243; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2245 = _T_2199 & _T_2244; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2247 = _T_2245 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2261 = _T_2209 & _T_1800; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2262 = _T_2050 | _T_2261; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2268 = _T_2216 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2269 = _T_2262 | _T_2268; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2270 = _T_2199 & _T_2269; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2272 = _T_2270 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2286 = _T_2209 & _T_1811; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2287 = _T_2075 | _T_2286; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2293 = _T_2216 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2294 = _T_2287 | _T_2293; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2295 = _T_2199 & _T_2294; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2297 = _T_2295 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 426:97] wire [2:0] _T_2299 = {_T_2297,_T_2272,_T_2247}; // @[Cat.scala 29:58] - wire _T_3964 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 459:117] - wire _T_3965 = _T_3384 & _T_3964; // @[el2_lsu_bus_buffer.scala 459:112] - wire _T_3967 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 459:166] - wire _T_3968 = _T_3387 & _T_3967; // @[el2_lsu_bus_buffer.scala 459:161] - wire _T_3969 = _T_3965 | _T_3968; // @[el2_lsu_bus_buffer.scala 459:132] - wire _T_3970 = _T_766 & _T_3969; // @[el2_lsu_bus_buffer.scala 459:63] - wire _T_3971 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 459:206] - wire _T_3972 = ibuf_drain_vld & _T_3971; // @[el2_lsu_bus_buffer.scala 459:201] - wire _T_3973 = _T_3970 | _T_3972; // @[el2_lsu_bus_buffer.scala 459:183] + wire _T_3964 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] + wire _T_3965 = _T_3384 & _T_3964; // @[el2_lsu_bus_buffer.scala 460:112] + wire _T_3967 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] + wire _T_3968 = _T_3387 & _T_3967; // @[el2_lsu_bus_buffer.scala 460:161] + wire _T_3969 = _T_3965 | _T_3968; // @[el2_lsu_bus_buffer.scala 460:132] + wire _T_3970 = _T_766 & _T_3969; // @[el2_lsu_bus_buffer.scala 460:63] + wire _T_3971 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] + wire _T_3972 = ibuf_drain_vld & _T_3971; // @[el2_lsu_bus_buffer.scala 460:201] + wire _T_3973 = _T_3970 | _T_3972; // @[el2_lsu_bus_buffer.scala 460:183] wire _T_4018 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4063 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 484:73] - wire _T_4064 = bus_rsp_write & _T_4063; // @[el2_lsu_bus_buffer.scala 484:52] - wire _T_4065 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 485:46] - wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 486:47] - wire _T_4067 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 486:47] - wire _T_4068 = buf_ldfwd[3] & _T_4067; // @[el2_lsu_bus_buffer.scala 486:27] - wire _T_4069 = _T_4065 | _T_4068; // @[el2_lsu_bus_buffer.scala 485:77] - wire _T_4070 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 487:26] - wire _T_4072 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 487:44] - wire _T_4073 = _T_4070 & _T_4072; // @[el2_lsu_bus_buffer.scala 487:42] - wire _T_4074 = _T_4073 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 487:58] + wire _T_4063 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_4064 = bus_rsp_write & _T_4063; // @[el2_lsu_bus_buffer.scala 485:52] + wire _T_4065 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 486:46] + wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_4067 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_4068 = buf_ldfwd[3] & _T_4067; // @[el2_lsu_bus_buffer.scala 487:27] + wire _T_4069 = _T_4065 | _T_4068; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_4070 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 488:26] + wire _T_4072 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 488:44] + wire _T_4073 = _T_4070 & _T_4072; // @[el2_lsu_bus_buffer.scala 488:42] + wire _T_4074 = _T_4073 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 488:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 487:94] - wire _T_4075 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 487:94] - wire _T_4076 = _T_4074 & _T_4075; // @[el2_lsu_bus_buffer.scala 487:74] - wire _T_4077 = _T_4069 | _T_4076; // @[el2_lsu_bus_buffer.scala 486:71] - wire _T_4078 = bus_rsp_read & _T_4077; // @[el2_lsu_bus_buffer.scala 485:25] - wire _T_4079 = _T_4064 | _T_4078; // @[el2_lsu_bus_buffer.scala 484:105] + wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_4075 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_4076 = _T_4074 & _T_4075; // @[el2_lsu_bus_buffer.scala 488:74] + wire _T_4077 = _T_4069 | _T_4076; // @[el2_lsu_bus_buffer.scala 487:71] + wire _T_4078 = bus_rsp_read & _T_4077; // @[el2_lsu_bus_buffer.scala 486:25] + wire _T_4079 = _T_4064 | _T_4078; // @[el2_lsu_bus_buffer.scala 485:105] wire _GEN_270 = _T_4018 & _T_4079; // @[Conditional.scala 39:67] wire _GEN_289 = _T_3984 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_3980 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_3957 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4105 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4115 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 499:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 499:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 499:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 499:58] - wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 499:58] - wire _T_4117 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 499:58] - wire _T_4118 = _T_4115[0] & _T_4117; // @[el2_lsu_bus_buffer.scala 499:38] - wire _T_4119 = _T_4075 | _T_4118; // @[el2_lsu_bus_buffer.scala 498:95] - wire _T_4120 = bus_rsp_read & _T_4119; // @[el2_lsu_bus_buffer.scala 498:45] + wire [3:0] _T_4115 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 500:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 500:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 500:58] + wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_4117 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_4118 = _T_4115[0] & _T_4117; // @[el2_lsu_bus_buffer.scala 500:38] + wire _T_4119 = _T_4075 | _T_4118; // @[el2_lsu_bus_buffer.scala 499:95] + wire _T_4120 = bus_rsp_read & _T_4119; // @[el2_lsu_bus_buffer.scala 499:45] wire _GEN_264 = _T_4105 & _T_4120; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4018 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_3984 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_3980 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_3957 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_3997 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 472:49] - wire _T_3998 = _T_3997 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 472:70] + wire _T_3997 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] + wire _T_3998 = _T_3997 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] wire _T_4123 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4126 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 504:37] - wire _T_4127 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 504:98] - wire _T_4128 = buf_dual_3 & _T_4127; // @[el2_lsu_bus_buffer.scala 504:80] - wire _T_4129 = _T_4126 | _T_4128; // @[el2_lsu_bus_buffer.scala 504:65] - wire _T_4130 = _T_4129 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 504:112] + wire _T_4126 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 505:37] + wire _T_4127 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] + wire _T_4128 = buf_dual_3 & _T_4127; // @[el2_lsu_bus_buffer.scala 505:80] + wire _T_4129 = _T_4126 | _T_4128; // @[el2_lsu_bus_buffer.scala 505:65] + wire _T_4130 = _T_4129 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] wire _T_4131 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4123 ? _T_4130 : _T_4131; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4105 ? _T_3998 : _GEN_259; // @[Conditional.scala 39:67] @@ -1731,239 +1735,239 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_3984 ? _T_3998 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_3980 ? _T_3404 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_3957 ? _T_3973 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2301 = _T_1810 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 422:94] - wire _T_2311 = _T_2003 & _T_1813; // @[el2_lsu_bus_buffer.scala 424:71] - wire _T_2313 = _T_2311 & _T_1778; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2314 = _T_2000 | _T_2313; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2318 = _T_2010 & _T_1816; // @[el2_lsu_bus_buffer.scala 425:52] - wire _T_2320 = _T_2318 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2321 = _T_2314 | _T_2320; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2322 = _T_2301 & _T_2321; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2324 = _T_2322 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2338 = _T_2311 & _T_1789; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2339 = _T_2025 | _T_2338; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2345 = _T_2318 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2346 = _T_2339 | _T_2345; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2347 = _T_2301 & _T_2346; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2349 = _T_2347 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2363 = _T_2311 & _T_1800; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2364 = _T_2050 | _T_2363; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2370 = _T_2318 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2371 = _T_2364 | _T_2370; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2372 = _T_2301 & _T_2371; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2374 = _T_2372 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 425:97] - wire _T_2388 = _T_2311 & _T_1811; // @[el2_lsu_bus_buffer.scala 424:92] - wire _T_2389 = _T_2075 | _T_2388; // @[el2_lsu_bus_buffer.scala 423:86] - wire _T_2395 = _T_2318 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:73] - wire _T_2396 = _T_2389 | _T_2395; // @[el2_lsu_bus_buffer.scala 424:114] - wire _T_2397 = _T_2301 & _T_2396; // @[el2_lsu_bus_buffer.scala 422:113] - wire _T_2399 = _T_2397 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 425:97] + wire _T_2301 = _T_1810 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 423:94] + wire _T_2311 = _T_2003 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:71] + wire _T_2313 = _T_2311 & _T_1778; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2314 = _T_2000 | _T_2313; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2318 = _T_2010 & _T_1816; // @[el2_lsu_bus_buffer.scala 426:52] + wire _T_2320 = _T_2318 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2321 = _T_2314 | _T_2320; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2322 = _T_2301 & _T_2321; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2324 = _T_2322 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2338 = _T_2311 & _T_1789; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2339 = _T_2025 | _T_2338; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2345 = _T_2318 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2346 = _T_2339 | _T_2345; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2347 = _T_2301 & _T_2346; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2349 = _T_2347 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2363 = _T_2311 & _T_1800; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2364 = _T_2050 | _T_2363; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2370 = _T_2318 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2371 = _T_2364 | _T_2370; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2372 = _T_2301 & _T_2371; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2374 = _T_2372 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2388 = _T_2311 & _T_1811; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2389 = _T_2075 | _T_2388; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2395 = _T_2318 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2396 = _T_2389 | _T_2395; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2397 = _T_2301 & _T_2396; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2399 = _T_2397 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 426:97] wire [2:0] _T_2401 = {_T_2399,_T_2374,_T_2349}; // @[Cat.scala 29:58] - wire _T_2649 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 433:49] - wire _T_2650 = _T_1777 | _T_2649; // @[el2_lsu_bus_buffer.scala 433:34] - wire _T_2651 = ~_T_2650; // @[el2_lsu_bus_buffer.scala 433:8] - wire _T_2659 = _T_2651 | _T_2007; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2666 = _T_2659 | _T_2014; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2667 = _T_1995 & _T_2666; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2671 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 433:49] - wire _T_2672 = _T_1788 | _T_2671; // @[el2_lsu_bus_buffer.scala 433:34] - wire _T_2673 = ~_T_2672; // @[el2_lsu_bus_buffer.scala 433:8] - wire _T_2681 = _T_2673 | _T_2032; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2688 = _T_2681 | _T_2039; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2689 = _T_1995 & _T_2688; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2693 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 433:49] - wire _T_2694 = _T_1799 | _T_2693; // @[el2_lsu_bus_buffer.scala 433:34] - wire _T_2695 = ~_T_2694; // @[el2_lsu_bus_buffer.scala 433:8] - wire _T_2703 = _T_2695 | _T_2057; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2710 = _T_2703 | _T_2064; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2711 = _T_1995 & _T_2710; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2715 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 433:49] - wire _T_2716 = _T_1810 | _T_2715; // @[el2_lsu_bus_buffer.scala 433:34] - wire _T_2717 = ~_T_2716; // @[el2_lsu_bus_buffer.scala 433:8] - wire _T_2725 = _T_2717 | _T_2082; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2732 = _T_2725 | _T_2089; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2733 = _T_1995 & _T_2732; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2649 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] + wire _T_2650 = _T_1777 | _T_2649; // @[el2_lsu_bus_buffer.scala 434:34] + wire _T_2651 = ~_T_2650; // @[el2_lsu_bus_buffer.scala 434:8] + wire _T_2659 = _T_2651 | _T_2007; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2666 = _T_2659 | _T_2014; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2667 = _T_1995 & _T_2666; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2671 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] + wire _T_2672 = _T_1788 | _T_2671; // @[el2_lsu_bus_buffer.scala 434:34] + wire _T_2673 = ~_T_2672; // @[el2_lsu_bus_buffer.scala 434:8] + wire _T_2681 = _T_2673 | _T_2032; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2688 = _T_2681 | _T_2039; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2689 = _T_1995 & _T_2688; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2693 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] + wire _T_2694 = _T_1799 | _T_2693; // @[el2_lsu_bus_buffer.scala 434:34] + wire _T_2695 = ~_T_2694; // @[el2_lsu_bus_buffer.scala 434:8] + wire _T_2703 = _T_2695 | _T_2057; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2710 = _T_2703 | _T_2064; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2711 = _T_1995 & _T_2710; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2715 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] + wire _T_2716 = _T_1810 | _T_2715; // @[el2_lsu_bus_buffer.scala 434:34] + wire _T_2717 = ~_T_2716; // @[el2_lsu_bus_buffer.scala 434:8] + wire _T_2725 = _T_2717 | _T_2082; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2732 = _T_2725 | _T_2089; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2733 = _T_1995 & _T_2732; // @[el2_lsu_bus_buffer.scala 433:114] wire [3:0] buf_rspage_set_0 = {_T_2733,_T_2711,_T_2689,_T_2667}; // @[Cat.scala 29:58] - wire _T_2750 = _T_2651 | _T_2109; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2757 = _T_2750 | _T_2116; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2758 = _T_2097 & _T_2757; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2772 = _T_2673 | _T_2134; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2779 = _T_2772 | _T_2141; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2780 = _T_2097 & _T_2779; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2794 = _T_2695 | _T_2159; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2801 = _T_2794 | _T_2166; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2802 = _T_2097 & _T_2801; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2816 = _T_2717 | _T_2184; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2823 = _T_2816 | _T_2191; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2824 = _T_2097 & _T_2823; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2750 = _T_2651 | _T_2109; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2757 = _T_2750 | _T_2116; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2758 = _T_2097 & _T_2757; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2772 = _T_2673 | _T_2134; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2779 = _T_2772 | _T_2141; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2780 = _T_2097 & _T_2779; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2794 = _T_2695 | _T_2159; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2801 = _T_2794 | _T_2166; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2802 = _T_2097 & _T_2801; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2816 = _T_2717 | _T_2184; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2823 = _T_2816 | _T_2191; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2824 = _T_2097 & _T_2823; // @[el2_lsu_bus_buffer.scala 433:114] wire [3:0] buf_rspage_set_1 = {_T_2824,_T_2802,_T_2780,_T_2758}; // @[Cat.scala 29:58] - wire _T_2841 = _T_2651 | _T_2211; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2848 = _T_2841 | _T_2218; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2849 = _T_2199 & _T_2848; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2863 = _T_2673 | _T_2236; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2870 = _T_2863 | _T_2243; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2871 = _T_2199 & _T_2870; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2885 = _T_2695 | _T_2261; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2892 = _T_2885 | _T_2268; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2893 = _T_2199 & _T_2892; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2907 = _T_2717 | _T_2286; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2914 = _T_2907 | _T_2293; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2915 = _T_2199 & _T_2914; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2841 = _T_2651 | _T_2211; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2848 = _T_2841 | _T_2218; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2849 = _T_2199 & _T_2848; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2863 = _T_2673 | _T_2236; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2870 = _T_2863 | _T_2243; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2871 = _T_2199 & _T_2870; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2885 = _T_2695 | _T_2261; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2892 = _T_2885 | _T_2268; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2893 = _T_2199 & _T_2892; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2907 = _T_2717 | _T_2286; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2914 = _T_2907 | _T_2293; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2915 = _T_2199 & _T_2914; // @[el2_lsu_bus_buffer.scala 433:114] wire [3:0] buf_rspage_set_2 = {_T_2915,_T_2893,_T_2871,_T_2849}; // @[Cat.scala 29:58] - wire _T_2932 = _T_2651 | _T_2313; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2939 = _T_2932 | _T_2320; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2940 = _T_2301 & _T_2939; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2954 = _T_2673 | _T_2338; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2961 = _T_2954 | _T_2345; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2962 = _T_2301 & _T_2961; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2976 = _T_2695 | _T_2363; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_2983 = _T_2976 | _T_2370; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_2984 = _T_2301 & _T_2983; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2998 = _T_2717 | _T_2388; // @[el2_lsu_bus_buffer.scala 433:61] - wire _T_3005 = _T_2998 | _T_2395; // @[el2_lsu_bus_buffer.scala 434:112] - wire _T_3006 = _T_2301 & _T_3005; // @[el2_lsu_bus_buffer.scala 432:114] + wire _T_2932 = _T_2651 | _T_2313; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2939 = _T_2932 | _T_2320; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2940 = _T_2301 & _T_2939; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2954 = _T_2673 | _T_2338; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2961 = _T_2954 | _T_2345; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2962 = _T_2301 & _T_2961; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2976 = _T_2695 | _T_2363; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2983 = _T_2976 | _T_2370; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2984 = _T_2301 & _T_2983; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2998 = _T_2717 | _T_2388; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_3005 = _T_2998 | _T_2395; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_3006 = _T_2301 & _T_3005; // @[el2_lsu_bus_buffer.scala 433:114] wire [3:0] buf_rspage_set_3 = {_T_3006,_T_2984,_T_2962,_T_2940}; // @[Cat.scala 29:58] - wire _T_3091 = _T_2715 | _T_1810; // @[el2_lsu_bus_buffer.scala 437:112] - wire _T_3092 = ~_T_3091; // @[el2_lsu_bus_buffer.scala 437:86] - wire _T_3093 = buf_rspageQ_0[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3085 = _T_2693 | _T_1799; // @[el2_lsu_bus_buffer.scala 437:112] - wire _T_3086 = ~_T_3085; // @[el2_lsu_bus_buffer.scala 437:86] - wire _T_3087 = buf_rspageQ_0[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3079 = _T_2671 | _T_1788; // @[el2_lsu_bus_buffer.scala 437:112] - wire _T_3080 = ~_T_3079; // @[el2_lsu_bus_buffer.scala 437:86] - wire _T_3081 = buf_rspageQ_0[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3073 = _T_2649 | _T_1777; // @[el2_lsu_bus_buffer.scala 437:112] - wire _T_3074 = ~_T_3073; // @[el2_lsu_bus_buffer.scala 437:86] - wire _T_3075 = buf_rspageQ_0[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 437:84] + wire _T_3091 = _T_2715 | _T_1810; // @[el2_lsu_bus_buffer.scala 438:112] + wire _T_3092 = ~_T_3091; // @[el2_lsu_bus_buffer.scala 438:86] + wire _T_3093 = buf_rspageQ_0[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3085 = _T_2693 | _T_1799; // @[el2_lsu_bus_buffer.scala 438:112] + wire _T_3086 = ~_T_3085; // @[el2_lsu_bus_buffer.scala 438:86] + wire _T_3087 = buf_rspageQ_0[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3079 = _T_2671 | _T_1788; // @[el2_lsu_bus_buffer.scala 438:112] + wire _T_3080 = ~_T_3079; // @[el2_lsu_bus_buffer.scala 438:86] + wire _T_3081 = buf_rspageQ_0[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3073 = _T_2649 | _T_1777; // @[el2_lsu_bus_buffer.scala 438:112] + wire _T_3074 = ~_T_3073; // @[el2_lsu_bus_buffer.scala 438:86] + wire _T_3075 = buf_rspageQ_0[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 438:84] wire [3:0] buf_rspage_0 = {_T_3093,_T_3087,_T_3081,_T_3075}; // @[Cat.scala 29:58] - wire _T_3012 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3015 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3018 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3021 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 436:90] + wire _T_3012 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3015 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3018 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3021 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 437:90] wire [2:0] _T_3023 = {_T_3021,_T_3018,_T_3015}; // @[Cat.scala 29:58] - wire _T_3120 = buf_rspageQ_1[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3114 = buf_rspageQ_1[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3108 = buf_rspageQ_1[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3102 = buf_rspageQ_1[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 437:84] + wire _T_3120 = buf_rspageQ_1[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3114 = buf_rspageQ_1[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3108 = buf_rspageQ_1[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3102 = buf_rspageQ_1[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 438:84] wire [3:0] buf_rspage_1 = {_T_3120,_T_3114,_T_3108,_T_3102}; // @[Cat.scala 29:58] - wire _T_3027 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3030 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3033 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3036 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 436:90] + wire _T_3027 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3030 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3033 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3036 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 437:90] wire [2:0] _T_3038 = {_T_3036,_T_3033,_T_3030}; // @[Cat.scala 29:58] - wire _T_3147 = buf_rspageQ_2[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3141 = buf_rspageQ_2[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3135 = buf_rspageQ_2[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3129 = buf_rspageQ_2[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 437:84] + wire _T_3147 = buf_rspageQ_2[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3141 = buf_rspageQ_2[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3135 = buf_rspageQ_2[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3129 = buf_rspageQ_2[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 438:84] wire [3:0] buf_rspage_2 = {_T_3147,_T_3141,_T_3135,_T_3129}; // @[Cat.scala 29:58] - wire _T_3042 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3045 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3048 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3051 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 436:90] + wire _T_3042 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3045 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3048 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3051 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 437:90] wire [2:0] _T_3053 = {_T_3051,_T_3048,_T_3045}; // @[Cat.scala 29:58] - wire _T_3174 = buf_rspageQ_3[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3168 = buf_rspageQ_3[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3162 = buf_rspageQ_3[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 437:84] - wire _T_3156 = buf_rspageQ_3[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 437:84] + wire _T_3174 = buf_rspageQ_3[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3168 = buf_rspageQ_3[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3162 = buf_rspageQ_3[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3156 = buf_rspageQ_3[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 438:84] wire [3:0] buf_rspage_3 = {_T_3174,_T_3168,_T_3162,_T_3156}; // @[Cat.scala 29:58] - wire _T_3057 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3060 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3063 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 436:90] - wire _T_3066 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 436:90] + wire _T_3057 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3060 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3063 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3066 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 437:90] wire [2:0] _T_3068 = {_T_3066,_T_3063,_T_3060}; // @[Cat.scala 29:58] - wire _T_3179 = ibuf_drain_vld & _T_1778; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_3181 = ibuf_drain_vld & _T_1789; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_3183 = ibuf_drain_vld & _T_1800; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_3185 = ibuf_drain_vld & _T_1811; // @[el2_lsu_bus_buffer.scala 442:65] + wire _T_3179 = ibuf_drain_vld & _T_1778; // @[el2_lsu_bus_buffer.scala 443:65] + wire _T_3181 = ibuf_drain_vld & _T_1789; // @[el2_lsu_bus_buffer.scala 443:65] + wire _T_3183 = ibuf_drain_vld & _T_1800; // @[el2_lsu_bus_buffer.scala 443:65] + wire _T_3185 = ibuf_drain_vld & _T_1811; // @[el2_lsu_bus_buffer.scala 443:65] wire [3:0] ibuf_drainvec_vld = {_T_3185,_T_3183,_T_3181,_T_3179}; // @[Cat.scala 29:58] - wire _T_3193 = _T_3387 & _T_1783; // @[el2_lsu_bus_buffer.scala 443:123] - wire [3:0] _T_3196 = _T_3193 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 443:96] - wire [3:0] _T_3197 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3196; // @[el2_lsu_bus_buffer.scala 443:48] - wire _T_3202 = _T_3387 & _T_1794; // @[el2_lsu_bus_buffer.scala 443:123] - wire [3:0] _T_3205 = _T_3202 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 443:96] - wire [3:0] _T_3206 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3205; // @[el2_lsu_bus_buffer.scala 443:48] - wire _T_3211 = _T_3387 & _T_1805; // @[el2_lsu_bus_buffer.scala 443:123] - wire [3:0] _T_3214 = _T_3211 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 443:96] - wire [3:0] _T_3215 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3214; // @[el2_lsu_bus_buffer.scala 443:48] - wire _T_3220 = _T_3387 & _T_1816; // @[el2_lsu_bus_buffer.scala 443:123] - wire [3:0] _T_3223 = _T_3220 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 443:96] - wire [3:0] _T_3224 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3223; // @[el2_lsu_bus_buffer.scala 443:48] - wire _T_3250 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 445:47] - wire _T_3252 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 445:47] - wire _T_3254 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 445:47] - wire _T_3256 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 445:47] + wire _T_3193 = _T_3387 & _T_1783; // @[el2_lsu_bus_buffer.scala 444:123] + wire [3:0] _T_3196 = _T_3193 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] + wire [3:0] _T_3197 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3196; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_3202 = _T_3387 & _T_1794; // @[el2_lsu_bus_buffer.scala 444:123] + wire [3:0] _T_3205 = _T_3202 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] + wire [3:0] _T_3206 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3205; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_3211 = _T_3387 & _T_1805; // @[el2_lsu_bus_buffer.scala 444:123] + wire [3:0] _T_3214 = _T_3211 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] + wire [3:0] _T_3215 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3214; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_3220 = _T_3387 & _T_1816; // @[el2_lsu_bus_buffer.scala 444:123] + wire [3:0] _T_3223 = _T_3220 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] + wire [3:0] _T_3224 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3223; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_3250 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] + wire _T_3252 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] + wire _T_3254 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] + wire _T_3256 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] wire [3:0] buf_dual_in = {_T_3256,_T_3254,_T_3252,_T_3250}; // @[Cat.scala 29:58] - wire _T_3261 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 446:49] - wire _T_3263 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 446:49] - wire _T_3265 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 446:49] - wire _T_3267 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 446:49] + wire _T_3261 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] + wire _T_3263 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] + wire _T_3265 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] + wire _T_3267 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] wire [3:0] buf_samedw_in = {_T_3267,_T_3265,_T_3263,_T_3261}; // @[Cat.scala 29:58] - wire _T_3272 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 447:86] - wire _T_3273 = ibuf_drainvec_vld[0] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 447:50] - wire _T_3276 = ibuf_drainvec_vld[1] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 447:50] - wire _T_3279 = ibuf_drainvec_vld[2] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 447:50] - wire _T_3282 = ibuf_drainvec_vld[3] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 447:50] + wire _T_3272 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 448:86] + wire _T_3273 = ibuf_drainvec_vld[0] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] + wire _T_3276 = ibuf_drainvec_vld[1] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] + wire _T_3279 = ibuf_drainvec_vld[2] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] + wire _T_3282 = ibuf_drainvec_vld[3] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] wire [3:0] buf_nomerge_in = {_T_3282,_T_3279,_T_3276,_T_3273}; // @[Cat.scala 29:58] - wire _T_3290 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3193; // @[el2_lsu_bus_buffer.scala 448:49] - wire _T_3295 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3202; // @[el2_lsu_bus_buffer.scala 448:49] - wire _T_3300 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3211; // @[el2_lsu_bus_buffer.scala 448:49] - wire _T_3305 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3220; // @[el2_lsu_bus_buffer.scala 448:49] + wire _T_3290 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3193; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_3295 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3202; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_3300 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3211; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_3305 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3220; // @[el2_lsu_bus_buffer.scala 449:49] wire [3:0] buf_dualhi_in = {_T_3305,_T_3300,_T_3295,_T_3290}; // @[Cat.scala 29:58] - wire _T_3334 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 450:53] - wire _T_3336 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 450:53] - wire _T_3338 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 450:53] - wire _T_3340 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 450:53] + wire _T_3334 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] + wire _T_3336 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] + wire _T_3338 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] + wire _T_3340 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] wire [3:0] buf_sideeffect_in = {_T_3340,_T_3338,_T_3336,_T_3334}; // @[Cat.scala 29:58] - wire _T_3345 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 451:49] - wire _T_3347 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 451:49] - wire _T_3349 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 451:49] - wire _T_3351 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 451:49] + wire _T_3345 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_3347 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_3349 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_3351 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] wire [3:0] buf_unsign_in = {_T_3351,_T_3349,_T_3347,_T_3345}; // @[Cat.scala 29:58] - wire _T_3368 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 453:48] - wire _T_3370 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 453:48] - wire _T_3372 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 453:48] - wire _T_3374 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 453:48] + wire _T_3368 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3370 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3372 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3374 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] wire [3:0] buf_write_in = {_T_3374,_T_3372,_T_3370,_T_3368}; // @[Cat.scala 29:58] - wire [31:0] _T_3400 = _T_3393 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 462:30] - wire _T_3407 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 469:89] - wire _T_3409 = _T_3407 & _T_1276; // @[el2_lsu_bus_buffer.scala 469:104] - wire _T_3422 = buf_state_en_0 & _T_1129; // @[el2_lsu_bus_buffer.scala 474:44] - wire _T_3423 = _T_3422 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 474:60] - wire _T_3425 = _T_3423 & _T_1258; // @[el2_lsu_bus_buffer.scala 474:74] - wire _T_3428 = _T_3418 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:67] - wire _T_3429 = _T_3428 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 476:81] - wire _T_4723 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 578:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4723; // @[el2_lsu_bus_buffer.scala 578:38] - wire _T_3432 = _T_3428 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 477:82] - wire [31:0] _T_3437 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 478:73] - wire _T_3507 = bus_rsp_read_error & _T_3486; // @[el2_lsu_bus_buffer.scala 491:91] - wire _T_3509 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 492:31] - wire _T_3511 = _T_3509 & _T_3488; // @[el2_lsu_bus_buffer.scala 492:46] - wire _T_3512 = _T_3507 | _T_3511; // @[el2_lsu_bus_buffer.scala 491:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4723; // @[el2_lsu_bus_buffer.scala 577:40] - wire _T_3515 = bus_rsp_write_error & _T_3484; // @[el2_lsu_bus_buffer.scala 493:53] - wire _T_3516 = _T_3512 | _T_3515; // @[el2_lsu_bus_buffer.scala 492:88] - wire _T_3517 = _T_3418 & _T_3516; // @[el2_lsu_bus_buffer.scala 491:68] + wire [31:0] _T_3400 = _T_3393 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] + wire _T_3407 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 470:89] + wire _T_3409 = _T_3407 & _T_1276; // @[el2_lsu_bus_buffer.scala 470:104] + wire _T_3422 = buf_state_en_0 & _T_1129; // @[el2_lsu_bus_buffer.scala 475:44] + wire _T_3423 = _T_3422 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] + wire _T_3425 = _T_3423 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] + wire _T_3428 = _T_3418 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] + wire _T_3429 = _T_3428 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] + wire _T_4723 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 579:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4723; // @[el2_lsu_bus_buffer.scala 579:38] + wire _T_3432 = _T_3428 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] + wire [31:0] _T_3437 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] + wire _T_3507 = bus_rsp_read_error & _T_3486; // @[el2_lsu_bus_buffer.scala 492:91] + wire _T_3509 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_3511 = _T_3509 & _T_3488; // @[el2_lsu_bus_buffer.scala 493:46] + wire _T_3512 = _T_3507 | _T_3511; // @[el2_lsu_bus_buffer.scala 492:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4723; // @[el2_lsu_bus_buffer.scala 578:40] + wire _T_3515 = bus_rsp_write_error & _T_3484; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3516 = _T_3512 | _T_3515; // @[el2_lsu_bus_buffer.scala 493:88] + wire _T_3517 = _T_3418 & _T_3516; // @[el2_lsu_bus_buffer.scala 492:68] wire _GEN_46 = _T_3439 & _T_3517; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3405 ? _T_3432 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3401 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3378 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3438 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3437; // @[el2_lsu_bus_buffer.scala 478:30] - wire _T_3442 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 481:73] - wire _T_3443 = buf_write[0] & _T_3442; // @[el2_lsu_bus_buffer.scala 481:71] - wire _T_3444 = io_dec_tlu_force_halt | _T_3443; // @[el2_lsu_bus_buffer.scala 481:55] - wire _T_3446 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 482:30] - wire _T_3447 = buf_dual_0 & _T_3446; // @[el2_lsu_bus_buffer.scala 482:28] - wire _T_3450 = _T_3447 & _T_1129; // @[el2_lsu_bus_buffer.scala 482:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3451 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3452 = _T_3450 & _T_3451; // @[el2_lsu_bus_buffer.scala 482:61] - wire _T_4348 = _T_2596 | _T_2593; // @[el2_lsu_bus_buffer.scala 539:93] - wire _T_4349 = _T_4348 | _T_2590; // @[el2_lsu_bus_buffer.scala 539:93] - wire any_done_wait_state = _T_4349 | _T_2587; // @[el2_lsu_bus_buffer.scala 539:93] - wire _T_3454 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 483:31] + wire [31:0] _T_3438 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3437; // @[el2_lsu_bus_buffer.scala 479:30] + wire _T_3442 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 482:73] + wire _T_3443 = buf_write[0] & _T_3442; // @[el2_lsu_bus_buffer.scala 482:71] + wire _T_3444 = io_dec_tlu_force_halt | _T_3443; // @[el2_lsu_bus_buffer.scala 482:55] + wire _T_3446 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 483:30] + wire _T_3447 = buf_dual_0 & _T_3446; // @[el2_lsu_bus_buffer.scala 483:28] + wire _T_3450 = _T_3447 & _T_1129; // @[el2_lsu_bus_buffer.scala 483:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 483:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_3451 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_3452 = _T_3450 & _T_3451; // @[el2_lsu_bus_buffer.scala 483:61] + wire _T_4348 = _T_2596 | _T_2593; // @[el2_lsu_bus_buffer.scala 540:93] + wire _T_4349 = _T_4348 | _T_2590; // @[el2_lsu_bus_buffer.scala 540:93] + wire any_done_wait_state = _T_4349 | _T_2587; // @[el2_lsu_bus_buffer.scala 540:93] + wire _T_3454 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] wire _T_3460 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3462 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3464 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -1975,18 +1979,18 @@ module el2_lsu_bus_buffer( wire _T_3472 = _T_3468 | _T_3469; // @[Mux.scala 27:72] wire _T_3473 = _T_3472 | _T_3470; // @[Mux.scala 27:72] wire _T_3474 = _T_3473 | _T_3471; // @[Mux.scala 27:72] - wire _T_3476 = _T_3450 & _T_3474; // @[el2_lsu_bus_buffer.scala 483:101] - wire _T_3477 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 483:167] - wire _T_3478 = _T_3476 & _T_3477; // @[el2_lsu_bus_buffer.scala 483:138] - wire _T_3479 = _T_3478 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 483:187] - wire _T_3480 = _T_3454 | _T_3479; // @[el2_lsu_bus_buffer.scala 483:53] - wire _T_3503 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 490:47] - wire _T_3504 = _T_3503 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 490:62] - wire _T_3518 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 494:50] - wire _T_3519 = buf_state_en_0 & _T_3518; // @[el2_lsu_bus_buffer.scala 494:48] - wire [31:0] _T_3525 = _T_3519 ? _T_3437 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:30] - wire _T_3531 = buf_ldfwd[0] | _T_3536[0]; // @[el2_lsu_bus_buffer.scala 497:90] - wire _T_3532 = _T_3531 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 497:118] + wire _T_3476 = _T_3450 & _T_3474; // @[el2_lsu_bus_buffer.scala 484:101] + wire _T_3477 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] + wire _T_3478 = _T_3476 & _T_3477; // @[el2_lsu_bus_buffer.scala 484:138] + wire _T_3479 = _T_3478 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] + wire _T_3480 = _T_3454 | _T_3479; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3503 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3504 = _T_3503 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] + wire _T_3518 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 495:50] + wire _T_3519 = buf_state_en_0 & _T_3518; // @[el2_lsu_bus_buffer.scala 495:48] + wire [31:0] _T_3525 = _T_3519 ? _T_3437 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] + wire _T_3531 = buf_ldfwd[0] | _T_3536[0]; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3532 = _T_3531 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] wire _GEN_29 = _T_3552 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3544 ? 1'h0 : _T_3552; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3544 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2008,37 +2012,37 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_79 = _T_3378 ? _T_3400 : _GEN_72; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3378 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3378 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3593 = _T_3586 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 462:30] - wire _T_3615 = buf_state_en_1 & _T_3686; // @[el2_lsu_bus_buffer.scala 474:44] - wire _T_3616 = _T_3615 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 474:60] - wire _T_3618 = _T_3616 & _T_1258; // @[el2_lsu_bus_buffer.scala 474:74] - wire _T_3621 = _T_3611 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:67] - wire _T_3622 = _T_3621 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 476:81] - wire _T_3625 = _T_3621 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 477:82] - wire [31:0] _T_3630 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 478:73] - wire _T_3700 = bus_rsp_read_error & _T_3679; // @[el2_lsu_bus_buffer.scala 491:91] - wire _T_3702 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 492:31] - wire _T_3704 = _T_3702 & _T_3681; // @[el2_lsu_bus_buffer.scala 492:46] - wire _T_3705 = _T_3700 | _T_3704; // @[el2_lsu_bus_buffer.scala 491:143] - wire _T_3708 = bus_rsp_write_error & _T_3677; // @[el2_lsu_bus_buffer.scala 493:53] - wire _T_3709 = _T_3705 | _T_3708; // @[el2_lsu_bus_buffer.scala 492:88] - wire _T_3710 = _T_3611 & _T_3709; // @[el2_lsu_bus_buffer.scala 491:68] + wire [31:0] _T_3593 = _T_3586 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] + wire _T_3615 = buf_state_en_1 & _T_3686; // @[el2_lsu_bus_buffer.scala 475:44] + wire _T_3616 = _T_3615 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] + wire _T_3618 = _T_3616 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] + wire _T_3621 = _T_3611 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] + wire _T_3622 = _T_3621 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] + wire _T_3625 = _T_3621 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] + wire [31:0] _T_3630 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] + wire _T_3700 = bus_rsp_read_error & _T_3679; // @[el2_lsu_bus_buffer.scala 492:91] + wire _T_3702 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_3704 = _T_3702 & _T_3681; // @[el2_lsu_bus_buffer.scala 493:46] + wire _T_3705 = _T_3700 | _T_3704; // @[el2_lsu_bus_buffer.scala 492:143] + wire _T_3708 = bus_rsp_write_error & _T_3677; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3709 = _T_3705 | _T_3708; // @[el2_lsu_bus_buffer.scala 493:88] + wire _T_3710 = _T_3611 & _T_3709; // @[el2_lsu_bus_buffer.scala 492:68] wire _GEN_122 = _T_3632 & _T_3710; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3598 ? _T_3625 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3594 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3571 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3631 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3630; // @[el2_lsu_bus_buffer.scala 478:30] - wire _T_3636 = buf_write[1] & _T_3442; // @[el2_lsu_bus_buffer.scala 481:71] - wire _T_3637 = io_dec_tlu_force_halt | _T_3636; // @[el2_lsu_bus_buffer.scala 481:55] - wire _T_3639 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 482:30] - wire _T_3640 = buf_dual_1 & _T_3639; // @[el2_lsu_bus_buffer.scala 482:28] - wire _T_3643 = _T_3640 & _T_3686; // @[el2_lsu_bus_buffer.scala 482:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3644 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3645 = _T_3643 & _T_3644; // @[el2_lsu_bus_buffer.scala 482:61] - wire _T_3647 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 483:31] + wire [31:0] _T_3631 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3630; // @[el2_lsu_bus_buffer.scala 479:30] + wire _T_3636 = buf_write[1] & _T_3442; // @[el2_lsu_bus_buffer.scala 482:71] + wire _T_3637 = io_dec_tlu_force_halt | _T_3636; // @[el2_lsu_bus_buffer.scala 482:55] + wire _T_3639 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 483:30] + wire _T_3640 = buf_dual_1 & _T_3639; // @[el2_lsu_bus_buffer.scala 483:28] + wire _T_3643 = _T_3640 & _T_3686; // @[el2_lsu_bus_buffer.scala 483:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 483:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_3644 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_3645 = _T_3643 & _T_3644; // @[el2_lsu_bus_buffer.scala 483:61] + wire _T_3647 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] wire _T_3653 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3655 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3657 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2050,18 +2054,18 @@ module el2_lsu_bus_buffer( wire _T_3665 = _T_3661 | _T_3662; // @[Mux.scala 27:72] wire _T_3666 = _T_3665 | _T_3663; // @[Mux.scala 27:72] wire _T_3667 = _T_3666 | _T_3664; // @[Mux.scala 27:72] - wire _T_3669 = _T_3643 & _T_3667; // @[el2_lsu_bus_buffer.scala 483:101] - wire _T_3670 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 483:167] - wire _T_3671 = _T_3669 & _T_3670; // @[el2_lsu_bus_buffer.scala 483:138] - wire _T_3672 = _T_3671 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 483:187] - wire _T_3673 = _T_3647 | _T_3672; // @[el2_lsu_bus_buffer.scala 483:53] - wire _T_3696 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 490:47] - wire _T_3697 = _T_3696 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 490:62] - wire _T_3711 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 494:50] - wire _T_3712 = buf_state_en_1 & _T_3711; // @[el2_lsu_bus_buffer.scala 494:48] - wire [31:0] _T_3718 = _T_3712 ? _T_3630 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:30] - wire _T_3724 = buf_ldfwd[1] | _T_3729[0]; // @[el2_lsu_bus_buffer.scala 497:90] - wire _T_3725 = _T_3724 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 497:118] + wire _T_3669 = _T_3643 & _T_3667; // @[el2_lsu_bus_buffer.scala 484:101] + wire _T_3670 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] + wire _T_3671 = _T_3669 & _T_3670; // @[el2_lsu_bus_buffer.scala 484:138] + wire _T_3672 = _T_3671 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] + wire _T_3673 = _T_3647 | _T_3672; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3696 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3697 = _T_3696 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] + wire _T_3711 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 495:50] + wire _T_3712 = buf_state_en_1 & _T_3711; // @[el2_lsu_bus_buffer.scala 495:48] + wire [31:0] _T_3718 = _T_3712 ? _T_3630 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] + wire _T_3724 = buf_ldfwd[1] | _T_3729[0]; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3725 = _T_3724 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] wire _GEN_105 = _T_3745 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3737 ? 1'h0 : _T_3745; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3737 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2083,37 +2087,37 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_155 = _T_3571 ? _T_3593 : _GEN_148; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3571 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3571 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3786 = _T_3779 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 462:30] - wire _T_3808 = buf_state_en_2 & _T_3879; // @[el2_lsu_bus_buffer.scala 474:44] - wire _T_3809 = _T_3808 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 474:60] - wire _T_3811 = _T_3809 & _T_1258; // @[el2_lsu_bus_buffer.scala 474:74] - wire _T_3814 = _T_3804 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:67] - wire _T_3815 = _T_3814 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 476:81] - wire _T_3818 = _T_3814 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 477:82] - wire [31:0] _T_3823 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 478:73] - wire _T_3893 = bus_rsp_read_error & _T_3872; // @[el2_lsu_bus_buffer.scala 491:91] - wire _T_3895 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 492:31] - wire _T_3897 = _T_3895 & _T_3874; // @[el2_lsu_bus_buffer.scala 492:46] - wire _T_3898 = _T_3893 | _T_3897; // @[el2_lsu_bus_buffer.scala 491:143] - wire _T_3901 = bus_rsp_write_error & _T_3870; // @[el2_lsu_bus_buffer.scala 493:53] - wire _T_3902 = _T_3898 | _T_3901; // @[el2_lsu_bus_buffer.scala 492:88] - wire _T_3903 = _T_3804 & _T_3902; // @[el2_lsu_bus_buffer.scala 491:68] + wire [31:0] _T_3786 = _T_3779 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] + wire _T_3808 = buf_state_en_2 & _T_3879; // @[el2_lsu_bus_buffer.scala 475:44] + wire _T_3809 = _T_3808 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] + wire _T_3811 = _T_3809 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] + wire _T_3814 = _T_3804 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] + wire _T_3815 = _T_3814 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] + wire _T_3818 = _T_3814 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] + wire [31:0] _T_3823 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] + wire _T_3893 = bus_rsp_read_error & _T_3872; // @[el2_lsu_bus_buffer.scala 492:91] + wire _T_3895 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_3897 = _T_3895 & _T_3874; // @[el2_lsu_bus_buffer.scala 493:46] + wire _T_3898 = _T_3893 | _T_3897; // @[el2_lsu_bus_buffer.scala 492:143] + wire _T_3901 = bus_rsp_write_error & _T_3870; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3902 = _T_3898 | _T_3901; // @[el2_lsu_bus_buffer.scala 493:88] + wire _T_3903 = _T_3804 & _T_3902; // @[el2_lsu_bus_buffer.scala 492:68] wire _GEN_198 = _T_3825 & _T_3903; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3791 ? _T_3818 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3787 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3764 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3824 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3823; // @[el2_lsu_bus_buffer.scala 478:30] - wire _T_3829 = buf_write[2] & _T_3442; // @[el2_lsu_bus_buffer.scala 481:71] - wire _T_3830 = io_dec_tlu_force_halt | _T_3829; // @[el2_lsu_bus_buffer.scala 481:55] - wire _T_3832 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 482:30] - wire _T_3833 = buf_dual_2 & _T_3832; // @[el2_lsu_bus_buffer.scala 482:28] - wire _T_3836 = _T_3833 & _T_3879; // @[el2_lsu_bus_buffer.scala 482:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3837 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_3838 = _T_3836 & _T_3837; // @[el2_lsu_bus_buffer.scala 482:61] - wire _T_3840 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 483:31] + wire [31:0] _T_3824 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3823; // @[el2_lsu_bus_buffer.scala 479:30] + wire _T_3829 = buf_write[2] & _T_3442; // @[el2_lsu_bus_buffer.scala 482:71] + wire _T_3830 = io_dec_tlu_force_halt | _T_3829; // @[el2_lsu_bus_buffer.scala 482:55] + wire _T_3832 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 483:30] + wire _T_3833 = buf_dual_2 & _T_3832; // @[el2_lsu_bus_buffer.scala 483:28] + wire _T_3836 = _T_3833 & _T_3879; // @[el2_lsu_bus_buffer.scala 483:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 483:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_3837 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_3838 = _T_3836 & _T_3837; // @[el2_lsu_bus_buffer.scala 483:61] + wire _T_3840 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] wire _T_3846 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3848 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3850 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2125,18 +2129,18 @@ module el2_lsu_bus_buffer( wire _T_3858 = _T_3854 | _T_3855; // @[Mux.scala 27:72] wire _T_3859 = _T_3858 | _T_3856; // @[Mux.scala 27:72] wire _T_3860 = _T_3859 | _T_3857; // @[Mux.scala 27:72] - wire _T_3862 = _T_3836 & _T_3860; // @[el2_lsu_bus_buffer.scala 483:101] - wire _T_3863 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 483:167] - wire _T_3864 = _T_3862 & _T_3863; // @[el2_lsu_bus_buffer.scala 483:138] - wire _T_3865 = _T_3864 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 483:187] - wire _T_3866 = _T_3840 | _T_3865; // @[el2_lsu_bus_buffer.scala 483:53] - wire _T_3889 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 490:47] - wire _T_3890 = _T_3889 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 490:62] - wire _T_3904 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 494:50] - wire _T_3905 = buf_state_en_2 & _T_3904; // @[el2_lsu_bus_buffer.scala 494:48] - wire [31:0] _T_3911 = _T_3905 ? _T_3823 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:30] - wire _T_3917 = buf_ldfwd[2] | _T_3922[0]; // @[el2_lsu_bus_buffer.scala 497:90] - wire _T_3918 = _T_3917 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 497:118] + wire _T_3862 = _T_3836 & _T_3860; // @[el2_lsu_bus_buffer.scala 484:101] + wire _T_3863 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] + wire _T_3864 = _T_3862 & _T_3863; // @[el2_lsu_bus_buffer.scala 484:138] + wire _T_3865 = _T_3864 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] + wire _T_3866 = _T_3840 | _T_3865; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3889 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3890 = _T_3889 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] + wire _T_3904 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 495:50] + wire _T_3905 = buf_state_en_2 & _T_3904; // @[el2_lsu_bus_buffer.scala 495:48] + wire [31:0] _T_3911 = _T_3905 ? _T_3823 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] + wire _T_3917 = buf_ldfwd[2] | _T_3922[0]; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3918 = _T_3917 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] wire _GEN_181 = _T_3938 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_3930 ? 1'h0 : _T_3938; // @[Conditional.scala 39:67] wire _GEN_186 = _T_3930 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2158,37 +2162,37 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_231 = _T_3764 ? _T_3786 : _GEN_224; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3764 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3764 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_3979 = _T_3972 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 462:30] - wire _T_4001 = buf_state_en_3 & _T_4072; // @[el2_lsu_bus_buffer.scala 474:44] - wire _T_4002 = _T_4001 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 474:60] - wire _T_4004 = _T_4002 & _T_1258; // @[el2_lsu_bus_buffer.scala 474:74] - wire _T_4007 = _T_3997 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:67] - wire _T_4008 = _T_4007 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 476:81] - wire _T_4011 = _T_4007 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 477:82] - wire [31:0] _T_4016 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 478:73] - wire _T_4086 = bus_rsp_read_error & _T_4065; // @[el2_lsu_bus_buffer.scala 491:91] - wire _T_4088 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 492:31] - wire _T_4090 = _T_4088 & _T_4067; // @[el2_lsu_bus_buffer.scala 492:46] - wire _T_4091 = _T_4086 | _T_4090; // @[el2_lsu_bus_buffer.scala 491:143] - wire _T_4094 = bus_rsp_write_error & _T_4063; // @[el2_lsu_bus_buffer.scala 493:53] - wire _T_4095 = _T_4091 | _T_4094; // @[el2_lsu_bus_buffer.scala 492:88] - wire _T_4096 = _T_3997 & _T_4095; // @[el2_lsu_bus_buffer.scala 491:68] + wire [31:0] _T_3979 = _T_3972 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] + wire _T_4001 = buf_state_en_3 & _T_4072; // @[el2_lsu_bus_buffer.scala 475:44] + wire _T_4002 = _T_4001 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] + wire _T_4004 = _T_4002 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] + wire _T_4007 = _T_3997 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] + wire _T_4008 = _T_4007 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] + wire _T_4011 = _T_4007 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] + wire [31:0] _T_4016 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] + wire _T_4086 = bus_rsp_read_error & _T_4065; // @[el2_lsu_bus_buffer.scala 492:91] + wire _T_4088 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_4090 = _T_4088 & _T_4067; // @[el2_lsu_bus_buffer.scala 493:46] + wire _T_4091 = _T_4086 | _T_4090; // @[el2_lsu_bus_buffer.scala 492:143] + wire _T_4094 = bus_rsp_write_error & _T_4063; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_4095 = _T_4091 | _T_4094; // @[el2_lsu_bus_buffer.scala 493:88] + wire _T_4096 = _T_3997 & _T_4095; // @[el2_lsu_bus_buffer.scala 492:68] wire _GEN_274 = _T_4018 & _T_4096; // @[Conditional.scala 39:67] wire _GEN_287 = _T_3984 ? _T_4011 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_3980 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_3957 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4017 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4016; // @[el2_lsu_bus_buffer.scala 478:30] - wire _T_4022 = buf_write[3] & _T_3442; // @[el2_lsu_bus_buffer.scala 481:71] - wire _T_4023 = io_dec_tlu_force_halt | _T_4022; // @[el2_lsu_bus_buffer.scala 481:55] - wire _T_4025 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 482:30] - wire _T_4026 = buf_dual_3 & _T_4025; // @[el2_lsu_bus_buffer.scala 482:28] - wire _T_4029 = _T_4026 & _T_4072; // @[el2_lsu_bus_buffer.scala 482:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 482:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_4030 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 482:90] - wire _T_4031 = _T_4029 & _T_4030; // @[el2_lsu_bus_buffer.scala 482:61] - wire _T_4033 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 483:31] + wire [31:0] _T_4017 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4016; // @[el2_lsu_bus_buffer.scala 479:30] + wire _T_4022 = buf_write[3] & _T_3442; // @[el2_lsu_bus_buffer.scala 482:71] + wire _T_4023 = io_dec_tlu_force_halt | _T_4022; // @[el2_lsu_bus_buffer.scala 482:55] + wire _T_4025 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 483:30] + wire _T_4026 = buf_dual_3 & _T_4025; // @[el2_lsu_bus_buffer.scala 483:28] + wire _T_4029 = _T_4026 & _T_4072; // @[el2_lsu_bus_buffer.scala 483:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 483:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_4030 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_4031 = _T_4029 & _T_4030; // @[el2_lsu_bus_buffer.scala 483:61] + wire _T_4033 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] wire _T_4039 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_4041 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_4043 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2200,18 +2204,18 @@ module el2_lsu_bus_buffer( wire _T_4051 = _T_4047 | _T_4048; // @[Mux.scala 27:72] wire _T_4052 = _T_4051 | _T_4049; // @[Mux.scala 27:72] wire _T_4053 = _T_4052 | _T_4050; // @[Mux.scala 27:72] - wire _T_4055 = _T_4029 & _T_4053; // @[el2_lsu_bus_buffer.scala 483:101] - wire _T_4056 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 483:167] - wire _T_4057 = _T_4055 & _T_4056; // @[el2_lsu_bus_buffer.scala 483:138] - wire _T_4058 = _T_4057 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 483:187] - wire _T_4059 = _T_4033 | _T_4058; // @[el2_lsu_bus_buffer.scala 483:53] - wire _T_4082 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 490:47] - wire _T_4083 = _T_4082 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 490:62] - wire _T_4097 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 494:50] - wire _T_4098 = buf_state_en_3 & _T_4097; // @[el2_lsu_bus_buffer.scala 494:48] - wire [31:0] _T_4104 = _T_4098 ? _T_4016 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:30] - wire _T_4110 = buf_ldfwd[3] | _T_4115[0]; // @[el2_lsu_bus_buffer.scala 497:90] - wire _T_4111 = _T_4110 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 497:118] + wire _T_4055 = _T_4029 & _T_4053; // @[el2_lsu_bus_buffer.scala 484:101] + wire _T_4056 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] + wire _T_4057 = _T_4055 & _T_4056; // @[el2_lsu_bus_buffer.scala 484:138] + wire _T_4058 = _T_4057 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] + wire _T_4059 = _T_4033 | _T_4058; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_4082 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_4083 = _T_4082 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] + wire _T_4097 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 495:50] + wire _T_4098 = buf_state_en_3 & _T_4097; // @[el2_lsu_bus_buffer.scala 495:48] + wire [31:0] _T_4104 = _T_4098 ? _T_4016 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] + wire _T_4110 = buf_ldfwd[3] | _T_4115[0]; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_4111 = _T_4110 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] wire _GEN_257 = _T_4131 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4123 ? 1'h0 : _T_4131; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4123 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2238,43 +2242,43 @@ module el2_lsu_bus_buffer( reg _T_4192; // @[Reg.scala 27:20] reg _T_4195; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4195,_T_4192,_T_4189,_T_4186}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3197[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 443:19] - wire [2:0] buf_byteen_in_1 = _T_3206[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 443:19] - wire [2:0] buf_byteen_in_2 = _T_3215[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 443:19] - wire [2:0] buf_byteen_in_3 = _T_3224[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 443:19] - reg _T_4257; // @[el2_lsu_bus_buffer.scala 533:82] - reg _T_4253; // @[el2_lsu_bus_buffer.scala 533:82] - reg _T_4249; // @[el2_lsu_bus_buffer.scala 533:82] - reg _T_4245; // @[el2_lsu_bus_buffer.scala 533:82] + wire [2:0] buf_byteen_in_0 = _T_3197[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] + wire [2:0] buf_byteen_in_1 = _T_3206[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] + wire [2:0] buf_byteen_in_2 = _T_3215[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] + wire [2:0] buf_byteen_in_3 = _T_3224[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] + reg _T_4257; // @[el2_lsu_bus_buffer.scala 534:82] + reg _T_4253; // @[el2_lsu_bus_buffer.scala 534:82] + reg _T_4249; // @[el2_lsu_bus_buffer.scala 534:82] + reg _T_4245; // @[el2_lsu_bus_buffer.scala 534:82] wire [3:0] buf_error = {_T_4257,_T_4253,_T_4249,_T_4245}; // @[Cat.scala 29:58] - wire _T_4243 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 533:86] - wire _T_4247 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 533:86] - wire _T_4251 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 533:86] - wire _T_4255 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 533:86] - wire [1:0] _T_4265 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 535:96] - wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 535:96] - wire [2:0] _T_4266 = _T_4265 + _GEN_407; // @[el2_lsu_bus_buffer.scala 535:96] - wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 535:96] - wire [3:0] buf_numvld_any = _T_4266 + _GEN_408; // @[el2_lsu_bus_buffer.scala 535:96] - wire _T_4352 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 541:52] - wire _T_4353 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 541:92] - wire _T_4354 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 541:119] - wire _T_4356 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 542:52] - wire _T_4357 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 542:52] - wire _T_4358 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 542:52] - wire _T_4359 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 542:52] - wire _T_4360 = _T_4356 | _T_4357; // @[el2_lsu_bus_buffer.scala 542:65] - wire _T_4361 = _T_4360 | _T_4358; // @[el2_lsu_bus_buffer.scala 542:65] - wire _T_4362 = _T_4361 | _T_4359; // @[el2_lsu_bus_buffer.scala 542:65] - wire _T_4363 = ~_T_4362; // @[el2_lsu_bus_buffer.scala 542:34] - wire _T_4365 = _T_4363 & _T_765; // @[el2_lsu_bus_buffer.scala 542:70] - wire _T_4368 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 544:51] - wire _T_4369 = _T_4368 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 544:72] - wire _T_4370 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 544:94] - wire _T_4371 = _T_4369 & _T_4370; // @[el2_lsu_bus_buffer.scala 544:92] - wire _T_4372 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 544:111] - wire _T_4374 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 547:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 631:66] + wire _T_4243 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 534:86] + wire _T_4247 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 534:86] + wire _T_4251 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 534:86] + wire _T_4255 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 534:86] + wire [1:0] _T_4265 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 536:96] + wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 536:96] + wire [2:0] _T_4266 = _T_4265 + _GEN_407; // @[el2_lsu_bus_buffer.scala 536:96] + wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 536:96] + wire [3:0] buf_numvld_any = _T_4266 + _GEN_408; // @[el2_lsu_bus_buffer.scala 536:96] + wire _T_4352 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 542:52] + wire _T_4353 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 542:92] + wire _T_4354 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 542:119] + wire _T_4356 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4357 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4358 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4359 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4360 = _T_4356 | _T_4357; // @[el2_lsu_bus_buffer.scala 543:65] + wire _T_4361 = _T_4360 | _T_4358; // @[el2_lsu_bus_buffer.scala 543:65] + wire _T_4362 = _T_4361 | _T_4359; // @[el2_lsu_bus_buffer.scala 543:65] + wire _T_4363 = ~_T_4362; // @[el2_lsu_bus_buffer.scala 543:34] + wire _T_4365 = _T_4363 & _T_765; // @[el2_lsu_bus_buffer.scala 543:70] + wire _T_4368 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 545:51] + wire _T_4369 = _T_4368 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 545:72] + wire _T_4370 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 545:94] + wire _T_4371 = _T_4369 & _T_4370; // @[el2_lsu_bus_buffer.scala 545:92] + wire _T_4372 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 545:111] + wire _T_4374 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 548:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 632:66] wire _T_4392 = _T_2649 & _T_1129; // @[Mux.scala 27:72] wire _T_4393 = _T_2671 & _T_3686; // @[Mux.scala 27:72] wire _T_4394 = _T_2693 & _T_3879; // @[Mux.scala 27:72] @@ -2282,32 +2286,32 @@ module el2_lsu_bus_buffer( wire _T_4396 = _T_4392 | _T_4393; // @[Mux.scala 27:72] wire _T_4397 = _T_4396 | _T_4394; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4397 | _T_4395; // @[Mux.scala 27:72] - wire _T_4403 = buf_error[0] & _T_1129; // @[el2_lsu_bus_buffer.scala 550:108] - wire _T_4408 = buf_error[1] & _T_3686; // @[el2_lsu_bus_buffer.scala 550:108] - wire _T_4413 = buf_error[2] & _T_3879; // @[el2_lsu_bus_buffer.scala 550:108] - wire _T_4418 = buf_error[3] & _T_4072; // @[el2_lsu_bus_buffer.scala 550:108] + wire _T_4403 = buf_error[0] & _T_1129; // @[el2_lsu_bus_buffer.scala 551:108] + wire _T_4408 = buf_error[1] & _T_3686; // @[el2_lsu_bus_buffer.scala 551:108] + wire _T_4413 = buf_error[2] & _T_3879; // @[el2_lsu_bus_buffer.scala 551:108] + wire _T_4418 = buf_error[3] & _T_4072; // @[el2_lsu_bus_buffer.scala 551:108] wire _T_4419 = _T_2649 & _T_4403; // @[Mux.scala 27:72] wire _T_4420 = _T_2671 & _T_4408; // @[Mux.scala 27:72] wire _T_4421 = _T_2693 & _T_4413; // @[Mux.scala 27:72] wire _T_4422 = _T_2715 & _T_4418; // @[Mux.scala 27:72] wire _T_4423 = _T_4419 | _T_4420; // @[Mux.scala 27:72] wire _T_4424 = _T_4423 | _T_4421; // @[Mux.scala 27:72] - wire _T_4431 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 551:109] - wire _T_4432 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 551:124] - wire _T_4433 = _T_4431 | _T_4432; // @[el2_lsu_bus_buffer.scala 551:122] - wire _T_4434 = _T_4392 & _T_4433; // @[el2_lsu_bus_buffer.scala 551:106] - wire _T_4439 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 551:109] - wire _T_4440 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 551:124] - wire _T_4441 = _T_4439 | _T_4440; // @[el2_lsu_bus_buffer.scala 551:122] - wire _T_4442 = _T_4393 & _T_4441; // @[el2_lsu_bus_buffer.scala 551:106] - wire _T_4447 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 551:109] - wire _T_4448 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 551:124] - wire _T_4449 = _T_4447 | _T_4448; // @[el2_lsu_bus_buffer.scala 551:122] - wire _T_4450 = _T_4394 & _T_4449; // @[el2_lsu_bus_buffer.scala 551:106] - wire _T_4455 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 551:109] - wire _T_4456 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 551:124] - wire _T_4457 = _T_4455 | _T_4456; // @[el2_lsu_bus_buffer.scala 551:122] - wire _T_4458 = _T_4395 & _T_4457; // @[el2_lsu_bus_buffer.scala 551:106] + wire _T_4431 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 552:109] + wire _T_4432 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 552:124] + wire _T_4433 = _T_4431 | _T_4432; // @[el2_lsu_bus_buffer.scala 552:122] + wire _T_4434 = _T_4392 & _T_4433; // @[el2_lsu_bus_buffer.scala 552:106] + wire _T_4439 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 552:109] + wire _T_4440 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 552:124] + wire _T_4441 = _T_4439 | _T_4440; // @[el2_lsu_bus_buffer.scala 552:122] + wire _T_4442 = _T_4393 & _T_4441; // @[el2_lsu_bus_buffer.scala 552:106] + wire _T_4447 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 552:109] + wire _T_4448 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 552:124] + wire _T_4449 = _T_4447 | _T_4448; // @[el2_lsu_bus_buffer.scala 552:122] + wire _T_4450 = _T_4394 & _T_4449; // @[el2_lsu_bus_buffer.scala 552:106] + wire _T_4455 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 552:109] + wire _T_4456 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 552:124] + wire _T_4457 = _T_4455 | _T_4456; // @[el2_lsu_bus_buffer.scala 552:122] + wire _T_4458 = _T_4395 & _T_4457; // @[el2_lsu_bus_buffer.scala 552:106] wire [1:0] _T_4461 = _T_4450 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4462 = _T_4458 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_409 = {{1'd0}, _T_4442}; // @[Mux.scala 27:72] @@ -2319,14 +2323,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4503 = _T_4499 | _T_4500; // @[Mux.scala 27:72] wire [31:0] _T_4504 = _T_4503 | _T_4501; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4504 | _T_4502; // @[Mux.scala 27:72] - wire _T_4510 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 553:120] - wire _T_4511 = _T_4392 & _T_4510; // @[el2_lsu_bus_buffer.scala 553:105] - wire _T_4516 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 553:120] - wire _T_4517 = _T_4393 & _T_4516; // @[el2_lsu_bus_buffer.scala 553:105] - wire _T_4522 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 553:120] - wire _T_4523 = _T_4394 & _T_4522; // @[el2_lsu_bus_buffer.scala 553:105] - wire _T_4528 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 553:120] - wire _T_4529 = _T_4395 & _T_4528; // @[el2_lsu_bus_buffer.scala 553:105] + wire _T_4510 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 554:120] + wire _T_4511 = _T_4392 & _T_4510; // @[el2_lsu_bus_buffer.scala 554:105] + wire _T_4516 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 554:120] + wire _T_4517 = _T_4393 & _T_4516; // @[el2_lsu_bus_buffer.scala 554:105] + wire _T_4522 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 554:120] + wire _T_4523 = _T_4394 & _T_4522; // @[el2_lsu_bus_buffer.scala 554:105] + wire _T_4528 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 554:120] + wire _T_4529 = _T_4395 & _T_4528; // @[el2_lsu_bus_buffer.scala 554:105] wire [31:0] _T_4530 = _T_4511 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4531 = _T_4517 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4532 = _T_4523 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2360,23 +2364,23 @@ module el2_lsu_bus_buffer( wire _T_4572 = _T_4571 | _T_4569; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4572 | _T_4570; // @[Mux.scala 27:72] wire [63:0] _T_4592 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [35:0] _T_4593 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 558:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4592 >> _T_4593; // @[el2_lsu_bus_buffer.scala 558:92] - wire _T_4594 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 559:69] - wire _T_4596 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 560:81] - wire _T_4597 = lsu_nonblock_unsign & _T_4596; // @[el2_lsu_bus_buffer.scala 560:63] + wire [35:0] _T_4593 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 559:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4592 >> _T_4593; // @[el2_lsu_bus_buffer.scala 559:92] + wire _T_4594 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 560:69] + wire _T_4596 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 561:81] + wire _T_4597 = lsu_nonblock_unsign & _T_4596; // @[el2_lsu_bus_buffer.scala 561:63] wire [31:0] _T_4599 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4600 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 561:45] - wire _T_4601 = lsu_nonblock_unsign & _T_4600; // @[el2_lsu_bus_buffer.scala 561:26] + wire _T_4600 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 562:45] + wire _T_4601 = lsu_nonblock_unsign & _T_4600; // @[el2_lsu_bus_buffer.scala 562:26] wire [31:0] _T_4603 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4604 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 562:6] - wire _T_4606 = _T_4604 & _T_4596; // @[el2_lsu_bus_buffer.scala 562:27] + wire _T_4604 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 563:6] + wire _T_4606 = _T_4604 & _T_4596; // @[el2_lsu_bus_buffer.scala 563:27] wire [23:0] _T_4609 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4611 = {_T_4609,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4614 = _T_4604 & _T_4600; // @[el2_lsu_bus_buffer.scala 563:27] + wire _T_4614 = _T_4604 & _T_4600; // @[el2_lsu_bus_buffer.scala 564:27] wire [15:0] _T_4617 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4619 = {_T_4617,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4620 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 564:21] + wire _T_4620 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 565:21] wire [31:0] _T_4621 = _T_4597 ? _T_4599 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4622 = _T_4601 ? _T_4603 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4623 = _T_4606 ? _T_4611 : 32'h0; // @[Mux.scala 27:72] @@ -2387,49 +2391,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4628 = _T_4627 | _T_4624; // @[Mux.scala 27:72] wire [63:0] _GEN_410 = {{32'd0}, _T_4628}; // @[Mux.scala 27:72] wire [63:0] _T_4629 = _GEN_410 | _T_4625; // @[Mux.scala 27:72] - wire _T_4725 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 582:36] - wire _T_4726 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 582:51] - wire _T_4727 = _T_4725 & _T_4726; // @[el2_lsu_bus_buffer.scala 582:49] + wire _T_4725 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 583:36] + wire _T_4726 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 583:51] + wire _T_4727 = _T_4725 & _T_4726; // @[el2_lsu_bus_buffer.scala 583:49] wire [31:0] _T_4731 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4733 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4738 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 594:50] - wire _T_4739 = _T_4725 & _T_4738; // @[el2_lsu_bus_buffer.scala 594:48] + wire _T_4738 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 595:50] + wire _T_4739 = _T_4725 & _T_4738; // @[el2_lsu_bus_buffer.scala 595:48] wire [7:0] _T_4743 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4746 = obuf_valid & _T_1268; // @[el2_lsu_bus_buffer.scala 599:36] - wire _T_4748 = _T_4746 & _T_1274; // @[el2_lsu_bus_buffer.scala 599:50] - wire _T_4760 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 612:114] - wire _T_4762 = _T_4760 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 612:129] - wire _T_4765 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 612:114] - wire _T_4767 = _T_4765 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 612:129] - wire _T_4770 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 612:114] - wire _T_4772 = _T_4770 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 612:129] - wire _T_4775 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 612:114] - wire _T_4777 = _T_4775 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 612:129] + wire _T_4746 = obuf_valid & _T_1268; // @[el2_lsu_bus_buffer.scala 600:36] + wire _T_4748 = _T_4746 & _T_1274; // @[el2_lsu_bus_buffer.scala 600:50] + wire _T_4760 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 613:114] + wire _T_4762 = _T_4760 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 613:129] + wire _T_4765 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 613:114] + wire _T_4767 = _T_4765 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 613:129] + wire _T_4770 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 613:114] + wire _T_4772 = _T_4770 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 613:129] + wire _T_4775 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 613:114] + wire _T_4777 = _T_4775 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 613:129] wire _T_4778 = _T_2649 & _T_4762; // @[Mux.scala 27:72] wire _T_4779 = _T_2671 & _T_4767; // @[Mux.scala 27:72] wire _T_4780 = _T_2693 & _T_4772; // @[Mux.scala 27:72] wire _T_4781 = _T_2715 & _T_4777; // @[Mux.scala 27:72] wire _T_4782 = _T_4778 | _T_4779; // @[Mux.scala 27:72] wire _T_4783 = _T_4782 | _T_4780; // @[Mux.scala 27:72] - wire _T_4793 = _T_2671 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 613:98] - wire lsu_imprecise_error_store_tag = _T_4793 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 613:113] - wire _T_4799 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 615:72] + wire _T_4793 = _T_2671 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 614:98] + wire lsu_imprecise_error_store_tag = _T_4793 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 614:113] + wire _T_4799 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 616:72] wire _T_4801 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] wire [31:0] _T_4803 = _T_4801 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4804 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4805 = _T_4803 | _T_4804; // @[Mux.scala 27:72] - wire _T_4822 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 622:68] - wire _T_4825 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 623:48] - wire _T_4828 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 626:48] - wire _T_4829 = io_lsu_axi_awvalid & _T_4828; // @[el2_lsu_bus_buffer.scala 626:46] - wire _T_4830 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 626:92] - wire _T_4831 = io_lsu_axi_wvalid & _T_4830; // @[el2_lsu_bus_buffer.scala 626:90] - wire _T_4832 = _T_4829 | _T_4831; // @[el2_lsu_bus_buffer.scala 626:69] - wire _T_4833 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 626:136] - wire _T_4834 = io_lsu_axi_arvalid & _T_4833; // @[el2_lsu_bus_buffer.scala 626:134] - wire _T_4838 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 630:75] - wire _T_4839 = io_lsu_busreq_m & _T_4838; // @[el2_lsu_bus_buffer.scala 630:73] - reg _T_4842; // @[el2_lsu_bus_buffer.scala 630:56] + wire _T_4822 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 623:68] + wire _T_4825 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 624:48] + wire _T_4828 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 627:48] + wire _T_4829 = io_lsu_axi_awvalid & _T_4828; // @[el2_lsu_bus_buffer.scala 627:46] + wire _T_4830 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 627:92] + wire _T_4831 = io_lsu_axi_wvalid & _T_4830; // @[el2_lsu_bus_buffer.scala 627:90] + wire _T_4832 = _T_4829 | _T_4831; // @[el2_lsu_bus_buffer.scala 627:69] + wire _T_4833 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 627:136] + wire _T_4834 = io_lsu_axi_arvalid & _T_4833; // @[el2_lsu_bus_buffer.scala 627:134] + wire _T_4838 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 631:75] + wire _T_4839 = io_lsu_busreq_m & _T_4838; // @[el2_lsu_bus_buffer.scala 631:73] + reg _T_4842; // @[el2_lsu_bus_buffer.scala 631:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 472:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2502,58 +2506,59 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4842; // @[el2_lsu_bus_buffer.scala 630:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 540:30] - assign io_lsu_bus_buffer_full_any = _T_4352 ? _T_4353 : _T_4354; // @[el2_lsu_bus_buffer.scala 541:30] - assign io_lsu_bus_buffer_empty_any = _T_4365 & _T_1156; // @[el2_lsu_bus_buffer.scala 542:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 619:23] + assign io_lsu_busreq_r = _T_4842; // @[el2_lsu_bus_buffer.scala 631:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 541:30] + assign io_lsu_bus_buffer_full_any = _T_4352 ? _T_4353 : _T_4354; // @[el2_lsu_bus_buffer.scala 542:30] + assign io_lsu_bus_buffer_empty_any = _T_4365 & _T_1156; // @[el2_lsu_bus_buffer.scala 543:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 620:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4799; // @[el2_lsu_bus_buffer.scala 615:35] - assign io_lsu_imprecise_error_store_any = _T_4783 | _T_4781; // @[el2_lsu_bus_buffer.scala 612:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4805 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 616:35] - assign io_lsu_nonblock_load_valid_m = _T_4371 & _T_4372; // @[el2_lsu_bus_buffer.scala 544:32] - assign io_lsu_nonblock_load_tag_m = _T_1787 ? 2'h0 : _T_1823; // @[el2_lsu_bus_buffer.scala 545:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4374; // @[el2_lsu_bus_buffer.scala 547:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 548:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4594; // @[el2_lsu_bus_buffer.scala 559:35] - assign io_lsu_nonblock_load_data_error = _T_4424 | _T_4422; // @[el2_lsu_bus_buffer.scala 550:35] - assign io_lsu_nonblock_load_data_tag = _T_4464 | _T_4462; // @[el2_lsu_bus_buffer.scala 551:33] - assign io_lsu_nonblock_load_data = _T_4629[31:0]; // @[el2_lsu_bus_buffer.scala 560:29] - assign io_lsu_pmu_bus_trxn = _T_4822 | _T_4717; // @[el2_lsu_bus_buffer.scala 622:23] - assign io_lsu_pmu_bus_misaligned = _T_4825 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 623:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 624:24] - assign io_lsu_pmu_bus_busy = _T_4832 | _T_4834; // @[el2_lsu_bus_buffer.scala 626:23] - assign io_lsu_axi_awvalid = _T_4727 & _T_1164; // @[el2_lsu_bus_buffer.scala 582:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1772}; // @[el2_lsu_bus_buffer.scala 583:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4731; // @[el2_lsu_bus_buffer.scala 584:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 588:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 589:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4733 : 3'h2; // @[el2_lsu_bus_buffer.scala 585:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 590:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 592:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 587:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 586:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 591:20] - assign io_lsu_axi_wvalid = _T_4739 & _T_1164; // @[el2_lsu_bus_buffer.scala 594:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 596:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4743; // @[el2_lsu_bus_buffer.scala 595:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 597:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 610:21] - assign io_lsu_axi_arvalid = _T_4748 & _T_1164; // @[el2_lsu_bus_buffer.scala 599:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1772}; // @[el2_lsu_bus_buffer.scala 600:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4731; // @[el2_lsu_bus_buffer.scala 601:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 605:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 606:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4733 : 3'h3; // @[el2_lsu_bus_buffer.scala 602:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 607:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 609:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 604:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 603:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 608:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 611:21] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4799; // @[el2_lsu_bus_buffer.scala 616:35] + assign io_lsu_imprecise_error_store_any = _T_4783 | _T_4781; // @[el2_lsu_bus_buffer.scala 613:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4805 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 617:35] + assign io_lsu_nonblock_load_valid_m = _T_4371 & _T_4372; // @[el2_lsu_bus_buffer.scala 545:32] + assign io_lsu_nonblock_load_tag_m = _T_1787 ? 2'h0 : _T_1823; // @[el2_lsu_bus_buffer.scala 546:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4374; // @[el2_lsu_bus_buffer.scala 548:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 549:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4594; // @[el2_lsu_bus_buffer.scala 560:35] + assign io_lsu_nonblock_load_data_error = _T_4424 | _T_4422; // @[el2_lsu_bus_buffer.scala 551:35] + assign io_lsu_nonblock_load_data_tag = _T_4464 | _T_4462; // @[el2_lsu_bus_buffer.scala 552:33] + assign io_lsu_nonblock_load_data = _T_4629[31:0]; // @[el2_lsu_bus_buffer.scala 561:29] + assign io_lsu_pmu_bus_trxn = _T_4822 | _T_4717; // @[el2_lsu_bus_buffer.scala 623:23] + assign io_lsu_pmu_bus_misaligned = _T_4825 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 624:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 625:24] + assign io_lsu_pmu_bus_busy = _T_4832 | _T_4834; // @[el2_lsu_bus_buffer.scala 627:23] + assign io_lsu_axi_awvalid = _T_4727 & _T_1164; // @[el2_lsu_bus_buffer.scala 583:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1772}; // @[el2_lsu_bus_buffer.scala 584:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4731; // @[el2_lsu_bus_buffer.scala 585:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 589:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 590:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4733 : 3'h2; // @[el2_lsu_bus_buffer.scala 586:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 591:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 593:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 588:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 587:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 592:20] + assign io_lsu_axi_wvalid = _T_4739 & _T_1164; // @[el2_lsu_bus_buffer.scala 595:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 597:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4743; // @[el2_lsu_bus_buffer.scala 596:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 598:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 611:21] + assign io_lsu_axi_arvalid = _T_4748 & _T_1164; // @[el2_lsu_bus_buffer.scala 600:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1772}; // @[el2_lsu_bus_buffer.scala 601:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4731; // @[el2_lsu_bus_buffer.scala 602:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 606:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 607:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4733 : 3'h3; // @[el2_lsu_bus_buffer.scala 603:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 608:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 610:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 605:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 604:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 609:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 612:21] + assign io_test = _T_1838 ? 2'h0 : _T_1883; // @[el2_lsu_bus_buffer.scala 398:11] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 474:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 475:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index e2ee3ebf..6a1cfdf9 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -105,7 +105,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val lsu_axi_arprot = Output(UInt(3.W)) val lsu_axi_arqos = Output(UInt(4.W)) val lsu_axi_rready = Output(Bool()) - + val test = Output(UInt()) }) def indexing(in : UInt, index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) def indexing(in : Vec[UInt], index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) @@ -395,6 +395,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val found_array2 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | (io.lsu_busreq_m & (WrPtr0_m===i.U)) | (io.lsu_busreq_r & (WrPtr0_r === i.U)) | (io.ldst_dual_r & (WrPtr1_r===i.U))))->i.U) val WrPtr1_m = MuxCase(0.U, found_array2) + io.test := WrPtr1_m val buf_age = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_age := buf_age.map(i=> 0.U) val CmdPtr0Dec = (0 until DEPTH).map(i=> (!(buf_age(i).orR) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 9d831a82b239d57d995b2095939c79e974608ba3..1af544ea113b895c7253be189d4ea50416b73527 100644 GIT binary patch delta 103 zcmcaFcVBLU1UF;#WJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-|g4EjvflePIOfHF(?b^`!o`5z?! delta 103 zcmcaFcVBLU1UF;VWJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-`~4Ejt}lePIOfHF(?b^`!ma~~G~ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index b0b013a34263696ce2ece2e1193c4ebf6593b31b..1d81bd4e99a5f369c285ee082a1c19342fb465d3 100644 GIT binary patch delta 19 Zcmey$`jvG<1QTQREmE(ae)H_Gm_aGm7ja1TZ1a zLK2b?Lbj5WrW7cJm{=i6!_qWmNmB|bP#Tt|6lfYKq?D#WA^pF5HH)s!qxSdto%8NF zzkBby_r7=Tdvo;F-#_~~B3jOmGEGfpdK=v2QU}s$@6F(UM~Cax)kRb3RNaygG1G`x zS0dvkmo;qZO~sRLh)S8p4`mi*V$o!jX{=mjh$@(t=BnCup(mM$C9+%7-93qt%IQwWT^L((J@6ZCM2&Gb z=46w-j(Z{NdZ}pANya<+;!Zq~iMA&}OvyR)wL7tNH0fqyiBxC7J|kz(B;u}%nT%zf zo^&Sb7S&Oc^XW)?G1uveCbLY+pa0lW$8%!Ia~PU@&$cGhv2*C!sN4ccV1+obCB`pb znl3J_$@vx+R{4b;p6mL}=tgv#SaaP2b@~1Bovw=}6LH6j_BnBkmtWFzF6(qNjcZAy zV#(gPn_scMA&cJSy*#FfPekK!&qoSF>`xjzBW^05w-#J|E^on!%4E}?>%^nksDsn0 zRNCoFdvT}R^*UX@q#7mh!9}_2@!a!%)5DW-r=vHSbh;9{_mPfdZ>G!Xc6xi5LgvJ8 z$?t9~-Q5i@zurW~DNJ6*FB+DNXR=Pb7s~+yQgnt!iq7CUQhT;v%(`yMUqgO3^teYa zB%ElU=SJffF(!I|P%@;TT@StAb$O6lu zi_cBcbX?BEJg=M{J$Wfyoo&%m5cMtNEynHc z$zF7{Dc@iM4>(g)gX!}}Qcs`1kdtYqA%$HDJ?akbQoUAkj+lu-Wxn5Jzx_makLSkl zJat^pOM9p_iuLGsZ;E6#%TU`zT(5I&p5WtnXt(<}tf|)ANLT}UOa|Ms(N29!4W$yP z>--U(BwKtAR^6GwHR|ghnExQNzGkM0L+jQwDmU@Nx)8H$^d$9ko0XCEE?}YfvBt?2 zb>k_SOmwDPEV+@zhJjWG@mNY2^TJZ@8|b;d9nJ0HFWvmT67BPcdSGehZl_KJt)k@| z?MwQrw}{CkuE$fmsFn6!FN4Qzk&8cFgIr?KSeG9h{3|dG^>}G8`MW6EcRrmda>#W( z@6cz)t8kvldhJCO^dYVmcLI00elQgJnY)h*qoHr)LZ3W)H1H^}N5iv5!?Q=j8!{T6 zJsRGS(eUii@CJ`Y?wM4Ub^VL1uAiHaWje|#8c!3#R6_4yD$8PL!!*4GW1WvF{&UAX zRdTzaMnw}1^KM0xjP@Qyla2OXMN^D+8SAd7ITJb+O{Hmmd$%i^ZnXC)nqjoN6wNf+ z`xVt1?OsK7M*D!GS*GR>GEG3ua`;m;o96f}JgBJNXb%s*a2@*;&82z1+aD>KZ?wl0 zEil?2D_Ur@KT)*EXn(4x!8HG8iWVE~xA8co?36stxh;Lb8%~I~VfVLZUsGGlwmPO6`G-@0f1~0Zyfe((xf!jrX1zoVPqmdrkC^~a zcpE}pySXKTy7uVn$#r$GE3QZJ7Ty=3GTx6clk;j$_4MKmh!5h~lJB)C)SWyO=2mX= zcj-AI_g-Xoj2sG?MhUY5NULnT*ZH)N%4Kc!Z zX}uY=?g%FtTY#Zspx+sFTRmYK#n{nS=UT`OQ-~3ZYVy~YE7nkNn6rExQ*C{7A)e(t z2ESt>T8-li5%^<+!$+{jiWW;EWnL^BIuf3jO*ZH^RkUyeVa9R^7e5-R-V-$h>9Ow50JV<8ahV_~!$K)qC7*S315w>P5R<3?k-AHK$Up zr@vCTIIY@-x6^b6FXYA*uavFnUc6&(#}^KmTmA1N&8Z}sYfg3GZK7P4AfT2|89vCI zp&Ea00Sni7kMZ*Z^pW@UJC;zm^F7S|adkiLkFF zg1(jr`C202Yl(2LC4#+{2=!Vb&})e>uO))KmI(1$BEV~j@UA6-yOs#;S|YG(iLkCE zg1VLn=~^P7Yl(2KC4#w@2<2KLkZXxBt|fxFmI&cmB7kd&@U10+x0VRqS|V_3iLk9D zg0_|j*;*oCYl(2JC4#k<2-R95P-}@WttEoAmI%>WB0y`2@T?_*vz7?WS|TuOiLk6C zg0hwf$yy>HYl(2IC4#Y*2*p|=5NnArtR;f5mI%RGA^>ZN@T(<)ua*eCS|adjiLk3B zg07YbxmqINLPiNUEZvBYjvv5T1I`$-eBM)Z)2E@7@clSe=t9LGgszMOy_4RBGrU-e z@Fg1_F2a*U_+}d(A;ObI_!b+k65%N#e5(yti||ysO&G8{YjYZV8i1?cs6}Vn5u_tc)SSLi|`{h zJVAu#(xXDlAGYC%B0OJ&AGhI2BD_F^KVrj^MR=hIKVidDM0k-1f7FJjig1HC&mXhl zX(GH>gg;@!(?xiR2!GOsXNd4p5&o17&lKThBK)Kc*NX6R5&nz~*NN~75x#1}vqX5M z2tRGZvqgB72tQ-Pb3}Nx2tQ}T^&-4RgrB$Jxgxw)T-%?w;dvt5D7O4X8=f!1>qPhk z8(tv7>qYoQ8(t{F8^mk=k_|5s;f*5v6&r34;Z0(DZ;PX@EQ?r z7UA#N@LCbxCBi?j;YJbOEyAza@H!E05$E}bHoRVh_lWS1Y`c$5vdiLfieV{G`a2zQ86HO_{Qh;XOa@&p?`D#BeNJjsTS ziEu)Mr`Ygu5k4zk^E4YiA;RaxmS@=TNfAzpaIFoW65(#K+p}!=v zhirV`R}gOe8Y2`JoYrFi~5_qN)H8E9x;`9 zSGccwdOu&^&o}k+yNl@eT%`|RgU{pF;Pa7d@Ok1Id_HP?h7Q2Tf()MsGJG<~@Tnlf zlR<{h1R1Ud8J-R@JQHMiF39k_G3aTm44B5x2f<$qGQ1FEc(Kr2c|dDlD!9z==gR?J zUkPgAr69x0*HrJ<3SQNl0^0t1!6)bmeF@LydM{#FqF>mb8#uc_YO6}$q+{Oy1yn1c+ZL5A`mLnz1)HU@ubiMO*} z7Cfxr6?7RqyxWSA3Vm|JM>4=jTD1+TzGu(040G%yVX zm%v4^q~H@Y13pj zk=>!QKlpZJrDD%a5#)Z<(s1Mlk3gnu6>>(a=`xL^$B;br42`01&}e!Ce}Pp-V|fY^ z;#SglM)D4yrHOnOP2wvwnZHF-_%}4Q1j$q-t7v-3ewtB|pqV9?sJ7%`sw;VlW|h25 zvrB$Vb4uQ(`qJ?atg8P1#RrZFxC0mQSU1<*R6Y`ElA%{tnt${y1$ae~~tqf1kFL zzeQUsCQ(zxa@tmLoVHhFXh+3^w6o$0HCMbuyDHwK-Jvnm5?VxiLOW=0=nU-(U8Mb? zd+9*vvve@@U1|-zO@}ILsjYH59j;8!k;+SSwDJi$R{3Q*Uik){2$#{xa6O$0x6tXZ zOHTMEIum|?qT%PMJ^UKQB4reh)RP<8O&yUGbw(~zSLEZAh`dZ^Bfp??!@`stHj{?w z*PF5C6=om<2QM?+#P{LT=L49fa^|M$YKRZvWFFpj!k9RP;|NUFNXSu;qanvaj)TP8 z5dMwi2XQQ;5Hflmf>weW9)|upGe!OPCq`QQjXK!}e>LN4NWMWrtA9YM0wa?h2mF}L z$VSHjzYjCAvr&fMI`B7${waPwVB~4zfL~G>dFd#_uepqDbR3W^!N`oq0Ur|>nZ!8Y zMVFCSk*@ls;j!N;n2_t_U2YlaVtXL3efIyC%9z{pj{DSm5Uqe5=cVg z5JCtcB%}eFP@puW5KOFu03ke^2103|DWz!&G);k|G*AjOr3wGJSG%*iIz#R6^PDsH zoZr27?!7Z-?(EUCZ$9}H5iR8pGEGV6dK%pHVh7S`>&fANd%Nq^)x|T}Ox>agG1IU_ zXDa8Wmo#kZ$t2Tmgvy!54Q3YP67h7LX^dQDgsPYp7pmHNp*x*Qr1G1yUEQg)ySF=^ z%4TwP15Y=nMi!wt&8F)jG?Zz$Ws1;nrb=xW zxYnjj9$YP4v|7@sLe=eFwhLYdvxig3d}oA4W9$Z&F-0*-8K*0obYW~R^uTYl5j7^= zgp*JAIPQhK>t*6;C!K8XO*+X`F5Z>~F}dK-+vX&)@wA&uq%s|WeOkeuOC?v@qZ`p}V$F5+*X8%icRC+Wr;?5r?{$(GFTbSwY~JZ&8rz)8 zB+@-ex42?`Lms^=dU;GSpNJ=uo{t1W>`xjzV{Rr{v<9v|SG3?nn2b&~OX+`;Ma zOxEemdP%3t^*UU?qy{C)fklPu@!WHM(?ioqr@begb~;nK_p$bLPp;GHa(cR%BId+z z$?t9=+tmdxzur{N2_`S+7Y#`#b9pD(gXMq$DLF$UC1>y)p*`C!=3O`AuOYu1dfej| zQck?rbK}X27!y4}C>d1Hrib3|x<7>QX!G10dOM_eo>gn?rayrX2sIW7oN8Q0)s@F=v5i>EM%=eq*x1Z|j_S^)X zr;h7+Sr4_wu^#>IO_t1N8EU(T>vgWp<9!?t?Kc00HPxCM32Q))$v|5^-l1=)!Bi@9 z-XGzKvc>0M)twnwqrU$B`42PeYi61-xNc3OauYwS3o*+^Pf~Z6Ss8in0v3uNYn(z+ z7oL*oR7b|ek{eNK=x=oZkEMh$50-Lof6w*pXl@sO=@##mc&|Uy{Y$fOJ9Qvvl`Q9Y zZ`xnIB}^`L9iG}Ht+e%cIXrGlT>R-8;F5?ZI{o0_Ux8_;+slF}-bL}=bJ<*pL!s+M zhh8&Y!Few4wUt!Ri?~|a3EbuS!4UMba32Swp>O1%Po6y*cof*9;aQ{M*`whN8V%1L z4R6qBc=l*`14pCqOsc7$T?}G6yeb+;EjHR;DOzH*&nQ}Iw9hJ9X0*>KT5hx} zidGoyaYZYQ_IX9CjP?aZt4+IKQq*Y5zN~1C(Y~T+t^ikgh}Pl|RL?VlCx zGTOf=YBu%#SkZ2y{i~uqM*BBKdyV$*iuM`eXNvY4?NvnwjP*YhwV1O1RCLgk{Zdh@ zDf=%)hfLXjD>`h-eyiw+(f&u#QDgmIMaPWxe~OM9>syLWXpLFXNu!l3I%Tv^_(XyX;7j5blx8RIuu z(OFY=jiR*CrYY(&))|U2Mw_W9YqV<>bsN9giq08rt|HH9^A+Wc)}SbFv_*<~jJ8D4 zc~i?WMZKnMg`x{aTczlt(Ha$9XZ+SORjo;7Qu%cVfAt~HhQ`^4;8vII!5cc$HHA0$ zkPS_QH{oS(_ueh7&0Fi3rWGGaA^weuxA4{|Z{}@ir6upB5_nQCFS(^dKw7N@yzaA(V*Q>1s{u{;p2ECEVS#l z7vYm7eb*0Q^xY}#yD8NDibwf0xB30KJqFExMJ-gOy&~L>9iaHojc_MZdA%95Gcisv zqW9o2&|5^^R#%ipvj0w7XrVAn5yqQ!ZSndF#TxRWe2x*IX4E$YQLNxGa7&5hJC=K5 z@ITLl;h||IizSsY`^bj&l;`Es4SHjW7B29`7>(iUm>PnKke!3}O)x^*n(zr*mWCM z>>FFMJ=jQZ!)FYbTl|k8O_?;BYs$1^%TTFH5IoDM0$*Jms`cMPpw;^C7Fy!XLQA|? zXoz^o<0 zvX%(SS|TKCiGZvn!m*YJ##$m2Yl%RtCBm?l2*O$-1Z#-^tR=#)mI%IDBJ^sBz^f&~ zu9gV8S|a3XiGZsm!mXAFwpt?8YKcIrCBm$h2(nrt#A=BEt0lrK&<;Z?fT`B0N!qZ?WNFB0NciZ?)m! zB0O1yFWGR72v4Egg#o+6hDV6-R1v<@hHFK5nh4)z!y`p_x(MHG!=prah6vwd!=pvG zPK58Z;V~jSQ=F>%Y zggb_`5c|M1;49@bfmjRD`#R z*Zh4OUM9lZMEC_8UM|AhMfe9cyi$aBi0}_>c$Ek@iSUayyjp~JittM|+$h4kMEFNG zyhenZ#d-dT4X+j9-6H(54X+d7JtF*y4X+pBy(0Wm8{Qzo`@{kJxead=;r$}~nhkFf z;R9l~e__L$MYu(5`E?uKBEkp7mVaf#TSd54Z28wVyiJ4;i7o%ehPR6_z6S~~uD`S4 z9U^>0gx|2?CJ{a=cKi1>yihLN|mNZVEHp5@xtH%y7vV5`FBJG~RKA?g%s78D_XE%y4&@;hr$Vy~Z$j zNbd6uU*Ocy$2ncn-_#X)Ak^}psVsY$^EFfZxVMk5@8cUw=yzP9k6eY%Ls#MR(W~(J z*j4y^!uSjxfKP@Q9tksiD$MZdFvFu^hRb1w$HEMKVTLEd3{Qp`zGw`38mmL5@u@KQ z=`h1r!wg>!nyUyHUVJ~y z@B$3)8u+}rLqD&65N7ycnBm1R!%Ja?9~pzc3L=9p8r{IX{FDFR<>kOdcqEu=UbzaN zpBkUi+tduj&%+F_T}7?G2!me_U@W-zgk1lx!th^T75rDpKc%rsRK_*BVr&9#Bc z;2Yf3GRn9NTBAHBV8ePjE^rC!!Gypitd>b(J(v=(!XwOde`<+O*g#DWYoIR7Fe}VZ zA2jzz7QvjrD|8Xe3w*)`W7INzcO+Y4WFS2d>2jR%QT5!pvn9OO({dVQ`ridTDFg-m8EEU+08Vg>_Mt4 zdyHn5Jx8<3UZHEt-lF>QaWuPp5zQ%YrMcx9npb{_=9fQ23(CJn4dp+hg%xGAsA3{5 zuGmORDqLDxaXT%mc$k(~JV`4nzDKJnUZd5OmDE@{h1OKAptY69XkFzEw7&9T+EDoo z+F1D#ZL0h&ZLXR~TdJ1Q)~aK)ttv;`s~(^oRhOx$>RYt4>X)=DGMbtr3ut#_JMD>_ zroEAiv@dcG?TJ%NWzL}0xf1Hk1KSRf=U!~*G3OW(3 zr<2iUIu&)viQY)3qxVxh`Xy?M{+JT63QET6$&Kxz_E?5GVwb2h_6Vh7&(WFK>vVQV zl!oXX%owvv>CcnFeuXz;Y?<%D3{^63tf_%`KThUgLjwp?hP+Hn)CkCtkfR{SK#qmP zh6n#f@Vz)zPy~55AAnYd8t#MsGBcg_w@8cx_3L#?4*qb(*N`HEBvb!@gaSrRIS%;A znUNQc1AfJ2|4%h=T zl6Y~z=L1ICFAmt+GSYdWVYkRg1ji}1_>5Fw9Po{Tu}Goedl(}@83%kyWTZ6XfX`fv zRAp%Ra>PhG$0BFvR$^rN-_FP%hlZb!7}@1G;0H8D?l}&~&|&11Lqoa^BmEx-qzEwb z(Q!cX4vb_ziu!x$#K9}Xht?TG68Hm#lJzwJ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 48a5b9d504b465bb8a36f3e8952cd900cf169e4d..536cc6b0bf0a108b14af30355a075d59c2851abd 100644 GIT binary patch literal 493498 zcmcG%3tU}Gbw9rM0nT$Efg~g(B=?e#2R9_-96}(Fo7@8=gapV1@*)X2IlzIO1UQ_- zAtbpmTD4Yf>$_HMeY8Gnwe?Z8zO}Z#>Z59{)>^d>`>uW1{`6P-_&Z#AdS-tUWssGD4=YskEs5i<9Zuv}tS`kVH!%Gd-J`EVhgido(*UpDr#HGF_%o zF>$AuSu~IRQP6C7d?wx9{b;Bx5YI;{!lA`LvO3v)w0dVlqg{wVm{nLkv$wdqcU*<;3bbmto;)|-*Crm=U0J=|4Blu+4(HdH z4S{t{VSxOyY; zo9<9M9#r_l3co|qQ@zR6b41|}EBq%Ees!HI-)reVfpYU(WVwecQSK#$AFp@$S1mr- znPvcnw-{#8CtMZc1@&$z-e?;0N%e`gsZ^NGWqmtjh7WUs) z_<5Hf3RpOUd^&DA@}Z!@&nx_>!jA`B`6`Q#c1Y)$Pxgdr6n?zSu`^Vw=*csm>^~#(ctUIxqPWx1sH-e1$8&%hE6Hk$l;1p~nxt3>@eD&G$OAl%xo%OT4!r!ixt9aIsE#KtY6{ZRqHD~x`lLw&z@fL!&+|D}2k-v%K`^EN^*wmRI&-TSNMvj&nuojY^_!^ysYL^7JgP@D)#=kGq~eY^^odUUqO^7O1<;ai?Qh?ykMo?gx*Va3zu6;B^lJbhmA^kK`> z6W;Rd=_L-smZz6|_VmoRJUz=xPtSbC)8`dWAGSO_+waZ*VawCAe(C91kLBrEzrwdX zJE~I$<^S0pg|GPkJg=-- zUh)5V#s7yD|DWfTHOp^v{Y;)$*37s3KieZ$(9E~|Kii}5A5--3%9`aB|DVsh{y(hv z|9sr_^I^;X)BKWW|1am4u;TyoivJH={-5oU{-4XW{6E_-{XFv(|DRXap#4w<^Nf~>*vFY|IhP^p37DIf1X$L%vbz>o>%nDSNwlo@&94P|L60r{|{UK zpU1E3=fjr&=k{^ug|OoP^Sq+xauxrd&%6FVtoZ*tuk_hJ+;{zdUh)4C%l}ikWfq&Xs2=*cGRz#`Xpr7Zb1 z(mykQ%3VR5Bkl@4Vn-@4-pv|!B_5eoj(mzWaMvBZQzhRC@zVsWB+p4_u z+bn-i;g2Z%k3B<4sF4nuGnBhPH%AnHnEA3JYk1*Kjy@tRzBGvWv~`NCRrob>;m(Gx zSNJ0ef0M$mkqdN|uV=p8Nth!tLGC0XkE$7@hvp28%qI_Fj<_+A$R6fP1l716I?}B0 zM-=`6MNf_Exg%Drg!LehAs!X z)9H2P*A6$thfj6y+ge?BC(}?{RZ)3-N1(g=u~00UHrIA8q(+MCk-xcYYh7sn<<9A` zp;O6pdSm(3io<5hSYozkTk~#8UsqihnMv1`Rkl6Vw{Khf^oHuXvFV0Q)sf1r2djrd zWuY$0x261QB(i<)rNg&IPc?7Mjz6C3JGHmHWpfwg9zQsI26B@l4e9jOKqx-2dtX^u z`R&T%jp^>zxy;ihHx7-Np*uT^8>_ZGx}l;YsZ7L8;7nO>`iqx zUaWkiYv<)){q9`-y2Rc{T~nl@tUO$G{2c5&PIhG*8UvMw#fAM_IX(Mu*<`s%t2V^e^uZ2FmMCpszN$pF1(s=X&MwTJ+PUP*+VdIoz=@wtYCBztDZAqI_p0-OwC(yt=u4Zd2FX&HH;p zYhm|zaB5l9x6b5h?w#0oxpU%pqB+#v(|tA6wT@z`<@N}@S-|yL2 zS>F}eP`R~zc)g4b_wO@9b2I1f9U2@wuz0L$s-4<%pt~WSAMBn1&hE@LY$}UYPA<=n z9YVfAH(xSJ`F8EQ+Iej>+I)TPVj@BLt6=v<+wOHDUUsGP*0E@FNA6}-4dz^~Kq`_Sx#D zy1HD$o}R$Eig@)UvvO>xnZ{x9^8GD+^IOobXX>4PO;@x|_uZeQa+ZO+zPU}E6S@04 zs9$c`{W2fyPttf!?{B=_d?i*EN|kq~8y+veeW*GfePgYb!*3|)@W#`v*Hio;)dP0_c73CGf!-Ms$*LrFicjqp)L*H?|zEiyo zf$c$VU$ZAr*3>jpb?LxFf6e;a16wO%k=8nH7lh=^-p-}esb=6VzSMJn9hJAnZjb%J zJL&l=2X3cMH7++_X;@)}SqvA0)|8M~H89YDLH@~UvM)v;A5X#@^mOq@XywX)1zJGJMdD~&C zud6p%J~MW{K0GhWJLmE(JkMQepC27zdpq6o%pI3Iug&a2|7|`vbGoXrgZpL4&7Ta; z^$#`P7MHpv#t0u}Jq@lsW67#ZolD1Rnm1KmIWS+{)X{AX@Y`JX_>E)1BYRpd#WRcBhm+N(T|cum zUVkxnF@9(CewULztW94x(^A)dqo48*aDH>b3~X`ouiM>nsWT7zv(s#UGM0CKl2w`bEsFpl3wjk zKX!u3f7JFr7s}$c|5<-=-}r$=#|>>BnYH zpSm}V`FZYGu()w8+B53-g_v1x`^8O(TZ5aMF`irJ(<1}u|Bk!VFG~5Y{kt$o3lYwG~g_-@E(F zc*o+g#J!D`j{T3h@=GhoV;l~R!{2odkY76I+L`VqKRtS=9C%3Fn5n&YesF8&Lgs#~ zuX1yzEq}osxBXIn{Ah7^<&}=93->3N_t&*vlQ@OnqW+$B#{u{Em5$u;#J%>p%LnFC z!Nz5AHGcirA*$zo*KY3bT&i<@-~5%ttX01o{r67H zUx{aq?JCaXHg!#$VZWPl?dAIU?d=aXZkpS4WMXVrBlTPQq2w>NE@qCdr}mq4+izIg z9;_es(D=LLj=z26H#T)Fj7O(v9dK<7>y^1p)c@2T2i^8a%6`mWw$=sNQ@z*8-;cPs zPs{Z{_w0ona6fbZCaqiMMz<9&RFc2g>(18=7343DSzTNh*HrHv6Sct`E(dhh=@pFV)Unjc5Ah zyxQfabA35|Tj%tdUH4|FzVojBVO9TFZISxzj@u7;)xP5B4XhK(dY*FAJ@!xDJXL>^ z=HI(YdwTRAt*7-@g}wd&?o_{hyDwNDqV;a9%$@%gzde!b#=3eN(qnFVgX`BPawqP! zqx@jhofpz>`A+)=tK4}{ssE8o&xpW!t0&^tFYDBQ_G;%+CfeJu-CnoQ`qiBmZmqcz zFJ`w74_EiP{qN3)G@r@&a(Gxtf5e&ZV!O{=1=b zeOO8N*gw{_I5R@}*RXzS9|fP#55Gd`Yu)l6ckw$oo|x#{PWupNJ%jb6g1@@XeC8{@{bp6v@*I7snk^XhM@^_sXZ=LAJe7Jr4dbj-QhKqAsyX1av-{!}$ziXUGr<;Rk zH;k7}bocD7G(*YZq**rF*_&_JzAtznsv#Z z0}XX`hj(wUs|fCF7>*Biw^UTd&(GB%y%p(ZPg&W9hI5e(d;9lB@QzAFS#W;C;MwkE zPsfHxQ)ExFtk{Qj3D)hwTN@s4Oec5dvcY(=six^%bs*Xh7}+u0wEd|QH_kS;mhEFb z#}ZF1^;cF0V#BA;Jy!ErppySKlYp6QnP z7EceA-==(9tMAQV-H`7&)wpSYUHi3A@J@2BzGM1Wx7fYrY8%$MTPk)YgPBw(%DEcH zI(*9pv%Ga-y!PI$ma(>_V^ySAK9fBx2ffu1+V_!PY~J25u_>_5c`jeqP=bj=OnyN3HxpbhI4I*C9Z$@c-GP|wwMz&TobR%wZ?CSbW*zY%#C9#g#iuKEMuKyyFSZAE#2ee!V7^!!X}&Oc1@kuc z6FcR4h1UgG_gu$%0rMBxo2ahbu=7Iva`(k8z{?sfSM{6gp=Z~= zN4lnu?OIRmo5g+y>k4y&mS0~T*d1?NmhFf*K-IP*(|wy|dj^{H{D!hYXI)u4MEwMN zbJ(Yq4eR;Iis1&@zgztm*hqMg>r$+j`^`$~_ksGymu5ypU&|%4%JaOr+QQF~#bdR_ z3q8r6r&;5@{6LWP=1yUqhkCGHx&Ayqc!l-y{&g>vQ!VkewQB8Wj{bq#XASbFeAH3Z^B9^IKyPVUf)?>Zvmg79bufYD| zu~YS7>{q9IYOM8cux>lWUosfy1LS|Lb#}4>cG~={)J`G|JMH{(9tPfIyd}5w@U`5j z0rba#>CCBnyIahdmcORo6x6PAUktkuH=Zx5aO?!TW>?(#-z-@Wz)VZt(hF~i1D&Uj>9V*9KWG)@VFcYxzr)-OE0xTFZ{X8 zC(Fr>N*srs{)eBg9m2RodtmMyK*r#BzGFjt3t zT&Ey>V|)(u?Yq>9IC_0wPL2y6Z#O&ZH_c-{aN6g5vAyN;L92Zv?hc(vQF-hqW^S-O zb!5-QBa5TJRr8jPz!vXwgEcSR8Xp>1h98mmZr;{W#D2uaGw(Yry>dKmt)_X#>qp5? z2Lqu%cYL_|QqPS@EYg7aN&3M#ifdh>_;C9I@JI6m%}+Fs@jP+4EwHmhAN+H_xt98+ zdF@2+3v^@8;8#J_YTb4>bMGdg$``*MmG1)^!`AFB{wxYAne z+f`f!4sXl^*CSqHZX&-hJJvBVR$Je(59biaY6jX{>JoFOF+LD~RN`{$Heo-MnL*r; z=GD`k*vI#qA$vYs6X9{0tT53Ihy&W=bo;>az_?k%@jr^gG|x~x^Vq5Kb!LS}ALi!+ zi*j9{taHYW%5q{T7yFn4qD;CHUHT_3Ln-p9=<&ojnB^-j6&m|W}@)lUC` zzk&I;Gxzt->`yYz;BT*;h961x%6>mFI3B+-e!ul()g^PS#O0>e#UAt<`^99nTyJ2% z+mftcoQ`)cq1|gFfAhWFxv|!3z&p;N%o@&ra3NELaV7mO@Wth*ekRbsb`?i!us+;; zaJp{<_Am}lv|Mh-_!{GKM+V?8I~&T{c>G+hU4MI@od5HjZuv#3PXm{89`e^uvtN|< zTJdt}7p-<$>e*(u(~eDZm_Mq=*M(!-IuplEiRy{k&f)ASQ5>LlWq#b{mrMRewjX-n z->~lCdh@!1{DswyivP9Ri{jPwj$BO2UF>{{<^yXSvi}T3t#N42FJf5nCvqOJ`p+I8 zWQSF*g?s6@`?;NXoN{?GUWD;r^}ob1%D38&aV_VE5YL}i+b|!=dakkRd4u`^e!QXV zaqbs;pOC_O-8`=PlY6)JZ|idUkJn=zx3WR2|9HK&a1;IS*u(1>*B*CW!}id5KycWq z7qutWp%$Kc4mJ19UA6k-V&}wVj2Ah6=v)kOGsX|bkNS-MxANQb5BKNAuH4M7BQ!6E z?S3k2;QG$ofW1MQ{}(T`)E~jVpleT#_J6_R#sf*L+cw{u-hZ_n>y3N2&WtDK`|rnQ z_CHch>l?D;*5yl6*pFEJl)0Yg{jT}BMC0A5RiD0j;Rt6kIGmoWd=;5@ayHJ?3I zUcQso?R371d6@TC@;sIHN3~?9>|g)>r$MgsGB*(y;r+T?-`VS;qJ?X@E}9*W&!4V2 zg7s}^CUw5ZIO=ZP(=uqT89ds29qXE@%QfXE&y3?-9{VWN56|~@$+%oFFZUyThiD$5 zI1%|>?q_*U6&%Y;zkUhl;9C#}*vI`nezg7~&N~BjjK5rJ2>VFdPsq40#gDhlRAF98 zU25KaW^?;OE*O__Z8_i9bu4A>KQ)8*3}(iT!fsR0zQ#Il6@9t7wrlCyVjrys%EqO= za{u0ZCBD?Ro%;jl@mMEepEG2&E96^}-e-*Sh{PfG8QEYz*Ms7;$~dyupUybi)p(Kj zeboh7Z{NDNY>?|eU~aD#O1i0xgqjNxt>0YdIuL||8G4?>*qL+ zgDra&czwAwo ziF@iF6Z?{(d$*)tB)n{^4m7Y{!Xxh|Y2M-SFUL#&(c%T}4@*DK4;1IxnC_K0<@u=w z@gLw%?kg|Kc=qxv{6UuXIoNOKIA8HJ&h4=elns46f0p{yVG)2=m}X&+xk z{y?rn8rXl3-ngxo@P>J&#u|?qii^l|JG4{MqX+9R+MfoZ)oiz1?*uk*yOAG2|FQj^ z`6|iwE926Uv*MGCe@o6n9o~&bXj; z4c@20zE{O{lxLwqBTAFdkxP_jq_Ze{~C!SD`xZgf!z6kB#g7pix7q9cr znU!{b!f$MhVPDGS0Usx#4cyMu?wB7e99Zij!TF>=!M?j(;$U_w-p6MB#U<=7Eqw9& z6G6*vYyXw3u>7v9hqW%S*PA`fiw#(R%pg9z&R$m^!u(%;Z~^asE#q8cv1eMG?J@+nN9H9z<|p6Yy4P&3_Xa z>_?s)G^;$%@N>>O|B$=RZ^rsj#*Z$>ub;N!N7&cXzPuOn=!r91>yDqUg1^T4iR=%J zv`!(uN`92~3B6615`m4CH~T6#bS+L+?`=oCYOP$4$niaZb8FJe@d7yx#@nVY1dm*x z`1{VGa@u#0{)X;zoq6a#34PYOt;t!p$#Ss{4IOF>(LSZDf!1|MKM}xrz+=U9_l`X+ z$@0;eZn9VU6U19Mj)(ZF6>o8#F|SMfz#qVmTxuf!gLNs!HSF4lBM^=s@iX*HOTS9- z!J%^C2J4zy@~blLNd9L6?<2|aVb@#6MQD8Rd4p9B$8{(!Xtgh`lWIvH&4&`-D4+c+ z$MGPyaWBU+Np2)rf%OaQ7(YKdvxedvodcWFG9G5H!+D+Esy!!{=k>II-Xh;4@x50t zAmcA`zr9tL&hv-#&v(oCJqiE&1{5!F)>RE5IyYZj9;#2wrK+ybIRxUuJm28lzCrsQ z0+0W>6#U^T>DkfXYVQrS-AGm>eeV_UJ~FlWAiZ}Q@V5`IV>)IAhnoAaUXt%W4Y=)7 zTnd^#eOvZmf3n`!PWJw3gO-nRw!_yi6p!3*%J&zi=j%Hr$8c^wFXNW*3;y<#@5SxC zj&rl?<3ay>B;2kyJ4GMn?FOrVO5c02-ebZ1v@sc`{yBZ2YHR0o->!PB1LgY}w`Z;R z4$YIeZy;e`CUE@r!fbXjTkOfrEo5giXBLXt-27sr_pxU-y|@@MDsgkb>iI&(8q-*( z$!r=M?3@ef$(!k!Ok;O0H`^F8YD{B|FDYcK#}x!JU6b!z|9lboHbO*_rm^0azwvx# z5)zwDqns$yX!HwA7jko?Ka-w6n;XdD%7yf7$k+;1x)jrRRHp!TD48EMjkT0nG>I42XQNRGt)AdDPGS_olMV9&1M!G2XeWa zOA8@m7xb?#8Z!2nMv&#vl*$zmjfGp2vp26#70^(7O(WtwqW`>NQ@Er8Liv`XHqI_B%x2o5FqnnnR1c-tMiP)IWjUQKQcA_-+!Xx{7BY(qQgkXepGhsG z3&m`DmKZU~u;qjD3G6WL6iKD0rV6G}>1f9wfby;C^AYDk7JU@y5z4w)Ocyg2yJ!^RZ@g#Hya1lI238cq=oRnnh#owrW0^o26?;;8m=? zky734l(>enOW9(2#ud+CAQhzk8oFwx02mcA>8X_DtI(5H0WFRc8HP5rpCt38VByv6wH=SC>#x*p36)v%jYV3p3lu?3Nsm3fW(1zYGNrs&vpyL zTxiHnWis%MlSMbv()=QB(UH$QobF0mR)rgq^hq4CsMUy8uMn6CU+N(GUk?puK>M{3 zge6)q`=;*XmgZ+Na1#;Pn(Q*7lD7JUA8w^*vuIx$JlvdAb#?G~xl~3>YO%+O^hk`U zFaes$TI%r0TpH8aB>Z=3D!WKt0UfD&8<-cg_4wTo(mX76YW6~ zl8K9Vu|ShjOLG|YspSGqlW;Jm0Vjts%xs5QnIrCUA!RkQbPCo$$7-f$Zfc=eIAD!$ zwR6_WjNF6BPF)hUbxHqhKhXS(4!0lOhJpKZ>{-anTUK%%DQV&k5G8%ATW*R3(Sp+$ zno}v%f~}J^raE~YD~~JLkkX&n?dZvl<%e{&l%3o^T8b-T4eOY+d_K1*OWt5#Og1@R zETnR`ur!*@E#u-c8mtm8bLrybb!jICt(>PYipjLhJlY{FwaGDHH$7Gm5-2q?NvSKr zT1ch?#2_^c^)Z`hp_Mf$V?$1HQNMVX*W$tv$5bh)mM5&J{Iq+Et z=aCE>k#dp7?dxik#YChf)HIkhCGBKa(y9I7p=7$-K{kiSHn%OyFh^}vY7my-&Z1{d zXQLJ0!kr>pkXl9}muFfGd1-0dk3I#ewtVH*&qm9bp7V9G@`dgi9!ybttdCY^`4 zd{{%!muSe!%`s{-vbX?QnM#&2?e4N<;sPhFhImx$qhcOG7>k>ps)+C*0or|%?$lcOh7z_ED=5Vo14{g=>?VR~dT z)_t~HRc=4Aa(YsgSCVx1*<@ep#F>F!RKa;>ZR_cok`k%@q2A*cr4g2xWW;41WH#%W z3UZ$lzVrkl0cv`yFTGW!hpAY$psM>^|3Ggld9oXzIg7eREyl(EgYf#!Lux2_w*NfR z!5U3HIdYa7@I><5z*%^5iMwP^&+*Yw**5SC<|z#yty>}s*Hpr5)$m|ViCmk8hY(GP zTssD!YUA;tWcR>vSgGN{MhzEMYPhgd!-b_9E^O6sVXcM>dp&g+OpczW8B?tT@%-&c zJb!x<&)=TJ^S3AQ{Ow6Re|r)S_Eq)v^bDrDlS8Ld-Th}rF+DIt(rcI=J9|8Jp}&_x zRm_q0TO3w!yr;(&iOEddHGr9XI-%xZ9Xw9BOx7`UjtzkktYhSOU;mjQ+oaKC?}$Uo z;mnGp9hUKxy@SbA_qh|cQ;72OXsREBR@e`OdMZQ8j;1iI;o43lN6%vLo;^M?a_-5q zsgdKo{UgVFFe)nh@kam2W|)BS>6sF+67Td6y4@l(C)GtE799&7L)Z-9fidi7)p)8 z)!IhNUWB1&sWp}au~0qgSBrCIXaKdfvyAo(^`-{U{;mK!HQSQWv&pmYR$O&E7kOi= z=616Y%dNQGam1yDusa2&Jelk{Eg4?yqe?b|YKY?PM^|fvnR0xfIuNkiji0!Nvhqmd zd=|>3w1RS0#cjcv9mGpf3-L@##7Fy2oCCfsJlhWzp6y48=j#3;;MwcTOL*jW{Bq=X z{Jd5TkNl2bj{J_FM}Ei8BR{V4Tt8mQB|l!uB|l!ug`FBMEY)zykC$p7KVHfuKdy4g zcak6X^Ze~ea{l%tp1(bb=WkEq`P-9t{`Mpu**9<|*_%3hCUtJ~c=BkooMPA?4UTNV&6T&L#)Q zaiix*h4XB;Le zK^yPeqpY>%X=YcG!m;l`XL&4Y*Y8o2t>yY!^TRj^ z#h0B<_C}WG&!VLoL+0m!^}#c}=LU{9(izDrvMA+?<{w1NFPL8fu4o^|(WkKa70d@X z1w-&22Y1vAi?XvWE1k*RE6lH&e?(?|4Nl(OdGSfM`N!y@nM{%U+ccg~yU1$$Qk80( z`Vb?`KQX@+ zAT=2P15XA#+ZjM=G5`sl40yIPXhD)dpQ|Gr?dEHDlCV?|Sz2bo2M~I;GiU*lK!2-7 z(zBgG3x$N=Bo_*lq%jE{>?y(R`-Had6TZHuXWJxg-zR*1PtSG+ZQmz+eNWGJ25sLb ze0@*Pb_Q+VCwzTR&vpiF-?ySW)mEZsD+7_G&D!egX?nIZXnVR9-Rb&&U~9}*qTBN% zswolO>n=f{;Hpo7i-cA!5?Xyopl91;EfQLNNT6psgBA&`J|xhyok5F)Rv!}R+0LLv zLaPr6^lWF)BB9lX1bVhJXpwNxhXi`IG7wqXF9&@{pl3US76}K@y(-q|+0LNt`Ge@r zDtkJ|G>-F?aNxdAiQD@mt})Zs`v=|LCsLCET`b+{5!)$*<$}m~i05K2u$sP!>&XeK{7C z2x!wHpv{K>dbV3hi-0yC0_fS!phZ9%y3=El+tZY!se`Yl+uWWevNXdXUr*DsWfGB^ z48EQ|2jkyNduKH5q&eXm=4nq$Yz80qrgVh}2~8A)wtw0Fjyu z=uUO$w!1w|q$Y!}r`z40CQ_5Z*VFB8PZL?1p~KhH^lbGsk(vzX&Ilq%^C_H9XTpIW zdaKmoc07@q{JxIwa66tzO$J}bceou-q$Y!}<2&4rCsLCEz1v{VsqW4-wUApZ;$=kZ z4asm>&{tI#A01kJbN~cv?E%lrm{dMo7W1ibQ9~It=J`<5;i85}O$ID`^PYw`LD%AzD*T9G&mYqacG4b$R^Ah%3k-T9?O;(AC~HP;d~};~I;C`jt$(Sw7+qTX^8a8RPI9KwPf1IOg}62A%sD-=4_ zsqkZkBBqY1daO{m)N87O6-v~V;YRKmk;z96b&wWa=$P!2u=e%JJiX!l+I;dK^&T)LV{%14`7C zLjhAy9%9(#5UtDO#{tDtojiIRPz2Rmj)DV9)Rp7M0fkMSa`ZT$K&iJJ1qYOXf6$0R==oIUC{F5>qsIY7M!n@IIG{vbIer{awA3j_j{}O2ddpF8K#96? z{5YWSsZ)*~2NW>%mZRW+5_RSHaX^t%ryM;FC|2q%N5KIlYRaK-sV5IH>~e_Kn>McjX0VV3n@#BC3sZKe198l=gTaJPQO4OC(#{q>?opSUzpa81390doI zs4E9uz0KahAwG~P;O8X#`)ntKQ@+WsSHTJeTXlN0(0`kn(}7976m;Nkkx7Nqleg9CxHlCpzvWk=fQzE4Iwio*I+|{% zs@88X)5|F+d&;j&K^;Y0b;piLYAtc2h&Mq| zTu;|1AzqoNE5{E~CB&Q1hjeDFI6-k zy%jG1j9;GuRDFOrLE&EcCRqA*7WawJMH=DqFZlH+*wn{|6BGiLzg8zbXVw7!iVJ%iMS+_>Xq@l|jU~f|jy`Cdpct`haKWniw|s>wkz|=egC`0WyNZ@AIQ)fQ z5u_Z8^xl&~#-5rJ!^s0$S2$4ScAr)D-~06_P^5UXPLCgo6jyf22Cd5jbh#BTScQMz zU$}xn3PS4?5eAjh!~_MKox(xu@&HLr#qF|x&0j{-q` zfI1-q)V7`pZi|WvpB^P>Ei-8Bp%}Ge&jh2gBI?(pct*;g(*umfYOE*@j-AuRwUFQLW5=xgDH1<&B+(Bo0d7+RihAUvYSC4{D z%AnH&?76OEd6Ish6|Q*PuSh|tKIWdF5W9Q>$ewm)a1~>?qT8=YfhlFtnFQEXx=l2T zH=-->vwUyO6qxFR^9hQ@D>a)~!ktm!iUGeO1-q0(XAiKb*ptsKhAW2sY8341gZK%G z*(*Ipx7vg&&inN!c%}?Gb4q$yL9afrpP)FtQ-x*xW^^K4an)b60$!5W6iwlLR}GJ1 z+%n|p872Im(1-sM6ybN(;M!mG%XPmR1-kkZfdm}@c#Bq0N{PA}0CKJ~kluK~uSG$q z{)`|&M*?nF7T6gq`SmCer3^Z2060YtI|E!TtQ?Q)Dv;B$`jOq^^5_HDkIs;7R>`7FAvXP*Jj8*QcqVQD3Tl{Jj;8K2_DZruJ&H1mulGlAn zt#9{hRbZ??9!b#YhPQ$WV)aKK2|DTU=25Uoi8||nJFWHdhvd@w-}Y-&psGI{N%#*( zB*X3={ozQ0&O$uaD~BU8QDZ%we>kt~%G;u)#h>tNQb4FbGD*-Gh}5(&Cx1enDi&^I z&c=`Ty*4S1Rex-fpi>fW9tE0|sH+E{<;|nuPJe8Ypi>fW9tBmDs4K^hDmp50`USKu zj~`Xau}OkxT^>NhYny@@{jo`cPDW%b!tQ}NTy@JA%!Vtz?bD|msmP2P8|VbZV}o*} zk|0`_hg_QKR_GYTZXf-TN`g*Jym=Ii=#Nwqbb=yp*|Y1)0k?{O^s7>!LwR&2`=LXp zD~`#ab$R^IQBGPCMCqOh~eY`tt$rr;2%6DQU!Uc z8}#qO=>)~mqQ?uJk9bQ~@S;CFNzgHfHxC$2IeNU%L5DYwf(-pBNP-SMym=I4P-1x@ zvw$P1NA~o4uNH0cKH{Fg3H+DFl`WJ3x2QDlQEz4JT0Of6?g%!V6UTPTp45FrRD{~8>LvAA<OhNO1ay$>jBn@;FaJw^#H{a3b*Fci#PEF8F`1dX>_g%6{lyVoh862CtdI&)PrbM zdZLcN{jzEyFc=pWsRlc0R#}d^9}|2!>~K=;7`YlVDLG@tTi`Aj6m?Y!1$h~eqq)bPtfzvq6qs|XZ*ksW++2U zx$jw+o6Rkh;f0u)>wckke4iG`(NhCWUN#pgxv#le?EA@@?Ln6H4=Ddv<$+|E{S zZY9OPC>2|5Rj~-Zl2yJ6*yM|(@xDQcrF@69Ft?eHkUG3}0PioEUS+RiWxrw?yHM3e znilCVU1^RNEK`m0(p38ixoejPrg_n0uM`VPQ5i@1w%p$GndVb3ap`5M~QgzbDKCOnpk2ai%^a%mh=vFU(e^ zJ}=CJtnZ7$Y-8$6!aT&(SA^Nla$l9TIVH>v^Dx!s>%#10>KnrBV(Od1Ji^qsg!wpA z-x20frv6-*$C&y{VLrjsUkNkGCH;*syP5hsVfHZf55nwa>U+XG&eT5(^8{1>D$G8n z{!N%CnfgBh-}aLB{ZQa0ZAtxyFi$h}pTZnq>c53KD5;Pk%ps-%!aT!NxiFt(Dk#ih zS^rR2m?KO@g*nQU5awB?s)TutskOp9FKr3oQ@R(Jw_cbRnW`1$7*m^sd5Njb!o18> zy)dsZwN04gOg$>ht4wVdW{Nd83iBHCb_p}h)E;3@F!dNd;mcIBFsGQ>FU$;62ZTAz zR6>|DOdS;Fb*2spGs{$mFmEu`Da@Np9TDa%Q%8k4$J7(ToM)@Lg_&cjSC|W&dP10a zrcMg8z!WgF$kc!^i%bm(bBXglDa>2U8xiI*Q)h*Fn<8 zBBq`r%oj8DTw%V1spkvxrA+;tFki-+Um(n1VCqG}d^uAu5#}#4^)g|;f~l7a^Oa1! zLYS{&>Q%!0C8mB^n7_=LUn9&{Gxa)QzJ{q^73OQ1dV?@u$JCpI`72DlMVPI1_3b*4Tf%(pT1 z5n;Za`{QH6{0-*)jxc|dsoxdmJDB>UFyG14r-k`0ramjocQf@lVg43VUl8VdnEC@@ zzL%*l3-f(U{h=^_o2fq%=KGoYx-dV$)HiU`CQTUfGE;XZlOIgrk16nsv8vF(85WYW zqE6F@^XUZ^+_^%L?iU&CdFm2PX**UCr^|g=_>mQ)TnTL@=<5v+tf?)uYE4PVU(-gN zQ4n-K55cytD&|&T?+V)dACp*Jsk~;KzA^DoVs@2RGEr)yFH$^&Hu_M-Lx|D$D;`SB z)~4-xw6fcBTEuxig24sK)sr8>EQfDnu*_~R+-;$d>cA6|Ll;YC{?Ues!_hq1No;k6!mc+qwiee40vYuzdTzY(_D_Te;Jt^07o zR{K7ju+_p3Cv3Ix!wFlh{BXinJ3pwS>Hhfx57&Dn`jGe~(TBt@i9RHLN%SG{OQH{n zUlM&t{F12Et~&R{pIfoN#lnsHs1D~8*`u5HSwuHU`@Y=r073WLAS}3|an5&MSWY+n zDxZI0A)Ryee--8d_PL+Ycz}J**EJqsAAe1Ng;s1~ik&`4#96DX*a`0UX;_zT*5yyp zSf8z7G2OJqV)XS7meH-EY#I8Z0ZVB+$^G^X3+>myY=uwaa0Xp9tgpKyf?TqA)N;pDL>A`M(SLrtyKAD&x7l3f69j?SFu$N zP5wBzv{koYv@DRKJX9W{5&UCb2RKVHje1%5DxJ>rEsHg2z+|aXJ}Shrx^ZL4a#iep z*MZ#C;CFc2+?zNqV8PN?(((jj&aQqxGRBwo)%g^O$$O(|5BiXM;iCKDLy%B0B#rKTYG# zp!zO`Iu+F`3Rz%~BSa5pXkwe(B3En=-xRi@^)B{SH0(jMob#0_?xGcoa&f7363#cN zSdF#^Et%D4CFKiNtV-(_{U5e^c+piBpX#TaD0r~S;?pm>%Hq>6y2|3yFS^R&(=WQp z;?pm>%H~NGecpMOo2OD?t9`Z3OThx$yvpXORP!pEr&8fnHczF(t8AWB zVcHL@vU&20J|uog>qFv~L?05rB>Ir}CDDh(FNr=Reo1tdb}jh;8vBK9nzabNRz}}N zV*#B@qtBV~C&E}p=hAGM)m@q`MN_7nAas78gvjmlH`iEBx6bp)DJxjr6hP9}7ur~* zZf=r7?uXl0Q0I0_zURiGy4G_)^Tsl|m4xT(Z!D$@`Pm@~Qm4a}3UjBr zm8^C@Fem#{=aw|zp<~N*o$viT9n0xvV&|)METZdi3v94)3NZ#IU) zKZWyt=M{_9MCp5R;b%p{&kR2szr|d@mD5%wMff@4Yu2|qvlb9jHx`>nc>?BsPcA9BBdUZK<{N(C>9gkKnbFw`^v*!P2)`oy$|zFtLd7~(hWEIx zw=AHg@Wpnh{AH?$CPgZKO(gv4@N0ul82mrH6lFIC^<60ZdUP$mhj$@6RlF|3Zwx*^ z8h%3$*hvZQ-{^(JH?|S(NX?Ssz(cKZj?3cQO19+!N85 z#w`)kOY@C31RLXx>8bPr?nbA#@SHx>fKgWpx0Ll7p9sGz{BFDf6aK9=!SH)obf4>A8ui^kAl##^A%(_~ zA2zkeb}!z}ls)kEDE!zTW7sa_7Dh7oT39koLVqdGtZKH4Y`= zI+Vv<-ClSBln&EM7_``@k5RIS1| zCSM~6|D!OHO#PEEx|#YHVe~NdePQ%6_3!9>Wonmo5=NhKk|y>a3ZtK?{}9G0rv6hH zrp)QcVcp2r$-1DC%ao&7?3_0)kY($IF~(G_FfOr;n}l(h?b$4h zE6l4G#yIn~3F9jB9u-E4sqL$@g;U}+mfb0g38r=nW0I*RVN5Z#R~Q+l_6cK}sTN_( zFclZZb*Ate8?~clA;QQSH>gsFgmIIN?GVN+^E!nw$61dEW1drw3M0q7Cxo%Ua^1qn zGu11M0?VBc#v;p|6h@J$Q^Hu{)B$1KV&0H2mYI4|7`HifL>PCNIxCF3Or00TQ%qeH z#y!q)Nf=LK-W6foXX>gjp3bS)gz*gKO$g(sn3@vCGntzHv7jIa2SMRk41laKewwM9 z!gvmsJ12~v;WBc_Fz|_wQnoqA-4$saFc))lB`8FkZvdtA+7e*790mypDOlB8*>Q>h;3- zRZe}QFka8pn}zWPrhZKrZ)ED%h4Ch)-Y$$cGxeLocneeS6vnSH^=@Ijm8tg#KN7|lnEEeae37aD5yl@#OQWVR zz9h?ymI>p_%&QQ_SEO7tB#b{~Dk6-pa%xN%f5cR!Fuumr8ex2$<*J48$4spg#y6PS zAdEj@YNIf|$yA*%{*z8+!xE2kb8#`l@mCyak%UcWH@ovG8p_&-by3gZV%;TKF7 z9)3Dgmm$8%&AXa9+C?7jhtjV_;fw!+Dfr?aak=ot|H<`$Fa9s)!59BGQ}D(A!>M>_ z)?gl9nl+iiOS1u{@X~AZ zrP&zk!%MS*TM{qLRx*W`W~(?AFU_uD3NOvBWeP9NRx^c{W@|VHUYcFU6keKLE6 zb^}w-r{58{OBw!whX_71_$(~$qCf8qmyF;CMujOf>f_8$6^x;T$K3hCWQ?mLS z4g45EL|+npY1BwWUxxj>yPQ0Gy^vd$%lzoeqegS|Wl^I=ifDGf^4DPYa@o5`l~2xY z-1)6qNN%0!XCLL+dHQ2!=>zVJ87mHvEWm=~;$m)+e&_MU=xZZJd-QdvfnAalaftpZ z>hFNcX3I=(h(uo>eWPjYMChVKVj7z>1Rc)i2C}rLnMI3jkG?sI9Jr;zJSU=W4gVl& zG(>+LJ4U-uXCtzhTPjRuvh&lqmQhI#Wal%X=-b0agnnS6?DVb8FoF`YvELDm{zmkj z6k3^En9WXRi?eq`^xffiAf^)it%oY9ABBdZ@5PGFw(9t8R@H;w(`08eXBH^pvDg@j z{x*IM;?#QuDcMjTh(zBXMVKH+)=m~p%kp8i(Ml6;pj)A(DhLSt8DYU zf}!Zgu@#%jQJ9ebZyHxu+Lx@{-qZ^2*2-Iivi0P3XY>=%-;IXf5XI&{>H`Rgg}=T8)tA^9AM{$u1w>g3LAS1?2gG5 zws_GmM86ot*g!B~UFqn=W_HEj;?f>z#leY;G&u1mX7?lHLs9JdtJTqD@9m>sk4C>1 z#ooT&F#?}7;~xxXi?`@z;2aJ0CU_wIPra2PDtis_zDE9a_+GaW=%Z(d=(Y6E-^xv< z3Fl|i1w;&w-=54!*oUIuLKMq3nM22+=yy1-C_##L0=Qk3Hgf+w`WMmYpGE&tm>)Dh zM2_>X;B8znZvIg8Z!k(YIwgOU>=)>IKw!)2MG^hG=-)@pe~kWvWm$S@F~dJib2U0% z7~|@D(SM3Yza9N&HH?uhE{3B2Y8oR5c{;=V{~5BpCy#zM`ft(b_oG<2orwggIk0dW zC65@0{$KjdGzM^bZoxBlWw-t046(-UkD~t>4Sy$!mEi~*f|X&PG$e+3zS8m}Q`uYa zCL&fQM|!MWnBSAV7z@Ig19$tB9)BQ+uywD_JT%J7-(kw^m zne@Cm(>)T~jF~RB1y*&7*w#o})Yul=<}bCxP~0uxwd;_dLDy1v>V|72yo|$$)OaN5 zx?vv&4dUaVMSL7IiH`$weH_^9B;Hv?PfgkHmUoCy=gjsG-F`E#n5x5tLtP zol#mL*KJyu&czm}xcr)6tgzBCoDCA0Wo-N9EOs|`Pj@OC#$8fS8#If?yB$hEd{pV2$jF*x^MC^I7 z=f`4CkKrPL6(NABm4?QR#smW44B;0dFwZc1@#+~FX7J{K89exEWdLR?0*g$rfhA(U z$k2ENTIH2BMn!B{#9qn`^~+$ry2c1nQ}}#9$y`M@cB4`V@H~qv^?HPKc_?AO`v2d4 zi18i$QS8k$bzq}gg~?%QK3%wzYMj8qxQM-#W|i;6u7R(mJ6+Plz1@2)XI)M{_%R(mg4@2fGwnpUHpyU}t*Zeur)P1Ps8@aD;672;1~2us&U5L`!X=OTp_`8Rm#qJ)ag+f!OB} zXJ?dt5mRs@W}w`>dIV=B3B=(KiH!d_n2DS!q2 zX~T+yLHFGfNr?FJVsua@3v%d1UwX+ZjxPD89Doe{0WN$wO4f9A`8Gspl|p|?nujjf z_UfSPu}PHCM)&>u(vjffa2wDy{*)25@}-y5obHz>B4&# z@mczmINgneJ9%i6A?)tPTStu{fiu%8r=y8QT`YnTQ6Ugjf1N~Boh>SGkCOR;-W0`VA{K0{0z_Vze2J ziOr77l8r@6E!+>5TPjj}t(<7-vHqZ&H+l8QMz^%Alw@UQaL@($zOF`(?pe{*_?9fF zu-&(E#ExWUj?dFt0Nvb(_c)w}@}%*mI3Q3d<@zLVFMFRPI^1f=L^u`!H9G}b1NXV`b{T*DkjCB| z_v_KJ_DyVarwABq=@I0_Ad#DH{9W(s7vw~?Kp6`sl`%mEghY=BggNav6k%*hMfi)W zW0=O@TCdW@^ju~NyLg;+a=Q(PK#Y|PAtQ#QR8l%L=W}xzM6bx8DwZD=fptus!@G(! z*#>1G0z`o3Tz&%K!^&Y1sO5ZDL|_Y3Sic8oYUDg10yIPN69``=mhb}I47`L0Y~$=$ z$8E^YPtGn)Wt^KC7j70)#gLeR8kQF#izHh(iC;HeVTNc%NT!&2B+ELy`kNx)bc z!W)DbDLB7`g(^~GhM(==_tUL0GJ?wmICyrWZ2P7 z>XZO6Uq*K7^z{l#FKH9H>zoownue|`rv&RyNwd(^rK~Rdji1ii{uvpoW`at4c!%v zbOMY|BNs(i@KZYUyYAHxgOW4^NWaKw|DjGHQuCsm zLcYue|5r(`@z^5Uz9&U0jS}s6m+d2*R;CV#=^TEwkV;c*Qr7Kx(t^q$-cVxcun08C ziLVl`9KL~hLIj$bhgS|?&%CuX2?D`934#dFCWoIuxPwqBF#(GMEvyOev;2TH)rmlY zd0RxFovE#)4`^q7AOf@@l21egXkWxnC6K4<$K8JFnVWjDSUAv|nWWbGPdS5BVoiC9 z%f_1W6U^I78&yOXv{|j;!=sR#q+cp-74ToImRyD#z`#!drZS@PAO)n~P`OfWs|;(v zq7pt7qfy;OeO1v z?MCD(r)328ftNmdrw%BO*Kzs9K2SP7)SrdAp!ZjV7p!e)@y5w8l7FJ zJnVv}A7Y@tK?F`PY~LgT{YTLv7n95KE5ulh0KY<8PScRWJ1Sq<~ zPayo&vMLNY>J*It`pIAKHn%@M!1ewhD*2%rV;$A|ydS_qq98hzV?{-7KDRX~mX7IwH6ex5D-0?hehjj@3&{0v+8Ww5?dW7KLa zq)jUsf zi@-U~{sR%X$khK8fpMmOM2gUEtO!JaVzvAPB0%46{yglpUFy&XGWwJ#ct-m=?=2e^;ZtPy%w=HqQUVfwK0M4hwy&ap?f(9oc6Yn?B2{HkZ3I*h__6S6pcEj`gLkI=7cg{wsofs5QF-q0w z8V5Q1Nf9PI9cycNy5E3OVXtdCN`<|y@J#R;rTIOf8FXy^aWps+vyO+Xu_Gp&N%Q+) zR$oBC-iSE@Mg-Z5F~)!>I7RL?^0%0r{DEmrZw&^q0QCjW7w2I`Co@S&J7+|SzStOG zO#)4_Aie3#!eOcbtqtc>VEzaioQ<;C=V@9VqsPD}lHkRdHiic0AvKN$uRv-%I7}wD zIr&a$FX5#LN5t6IRrIUOhtJRl%T{JA$2N}gC+Fr*%AW#TrLYk+XfoTSNO7RUFLL=) z^QXn2qg#i&{n*cn< zh}7uy+gcgD$|Ni_3Vx(dI z8fZ<@k;ZiZRnJwmvn_gfUH*gMPMp6UJnylI8^9YM8&L@!`k1x}j$C06Z=u24Q9WB} za2ZmM!Z9q&-44gFka~g!S77dwa10C6cG2KUOnVv*WMSH~G;lA5oFsj*mpn#*&YnWm~pYK7>qIFV*4)`W5&fcT`&ejYM-~K!3Pk;`*2Hp zbPWTs4~~E#oR8qB34(>=Cp(e)4332%^#$`vmZOdPGhszd&4g!wbFoe7Z(VI*t)GRN@bxH_6P~`# z!^~sSGht;qA2WNVWwK|wI?B>BaOSw_qmma$BcV0PbpW+{W)W zc@li2~xwnm;`LJdEIi5e3nLk?c+c*p#E(vA^NOQG**!o5Jg?&P!`5yH;Xi zMGNM#)S<=kNvExrLIyOUqTo!2logBtgJ!>9c67#eNM4u6Ab(;|x)&slD>#d_Vm!^i z5}Pm)nlQ;BbqdDN{F^Wd{Lu=gI;1X3dJmIkKoYo-)q|vhB20oUh631P*qx zx2;1Ovix^3eunUBzd?wGj^G9Puwp)B)5%zT^{9E+LY+*AP0 zO@}dc1lh+UoO*!>vR|yl7+Y@GHYLW`a>I7zc#IK2wmF9}AcAcF3uA1}VB26AV`~Q6 zi^5p?n!%m{;qe^Ar%sx%n0$i3-=+m`BJg(s_+E!J;=tM77K%-u=Gnta1YCaDZWs?C zo>Bq-fCL+&P$D&_oCZI~sz0X%pI}#g2~~gPki)U+wk(1bxQyv@wUdHu)q zGHqz^E3DxcTJSwK?l-96cZW2_8j`)D*(+lYQ@l~2Y94};Q$axQF%a-Fa3Kd_2h+>6 zr$IapVMnM-fqy{-5b%}fA_U>2iD?I) z`hVb>u#2f}BZyJ}v7bYlB8a37YIe`q0}8J^sS(gx3QqRG!ZZO(`y9Ts+i>kcY8lun z_!&VQLhbsz!|lTWL?eeZ;~?1f7`HV>q;j{V(OaXp!H~6^!&L%U3A4LV%q2!Wq=_>Q zwae|+tUQ>(Z&)uhpjXv$p+3j*H)c6iib*TE>hsLh*NVU{y8|4~wArX-TY3mReGIU% z&y)j?Hs>JjF6qsKSF*nmWH)MeM&%p>%IV>d=BOO#1*`#xROP^f=YPoEn}JDA44SPy zzUdEO;8E&gG?xMC;yTh$5XB9m_6cY%g8|GChqOR2$;pQ8-KBtGQx-QDFlQcueyYBk zv+oF#W=uSXolu)Ji+z;Mm?zAR%^ge*+s@>N7pF{sLws-mirS;NTcbTX9YZ<153nTvk$c`k-d>Xet+sI?e@IJWL;jnkkCSDZI28Htb7cs^Ihy&&HqY*q~~aDQ3u{ zq7tEO_CYbF5;mAz&Kun7t4!G?gKZ|J@`}D>-y&IC*nZ$)@>2*r%MFJcxkj=P2xkmO zz|RgcX9YN3Ihyxus<3p!Wd`bU{?^OG(~H#>V<*8BPjk1l(cJk=Gy*DhIi#l*6Sl!V zs_{0b_jZRiG!(%B0uNq6wsVa`Q&SX1{pUE)7Nx7V9Sy}$&b3SqSPIGkqLHFzII=vo zV$`^46~p=OX%FY#TI`2zS({V!oNj0x;Qi+>L~&Dkc^K{mh~gF+a*(=>hDwpTgCPTv zhzy7z+iu1f5J9%)4Wp>Y*5Lcn`9DWL}gJb*pCL)I9`tT-&}sM#A<3zyR01 zflHNQ#F}4H98B%C_B#04MEgP5@`JlX;bOe0BPQn94}q&K_;Mx_*n10<+!(hv*p)!V zu7{s>v|;n76I>GrtHlLGKS*xF5!JRf+}IZE1xJotLyPZtR1GcM0I?slw==nq zTck5w1C?A+%AKQI8{u^iI@%(S9jrzhLjS2&`(c8IzK1 z@KITF1O~1#v|qJfV;ry3zPL+t#WZNlU+<=rdBfs?%b z9(cb-fQd+a_#r+eegB{*Chh=kwsubK)xMuh90m4fuv8}Z%0)bT*~LU_GdP1 zd^KbZ_jnKQGj7^+_UdDQ6$6H^VSe-|EY2eE6A3x?x6rp^VX~z5_knFO`#bvw*i)E3 zq2Y*8qbjCNVlECasT4IVbG5&?>BZYfd@iXinsrdT>Ez$ymq1$%nNo} zhVC3j?Z54RVzDpmf5Bu>E_Z8epOXNGow*tlNI#8KdntUpCW5glyFQ*9`QxX>G z#Zp?+L=mPGTLdQw2;j9c*b%=qQI$Goei<}5I0Wkgbf`tYq7uRPAmU>LZnImRQU|LCZP8=1B z-IaiuuE^aN$r3qo(EESXidhCp}jYK5ov+O^i#7k0r)gFTxiKb_Zus znpij-nv<9uAVZVKEL~Nyv&mVH2zH_@aW>SSm}-&XG+v6TfW5{9>@~J#jZGi4tOIe- z&dMc)3Ah7k7yEKjn7F_qXVCb5@iL~)IUwUAJ6`6HHiWvQRz*5ho@rDR`DWu-)kd7=B zjyE2Hsj!ikfQ`H(Sn60BKNKb01*t0>(ut*xv*6npnP8ELG=3N|t%mIT9dabgo@9~9 zG~NjL9)yhb4(ZG?rdZ@`3qBQ*X*7N~@@n(2q(0`5E-ZD1Mb4q| zCRqGQ$k^$Sqge)=NoDxK;GL9>CW;lvdCPETuejgf0}@!d#c-7E9oZYcl`7BJDT_~@e%9ukD>BU z9CA!zAdMf*eRL9^LlRsq+9N)nhMMCX1y3z1jB?kA{T3yC2W;Ov5$WapAzIh50cJz$mvWI=-cVQ?RssvJAnhU z+tu(qty?r3<~T6UOVbx&STy;{<-kGnOP$Nqmx%HlX8{fMLycbnkHjNqQRgaH+UQtk zA>0zj-8!7BX=nfzxt4|oA$2{!Axeh?J_e>G_pF#SsOk;}_8{uq7y~$qEpiRq#mYBM zoTW501cl!MBNtrX8^RUr)A&EsxsC5cI=5ToTDY2fTr@jXUb>M6K?kA@tjFp0)_I_+qT{ zIU1UW)C(55*&?t{v;gBTTjW+6x)LL=(a<8K-k_mtk$Q`U79;hxMQ*dm?QkJAf6aH^ zv&bD5xzi%xTyzJv8r)F2VB+Y{s#7q>5;015zb4^e|HU(a;v8U}4#YR6TgGS24TKP*=e|0AU{p80$M^1cO}{ zVIK@h4IMI)!G^82`l__iY&Vymq^^?H2xT1(jEx;Kipe?ZK$1NnU&GM44TIC~!R2T*z< z<`0DYK@J(m^4HVQOPGH$rKe#2FvvdzZWCqTUct=MDIJZOXF%o%hm2>;57E%;m=7my zr(!;wohXE}6B8KoTbMbX((^DAX7ECo!6!224RA{`A0>rTY3Lm+Ih}^yL+Tt#XCu$K zz%$DslRzL@jSLsi&^|17Aq{+laYeS>tUo8y9ZP93?3egOyj4Sj5%hSspVMe8%X-rA?LGF zcVnp^An8YkT);}L#8SUN(ytDg4W$TwsSOtXLFs*1{x8V<+aVXS^7mu;{|cf-#36H7 z`KLJCq7YohjZ_3K#YQRzF2zPFmxl1eYf;=H;Gg+CCg;--eo-y5X&66-7C9DqfrjzJ zPZ4bGy-33rS836H7I}$=;~1&SoEbj^^%U)I5!e@h87|T0-+hZ3P`Z{sUKhc{TLcsD zMSwh>)!ibn3cqiW4=l3J0=HlA_az_0_1ehQ z2QJV?sviv(A-Mh)`NRSjU^s6IAGXLBa6dQ78p77;d*K3YKwkr70m>`a~*OC6SWORoexPD zIOI|$>N|^kPw93(7K^~6s|Y;0<}pKjoZDs*Y^oH&rpje3X$P`j4oO!yWInU_U07-% zBrS5t0#@p2EOjj;UFVR?S*ho+)Qyk?o>f<{QZHaB*jp)ry_G9jsohxWHb}bNAy=_d zuVATVkhI((3!zlF5i0j?8g7ErN=jd+Z!kMu1;y@j$Rf4^{6NDkF@FuEdoUlIq>3JN z$kniGnXalA1VJ6%nRRp(4UBfc*MYw1SrTZ{*D`akS$h9mJUyO^kQ~EJx!n{@l z^V)SR6W^7Kc2W8nW&p70Ime~aXJx}SEe90<;gHT0q5b6e&*$p#aq4XQfd<`;R zcgT$_vj=9rMd|mL3Da2-OlON(Q-7r4<1qhyN`Jy?_d!0KU|PcRf1=^unExrIzhXY@ zo)p3E$xTdnKg|4^(mycsTgd#*Axl~20L=W6(!a6hpCR)Xhuq90|4hU9qEhq+4dYuy z(O)!-FA7EfQ2HP8z-(3wv)L_-=NAe#$1Z^_4%09mS1OLu@EN?GV#^}G((ovZ#A#lT z3nI%vftsIF1c0AKa#}UAZ64cEEv}PWTvl8c?wIF}!o_gnxlPqaU)fM0Ee!tT5u4y0A|=?m|<^cEdaOiKM_JBi-4ZbL^UUf9T%Tl8>uLq`1hSVtzS;bOwXx_1yIvrAHIOIN-YSFx& zm^uqm&vVFXwbNZ^on3Y{8?|{Mu*`AD{a{ytTq_Wxd6QArrI0btArGYQK6b1+Yg)Vj zrNB~93`@b9^xemfRgYlB3$gGbD15a;*0RDW$1+>G0A7csuZPk%IAoo!bk!4U@e(Wz zmnjx6b;yId(pCGQ#kXQzrv(ygn^^d2m|5=!6e zkPXaCKgB@+yZ*(n>%WohR;4t&l}o2Z+^S+$!FHr8h$9N{2kGEA49d zW-Pr0N;2+Tl zaP7YrKZgRIhte-NWGfR;4+ZRoq?aACO((#m-`7yU>rna)hdjyzG(-XLX`&cDO+2O( z;L`726!0FDe%~S6H5w~-=@-8|6n_MzKX%CDth%;X-Di;WxkH}NRp+w$uduqWq4YNn z*}(*KK>^=G(hm-KQX`Q2S#{)MFf z9P$)AJr(rg8}}u_f@n#|Ay2dI^%RS2Q`PAuQ7*G22TEHGc?M(_;8u7^9FnL*p4Bv= zZPlx4NdXG5p>)C_&uNUUDFcstLr8)z3omJqx2sZq32qRT91f)$J7hN#us;fD21(5w z^0H1qmARI*LImYdy0t@I(KNkXm2H-^!_u(smcY9Es;+dEZI*Px(nmt+&JKA^SGvj& zOOD3UuoRcTQvABEw5!j1VCiF_bWex8!5WTsRB}8d^>)actl?GfGO3=hazZTWhmuYJ zw*C%zi%B{IRR}v#C9o5;wGN6-D#!z4w=8(6U47^mhEW;32Y&Xvrj;VDSXq2U=w&81=7>Mpqi zel`dP0357gSMOH+4#?TGWIo@eEm=UrbCB^0YM*P*VwGJ5l`XVw*i!ot-mL8N5dyrW zmB3rtN38x!k>y4jo{!WL8pc!2B}>7F^c?s(*wM6v-Tp$ymfT9ic+9xub{f70%il@E zc)+)0ISu0h-;xzpfYR`7SYRdm(h+~HE?GsxxUpTbnuc*>y99P_TgWFtZ>QWUBU{TK zlS^RHEP+MyWA-?cN5gndwPa(OZFO+j*2BoU30OBf8d~xN|3Fakr4=ZmVcbA3`38RE3XR}9 z8s3Qthqv{ok@^XK&rS-r8zYGCZ^@m@Y_hmX?QPEU}zsA1&YTl*HTb4Zn>5g z((refTTH`0B2`Mmzadpd!+#=GmxghRu5^DI31ixUG?I%{0~*1NwbFxWq!7~%p^^QN zI*dm4N9u4IsgG0>8o>>y(q=S*yCtP9XrvkDwxW@8q~N5@&s?jeZE2)ErnRRL+`%aA zNF!Y_trLylML4CMX#_VCO1scVf6VPlBPSu%okoTs)q_S(L#ikIARN}wi$+Fb8vAKD zOzTS{V=(Om8kvC902-N$)Ib`Uj?_ssG7G68G;$$QLuup^q)wrc1xTGnBRD6O4yTdp zFl_{lEJ11%jogY<1&!cw_|h>nvI5h_(a1`q#;4m@)pAfe5v^ttSj}XIe8X%Eo*Kjt zdb3H(!^K@r0Hsq=$~2HN-67wyv0F?d_alIFX#~HZm7YfUwuliq7h-@i)aKt=9FGTBlzW}^g0^B zFEgb#&AEA_+Xyj9*Zl;khkh+ydzDDYH8u09gT{iu%6nB`NmM`2GHO}hkOTHRZ%fIHc@*C zX2RP}DZK4`&oWC;#-lV^hSYXy-^{nWN@4%56!!0aV8!ZT<}PZl#7x+~D~0{L9}ABF zAL0oWlg7Z$%9lP5A9t;bOJ9KB3$#jK0)KJl+73TEcjmZrQyg)V?(BnU>C2_BfcJXo ztML7w-9Uo}2!IH(t7I?+M3CJmgE1h2>~0r~0TJxjHW>pV$ZlxCJRpMXq85w+5oC9q zU<`;LyXpjEK!o@~#~kJk%_)cj3K0hi;($ZMfr2<75pkd(4p>ASD2M|Z5eEw5fJelE zf;b=&aiAa$m_!^XhyyAS=lIxBDU35J#E(pgILF5>eiCtxk6lVE;v65lJ6OayK6ZPs zh;w}GMqm-=_}Gyq5$E{W^@t+Q@v+lLBF^!#6Gj+kRHzj@RwUvaA3I(o;v8SO#K$No zFYz%7;u0UDATIGS3gQwUqaZHvF$&@mAEQF8*v(N`KcgTn@i7YG5+9==F7Yu6;u0Uf z5T_NpT1m*`_}HyTBF^!#i;YB_<74;Zia5u|ZW|JDj*ngWgKM4aPeSNDiG z$H%Ut5pj->-Ap6mTz~9t8WHFC*zGhT&hfGPX+)gkWB1I6ILF7XoDp%3kDW8dI6raR ziX9LZagL8&Y$DRi)K6XS=#5q280$Id4K6V&U#5q28ln~>L3bkUVUPYYaV>il)ILF71_lY>i$4-li zILF5h&WSk3#}2@WILF71oryTd#}1x}ILF71o{2ce$Bva@oKc}xY`G9|j*l%EBF^!# zB}2qHKDKCxILF794H4(~*uo*=93NXcM4aPei-(AFd~Ep;afYv)9hB#BPC-10k5dp& z;^P#=llV9V@gzP@K|G0%QxH$$;}pb`_&5deBtA|-Jc*A}p>nx?a0=oQAHPemT&^FS zf_#aOQ4p8-7zJ^Ok5Lep_!tFoiH}hbm-rY3afy#np>nx?a0=oQAEO{H^~WfPOMHC& zD3|L8ryyVA`1tx!F4qrEh05jn!6}GKe0=>Vm+J?o zAYbZ_uOH=d{ooYjOZ_nl;u0UDATIUCD2PjZj0%;@^@CFom-=HA#3epPL0syOQ4p8- z7zJ^uKSn`Z;$sxVB|b($T;gLC#3epPh05jn!6}GKe2jv)#K$OzOMHxixWvaOh)aBo zg1E%TD2PjZjDont$0&$Pe2fZ}%k_g(5SREE1#yXwQ4p8-7zJ^Ok5Lep_!tFoiH}hb zm-rY3afy#n5SREE6)KnO2d5w|@i7YG5+9==F7Yu6;u0UDATIGS3gQwUqaZHvF$&@m zAEO{H@i8h?F4qrEL0sZv6vQPyMnPQSV-&Mfdg6H;%}yv<0xOY@#b>OGqG z4pJY`JiLOZ>_eLO1*UyW^L|F^Q<^W@`#H_e!?Z7Hej!p{)BFRG`j+M&hSc{ozd2Gr z()+_A@wWGKMASdX~7<({-gz>Xa1%IqTYY09p<@p2(^ol3R1fvQekR0Ln=z` zu1HzbJ|3wUwFe?asXZL2d}^PGlud24oI0?lI~mi8s6CC_TAdPVU&wV|XFqDsL8=b5 zFG8vwwdW#r0JSehsy?+BAaxM67b4Y=+Sed;D7CTo>%fuS!iJ+Ord3QG2VVuwtLQUg z;>gh>;BtfZ?vv8^BiAhkCQFURV!B^)l=DIIWDpiTrmBjZI!y!QdX4zL71PH~9(~-1 zNuwuJOl#O@^5pR|rgU=60b&crl_GE<`8tA2DIVz;V+nz)|G! zI?ZEsn$>Ah5Um4G#)%1B87}CwPI;Xs4*97L%&xfu;Wv^-OrJ3ou0jZR2X_=WgfVdX zh*9JFj+iot{oEEi3_WgAMZXynM^;P~DNY~wsqzVfM}W4Wgh;dze}8s8L-tZl6%Q9h7b2Dc)xgBpX!O&bS7x=xxjc{>03b0}!T$;;`} zro*q{qD)9C7zj0v=rw5qU}1-!!KH<#0DRJb1W6eFqQnYJ0KcwIY7BJ3u@?jk&fg*KesBggV`J;`xA>?OkEMc7+}eMHz-g#AQ#0_jfzb=m@NkPLwO zPfUpq6y*kq@FWoqCPO6O$-p->B|a=g&MAWL)RgqoMEdC|>BCdvXSm`cpuUkQ@lh%9 z(JAqYl=zvhIBWNql=xUzoYgmuoW=D!Uf`V|;uBrvn4C!>f3ho`@lO%u&lcfSQEr;6 z9OIwviZi|$qTD&IbXIO=O8i_=Zk7no6XE$Hydb6gY>|GU2M7f12{EI~T)uP-rB7Ut1uM^?*BD_I_H;Qnv z2$u-{n?!u6h~F&2TSR!P2yYYN?IOHG@ZBlm%S5|;WHw9R)o)q@OcrwAi@_#_>u^B z3w$rT>S5#M6_Ng`DEFEOUl-|bi11Ajz9sVai12L@z9YhSMYval?+L#5Mf?L1?i1mM zBL5>1ek{^I5#gsI{WB4MF2XNF{+A;Dl?cBU;Wr}uR)pV)@OxMLSwH_E(;_;j0f{uL1!P21OVWVc1n3 z@CPEUILHq~Q{p)(aVsUB>%tB5Rv?y=9~bpf)Jq^wg!v-9K!mmk6C#AOUTj?m6pFA& zq!){@Bv6`MCjU{T)?5kFakLq#}Dgr|t`R1uyg!qY`KT*x^?#7Bs5 zqzFfeaI^?3M0lnM$B1yO2*-)=ED??u;RF#*6yYRM?_?295$R`(aH~BD_STUn;_RBKR)p7y@Oly6AmrRA!o?z7BEp+QxKxBUi|`f^-YVqW zCc@iAc!vn@6yY)vE*IflB3vQl+%3X;M7UCf_lj_p2=5c&Y7yQq!Uu%@)`<985v~*A zgCbln!iPk-L4+GcSSiAXMYu_Xn?<;Vh2d*SZs3u?RuZh!4rYZ5NSLr&z?*FeJVQvI zCXFit&-ZP*i$t2PTOW9(abe)KCY6D=Dg*CT20rZDq;b>Az^6l+t^?&n8SMT(}%B&a%Gpz0cf@~0^kR6Pl5e=kr67=sGd_oJy|MNEWo@(P~^r@iQN>J^*K!MA;DJWl0 z2`Z=#5>!VoP)C@9@};R&O80e=ppNtc)!7`BFHNbSj*_6dc!4_F9F#9j-KS`(s|3}} z3siS=P`)&!f;vWm>fr?nPG6WBx-U&Vq-d(A1a+Jjs9xrvd}&Grb-V=C+Y3}5b5OoC zwL#HTUkR$87pN1=LHW{@3aY;ZHNXqhiM0%BtD>oa64W3sP;ijQ)X;rtYMTOTumm;4 z3)IQxpoaNb`&3Y;NKmJGfr8^zrkWa_J*YDzs1aVE;Cz=UC|}+8sM39-B&g9|peoEk z`Fa`Mu7EmIf*RumYOFaZUz&Pc0X0s7I?D?b96zqr?t4N3H9>-!=mlz$IVfLm$U78J zlO?DrUZBo42j%N!^hpKOR0(RD7brL@Y1)0hK4cizTQfUZ8F=2jxpsDyXFr)XiR?ZmDHZZz!6&Rf4+B3)JoApnP@T z9tG4L64aeupx}xDQ=9VDeJZHs64YH@py0NHS_SovqN%$jsC&FX!Nm)vpnP@TUIo;> z64WX$P;iAzt%7<_0kv9!y59@b1LmN7+0=&$s5KJQS}#!R%t86;zAqI}4@yw$y+A!= z4$7CNR8SivsEuBrD$POp($rUqrXH4{HhF>CT+5)oRzPi$pdRr81y`Jyjy_-A_oD)8 zn*{Z!7bv)6#T1mU?)yanwOxXG+zS+3_hJglm!?!uJ0z$ly+G}(Wl+B>n%X5nJ>>=J z=~@Q$hXU#u3F=udP|ulzdcnuza4@8RdQpOU$qUr(S_TzXK)ozMz2XJx)mjD>Q9!*W zLA~w;>Wx|k6;(jJDM7vE1!_+%gUV4ry)8k#;|1#7S_Wk)p!P~o?|FfGzm`GeDxf}) zp!Ruz`mmNk#S~BGDLFFl+K9!(8^8)pGErZHeKz$)Wedz`2t6B!7_<07u zmY}}z0%h(y9Q3u!sD7T`Nl@Q=fr5MDOdnl*fl^&6f0Uqp@&W}{*3~K~)ur+m3F=ob zP;h&mDX2gEJS7w=hW@7n^_LeYxX8~Gl&|hn9R>f9p#Jp&1$PRXg7T#)#V0RBlAuBX z4^W|?IVfM6Qhf44Aqgt%1u9|;DwN~LrrNn}3VsGboN)-{dVz|WgQ9*wRVbkHB&d8Z zPzA=IeEH-BXDXm<2`b?Q$}t8ND)OT#6;!bVRpJGz)Erb<_MqxWP<6dP!JVFlQ$pwf zKcLQ4y6->J*#wI8wskd7pQjTp!~Rg z6BSf@395q^sE+2Ke4ScTzP5*skf1twfjZJ0lrK%GpgK!XM|pwjVhk$O)sLYo-}^${ zB&hCQppG#Ib*vvy%2%wA^oR|4dBn2ckv5&Ddiw$Ofin8~NKN(i(o{cVP`*xskbMfM z6C|kqUZ4ilGAQLMR_H_tYM>XWLA4C(BSljuNl=5mKn*bmHQbL)eXM{wS%Mns1qyEY zH#PKA{eb#R0d<-Lb-EX*;l`kXzBHwRIzxgQ;RR}BErU`%LWV|3P@}y-RhWbFLNLL&h?r+FE*bNe0ASYR0`P<-7K`oJ>Zt?=P)EJbnW8~x? z#n5k-plR7WnQ3`n}hP@xla^L-6cV-@B($W zIVeBR=m8bfJrdMPFHrZIgYxr`mjY^)1a+SmsMY46e05(?>Aw3Vs0X}2tuY7XXGRYw zZY80$64W{`P!F1e^7E9C0&2Yk^^g~+4d$Ty%u^|#HcC*HUZ5T}2j$Dq)$ZFQL2dQ| zwZ$Biual4}s7EBItzMwEnS=5*`eMrHdsKpY%nKC!&ZyyQyT5CB6bGEp;}X;pUZ8f= zGAP9XC-kHQwbKjKu384AIN*eylAxaU0`*KSgK`v`dRBsZ&I=U$fM%`Ql;VIBdO?DE z(F+v(mS?SkQXFtXyCtZXy+Fawiq(j6ntc_)i7)Q$W2dLGASd1wTPstC}iPK)o+Pec%OZpD`$ZnyRCK z`cQ)U$P3iR#-RMIeRUO3pGZ)jdV%`P9F(6A+kwLsP@hXsUbpFn;8(g0ZOYfKOA4s3 zB&e^wH1&-!C|?^KDWJZUpuY10^}R7DU*Bc|O_c8YL4x|x3)D~Mpll!eQz@W+mY{y| z0`;poC||EwM<|;5O@jK}3)COxpnSbJsGy|paLCJdI8+1QVLzMufuj^nN#Eg+m+x?> z2EN0-KppJ{6_&okVK3j|a1DHi{p_O$R8Z1)IPB#+95(kI_BSPTRWub%8hSX#!_dQ4 zEgO0_1yrsC74rfWHwWcwFQK~vib_yLLHXKsIaUExAVJw)pc4P5pd1OR&#(_(wJ!&pz(@tu{<8ZH@anz; z&AZRnKKf_{RDB7mffuNQ%t85@62>T?4wj%AdVxBmmO+hEKpiSU9p(k9kvS+|+l$i` zP=`xUjlDoMF$NWG=I6=t90gQ!395w`sFt-1N;wS@mj38rFMsr~xj(u;O(_R2!qOi- z?B$OhHup#O2WpnmebOI2?B$OhHup#O2kJZpl=Mdrd-&Hd5+fjVCS)ma+)QC^1L zrIro-0tM935>!_&P~B=7lyaCS++Bh?#tT%BS_Y*Y<_RAwLG|M})Bqa~;cFHmQigBt7Sad?peYMcahmKUh; z=Ab6}02SO{0X0d2n(PH?iaDsMen1_dfSM*jP4@yd!yMGi>_JI?^stvddf40_J$ym- zpk_-=UFfB$Ip&(0>j%_QZA+{?4{HP(aO-pf2+QHQyMNFE8t$3QFG4 z9QL}QIc$DIvoD9{6j1Vp=CIcd&0+H!n*SG|7Rm0r+N=AnG48&wpFPhMP01UY!(KNu zhs|$j4*A*hOaUctXbyYb&>S|up*eiBpDE!WW%S)5yYE)7?z_#r`|j`qs-Xf(dRd3P zysX1D@Ur&vWi+UQl3vzfFE8t`xtDc#r5{ZlqG(FqI~?}9cQ|Z*?{N5jKcEg%Ks_LB zYK@motu?o)2mOF*q=1sX!(lJq;jp>yaM%|p#V;W&eTTzdzQbX2-(i2Cnkt%-zQbWJ z-{G*i@322m%@k15cR1|jI~+Fm9rg#RxdKZ14u`#bhr{N+!{I0V7sMn>Y-tf}Yo93GOAAovGg4*K+>h1qiQ13`k?|OmSYYyuD?7Qy+32L7gs1MCS zee4HRCuQ_WKhLn2pJ&+I&(oiwtDvNxXV}ZnGi>hX8UEUjrjArJ^^NSlZ@s$jJM-@I zHBYIazL%hW@B;OtIjEogXsWZKsb3_hU%f#6W)8~N=u<)cE$x%XlukTs}CFsZ3X$U{?+u(75hQ9q!%xNRzuBSBeSpmNPY#j^(`eexn+ zK6w#ypS*}4hvuN-=NU;zO*vkgDm2$ru^&w-ex8vM398f!)PClm>i7Yr_<2U^N>KH@ zK`6fnK2Mn}a&YkEV`MMxXRFi+FjOMa(_T!oD6|dMco#r&+|y(=1}{ zX%=bhM^ncspqj|;YwFc~&CI*6g&$D86i_WCs8(K}%FRLfIolpQUIEosf@j%^c3aEav`%duczW(OjccLFq{S{CHC8$AOpiVLe zHN+370Sc&-C8(iZpoSTP^7r01Pyuy{1a+zxsMCx=Mf|va2L~yj&XAx+c!3&e4r;WY z?mI~VRUtv0=>=+xIjC`dKn+$voh3ny_X0J+7*yET8}i8tsEHEPBri~tjX_1u_M@qx z3aF_P)HE+p)6GGh;|J6*1=LIl>Rc~Sv&=!A?+27}m?v_91U1_W)P?4tF7gBFR7F#B zC8&$NKwV-EYMviZrzxN=lc45%fm&b=>Iy%gPFFxl4~~eJ2S>!*gClaaA5g;;P}fLJ zUF)T(>&!KEgC9`J0kO!964YWZP)p1~E%gIxgrcdNC8%4xK;3E%>UKY%lv8UF`ODD} zuV0RinE!Hg#Ml1RC`D7trKaxk($orbP5Ihh9Ib%5TY|dB3)D(;P^Anfd=-Vkl?eYTklsTwp{D7LQfRcBbMZE4bi7BRom%-=U; z6_oVghZ)=rvD$WIRv#x)dv@ zg8Ep3`os&=r{N5%Ib1zU|n1k}=(5!0eO9|>LFHm0_gNl6X$EMC!Z0b7+ z>U%FxKbV8^^*F48`cZ=V$qUra=Ae8%PpP1!uS>+s*Ck@^>k|3HPxnnzy6;b^slU85 z^|!I6{5>V8pro%$#LL$uV(#nW?v=Ae8% zC8(gH5>$>CD9ap_uYGhCRIUUS^8yt&2j%N2K?Nnff}&ntK~ZzBpr|jepc(EdAu7Fs zqF!D>QFE^#f1s2baH2)B`-;7~uf(|f{5`rTH{e7|C8+(pK$RJT@~0^kR2>Pbt{13! zwG2wRmM6Nu1a&}WP=V-y(fZ;#)*d7T{}GbYxNg%;(L>h(8LZO*{)KrM{>_yZ3q_)b zMH@jHqZ*K-d45jxAaWdV9Kp&4A=!omn*^eVM;nV8T0lW2D$;mUw1r+i#R4e5PFpA( zj5YxwEu*c3kRwPC{sUBvn{0}<(@QDA5)x%JS`OF(99tv+5r(V1UgQy3i0q^nc~ll6 zyF`x`4X^5-CeS~}>Sfl)E|e|LiFRcz2Yuy4ySe+PEA&q{u7{o(YUpEH!*Q~PUhW!> zgBp7A8v5$h(qCUedgt*9lAQ-)q4Nf00DY2PX1ZFqL2$L4=mrg@5j~ODFgQAdgzB_| zA_GVS{sXISeBl0N;Z4!u`Z^kuFe@ZgpBo(-=*U%{8yyCNgpIA-=qXTJSQz%nyiIwb z=uoJ282p{WYd#}7LUeh55XppEjhi%WwkbN+t71!5Y(+5gNzutdd#4KR#aJ7d`ey3Iw&6OC$yUUo zXNy+EqO1beidb|iP)w1r=ro{`ik!kLPlSQRhQc-!v!MVplymiMJ6{jNsBITSXY2cC zu3jh_hP!`~Ewg0HENoe_e_ZW=He4LN1oX>H(LICC*Vm@Zpd=6|(M-P^qL&*mS53cx zvgj3Q2sT8ox*s5=uMsH-q6?#o1ao&74s0&68*hkS^AxN!4@YlcBm)zw)1LiH0`as( z2L;-~Gy>5Zql+~HZ$g2$fWVt-DDc+kZ5n}hpupuI@QxY^yeqmwn7?be+8Dk3L9N2l ztd8^ulmZ}J{_Ykdi}c_IeNS|yu)ZqMbvH(@G8PR}QF5@N$UuE<@2)cH-9Q5^^J+8Z zgS5;Km@yw5T_bv}YFKQDt}|mkL|gNEGv-6J%p1&@57RPNnlU%hGH)_tK3vPZ#f-VJ zR@+<6n44&8e$c&`A9AE`)16Ywaoj>n2*vje`LnoMa%q&8S~Lv=FiNSyJ{`$ z3o|3>re*%hjJdm(`5QClW3J3OTIRpZn0srP|1o3kqh{Gv-lR=4NKhqqWQ}%$O^*%&p9r&(t!vHe(*6Wo~Q6JXXux-i&#imbs%D^I2Nv zPG-#GwalH(m?vnNyO=Rg)G~K9W1gfPlHJXiCu^B|m@!Y$!tQCte72Ukml^X^Epu-( z=4o2yzGlqRwah1&G0)Iydw?19Iog^BnlaDRGM{9|e6E&xh#B)NE%Q(_=JT}7rR}D8~o~^BUgct`27HXO2nlUfZGGAiGe6^N&o*DBsTITs?%-3p}FE?YpPRo3y8T0j8 z=7na=H)xIIYBM9bQOkU-8S`Q-^Yv!TOSH^4nlay`WnN;&yj07))QtINE%PmA%(rNn zZ!=@QRcj=7m>J1!TIOYD%(rWq?=oY)L(6=(8S|Z5=9Om5%e2g^%$S#JnOB=J-=$@K zz>Il?)=1Wx8OhyR<_FD~@6j?pWX8Nw%e>Ky`Ccva!)DB@w9K2$nD5gvKVrtbTFbo6 zjQM^o^J8Yr4``pm9yeoNqpf*|8S`2#^G-A7bz0`9%$Oh4GCyO+yk5)voEh^&TILtb zm^WydUovCfsAYcHjJZIo&6qc7ncp;H-mGQbW5&Ei%lwWJbI!YZKc-dw z{g`t0>P4>3LgagTkq=}c^8K6-bPinWj70_-rZ{lr?9-!MZ!9v+CoJbfy~qt&i2O(| zvN8*iAL~VK%0lEPdXZbQ5c#RT$F~}bOz-i}^e7)S7Mb4TpX*U>Hx`+W@(Vr6CyYg= zqx@1Y^2sbjex(<=D+`fd>qS1Dg~)I8BA?AdJH5ykvk>{c-Y$0=i%hr6 zAM_$$$wK6hdXcYXA@V1^F5fT~nLc`c&iO^IJ$TwD+W5eY(LKf@*@*_lA35h&y~ua6 z5c!*4!AL;n$b?>GA`6j@b|%UxG!~im$Z8epQ5GAE zOz-g`y~xrmL>B8smSrKbL@%;#79vaaBKOZivJiQgUS#JiL^jfk?2?7Z!}TJ&W+Ae% zesb$>EHZs^YoZs~BMXsD^$qW7EHZt*Y^E34D+`g$^&)#`A+m*DWZx`Aw$zI}Aq$bM z^gTYnSY)~pmFrOsG!~iO==m@>YQCW!Wq!(F{g~%iIBFAJQva`N>#u$003i7wFNf+yF3UpXFulmT zvJiQSUgX_bh&)v%SfQSLAnnLc{P>qYL&LgWO!$fvRpIZ-e2nJh$3(u;g93z3ucB45Zt3WfGW+8HhUgVxEM4qD;`Hp{)q?0vMUP_p} zv3-Lzi`{`+?QOrLvvr<^`2r(mYqoWv@K0SratXWoy61XpUZu659|Y^Ih3l>B)>}(L zmDVlmtvidXWlbxsmGdjD)r%^vwN0CBv33!%-g>Umda2TSeY^E$rS*2RO6$Gt)(2J9 z=i^1(Bt|BYF5*^uc0+TN9Bu6*NAldB@)qiz)*NvQbx-Reb_+Fke$5R+vJDAv!!%(2 zP~7$F5A#d^-&sj(nWWI$NJXj1P|$|W&Ylbxto^x zM>FQ`TIQe4n2*si|7ynE)A~(g-G5YdmHWpMQts;{y|m1KnK2))W&X#Exwn@2KQrb& zI_BJf8FODPbI6RjpO!gd#(Y9!EXV4Vdxb?c5v~Lnms5#A_yGptc!#20{SA zAT4u&8S_b6=7bsZ;9N(ez+wYtp}<0bKU6EEv}QtvX?vl}TnOvRQ?$CMYaj%ePt_vW z-+(7=G%gVCSUfe?T{SIb;s#(c4sd5jtJCEDl3vkaI;+s6X@d0HV8Y9{0| zZ7)nR7s6KK`C45}F%SaG3$(NFR5RwwwanAan6J<>pJT>+rIz_zGv=$b3Omn?d7-w; zO!l5!180#I!G&fBuGY5wA~WV|v^8IB#(b@o`BF3H>$J?5nK56lWnN&$e1n$x3Nz*# zwaizUF)!9KFEV3ZqU~q?LuS=)k=~@O`8u(~s~Pj{TISo$nD5Yb)tzR{cWP^1ZpOS!%k0_|_S$J&u7!P%S3*yxxp?m6myf8S{Nw=1Mc>)mr9FX3Y0% znYWlRKcHpaYR0@q%lxPr^I9$Qb~EO6TIMIrm><+KKWWCiUdz18jQJrg^V4R`8??;N znlW$GGCyy|T&ZP#(Tw?FE%R{9@S1@TVBVr-e#4CU5$($H zmKpO_E%V!E%-gig@0u|`s%`UoX3URinO&cKy;jTZTG$_&)%>`Y`C~KYC$!9;nlbOt zn(5~T%q}y1QY+-knhDvd74mh>gzVDl;#&hDFkqh2GJkKz{Ir(&M>FPUw9G%7F+Zzi z{?&~6IW6<=X3WoPng29nenHFpw;A(`TIPSvm|xN{$A}s8Zrvmi3z{*%th4c0*nru! zxA2M`CTn@9W&;=TIPCY%Hst5_q8?GH)H-l%Y2X-^FA$eLo?1;;Gv+U~ zHMcWk{z}W-!HoH9E%Om(%-?94k2GWcR?B>p8S{5q=A+G+zt=9c-3*vr54JyO`}-IJ zA+Xf`sD*#58S_utnvXMM{#je|@n+1wXqo$%G5@M%?q|mQo0hr18T0SD&vh}APt@4o zu>sI!e`a!Th-s0`Fc-oU8`s*$OamdnOttI5EHmaj zE%W(i%=uc_v(1)ZoFkfrHOq}So(dz_r1)QM?!hxOojfeJmqVb04HBXU( z#*dKlp^YDo-MBG&O_LofNwmohI3XE;lBJ{`lnvEs5C7(o(BGsqhXjwwi7mB4rWO`3G>8FQxK@9wOJl zloTOFq%`(^U`F7wz~!;`#iVl#3I7MPPza`-Ku&BQfq&lbkrVskzrc7BNhT&U{v$bR zVlc=001DUX0D}O(EM3HH?C8d>FB+te!O+CHsv zuDMmtwT-PZVP=(3`TrYMIajetM_Of}w8|oBmBr>(SrTm}CiJ#2zye^waaff?xGJej z2O;x026PUOgPp_DA$~yymxp+1O{863owWVpWm;_UdX@15Q?Xr{BJHeHZ1rm*ZDDoN z8q`GE)zwKms3y{`txnp(HIa6GbcTypY$y~Ba>TJA~x4i@#m3%fLn=?Hd9UWzF9PK~@ec}0xX`*a>yk}#m zKfKLaF*MX<;P!a0%6Q+(c-lU+yQZ>uSMjD$7VpMhXT&uLkZeN&yuicHH)`=i7{RzRg(Y+w7X?d|S3m&ausgle9Ru6v1E+B@r+RgC&;Cl%PRxq zF?(v}lK(0g66ErXMdN8BWz=rNZtr=ZYtr=}MHlyv;nbCEM z8ErQ=qwU6K1VU?KM%y!((W1;|v`CuK_5RHW>rI-`4bqHmbequ)U`99czFTZ)Mz>@# zqdPL2ksFGE8QocvHGBtGug4qDGHH}w;M^8i4WnX3U7XuuKe_hm668tyw9GR^g^Q1G z&9J?}w_(`0Cz&Vh^D;|VrIbvNYcdyYrxdkEWiIL3nq&%#y zn6TdDU|J&w(^~gnS_6Y=Egwwl3lwB~ih=n*7k^$n+3tle4MEucy&Gon4)FIP z{Owkr7GAPDXPk;+%3S!8-7<5@^rzXE?17n!rwHzvx#Yj%15|>%WS2oP@!=`{8nomj z_(?D zYgIrk|zBI+7Vg4KEBtsK;`98&7091_TY>i8Ly~}zYmQ2usrywtYc4SCb>%{-s;tUx32D#Sb-3tE(6#gw&_;*s_uZt;VnVeGK^G=$= z_tZq;IU%>c_ZaJYPmT1w$EELOazsR#f3HsA@78Dvch}x5{)bffpKgW! z0Sf<aCHiQ&sg8@)kxp(x%6HA6i!KX`hKrQQ@FeKW;Br0Hx0V< z4aqhnzy>o7CG{OxMe_OE+{E=1p22Ah{-|rk3q-u$eEvnIeD~X5Aj;oBGI;g90P=0y zsyo)sIfDi6s-kwYj1LJk0gQzi8T)uJs#RE-OP0V6q-QM?GV>1hgI2Bfa0fSF3!wKwZgd9X9)LJ0SNYZHtm$U z6%dFg$i*H&+;$N6*bAUw2X3c8CU-$bxoI1?fp}F12Bt_;nUcv=>iRboS506l^`xon z?>3csU@H4_Q#nAI$_rv@S(?FAsC`uC1}mmQJ*Iou2In)E+Wpk?8@0zIL_K_xJtrZW zAXjH>4prs{Y7fXBxw5g?;Z0bP&Hsz`bY^uJr zsRnMFst-2RfZNnT^kA|1mcNbdfEtjk@=Mi4?c)BWxmgSnlM*f+PsPM#LZ>y z(BS(_Tf+a{2-?f9e~Aa%&$q^We*ZBBy!k)|XFejZg$xe*zU zCd)tVmUA48mq&DX9b2))7Wc zZ)tTynUi=OX=Thu{6A=B(h7sj>cC~3!0*Qh!>lsS93_n#C_P4Jpb4(|HXyeS7-&zf z`5Z9NP>+RPTQzd< z|Nm;Wg^JYyEZ(`wos!;QM5$h

{@?G8BBeXdklist zcE?EB9izOuW3=p!Sz>f-Qb)&`>D@ucZl_}_>GvEJu;d1NI#g?r|=%>1k?K2qDMCA z2FTQ8kC3&n3b5J#D78nXXY7%gYL8SsTqTz~Jb-x!d$_80nX5YN6eyANE?ctBm2I2F z+Xeu2?Kn@i<5*}1d-STu+d(hTqf~xuE>Oy=M4zg9I`OkJiJxO4zN|X&7fJC$;9-HG zZ?>M!gD2(rRpOK1!UJ{fPGHF5TR6Q!*`~nvgSxKzn(3NzWeuF|1NB|f*b$plXM1`T zT_{|o=Zfz-@Jzr@lUCBJp#kvKS3OXg2psD5*3V91LffxpUyIWZVg?T7c+fYaFSZU8 z);H7J&vg>ry#n3z>d+l#pPX^3;OAWd-3`iK+F|zO%p95{uYto{jhHSQF+EcwZj_C9 z2qsSO>L6fv-YPykL3U@xggVY}Vl)7}A7|$MRnsYlyV^5Hwr5VJ_AIW60k){l0GHH6 zTC3`$-Bc54t*eu^RQ8;HaKLAZ)WOlo>trN$9G;ie^g}0CKNt*-n`!bO_+U8Yz$Tui z?1+P$YmW5T&`ICxI?|<#ru0_VOaT%0=6jp}od}dIJhCW1=m%)a=pF8z2sjZA39P0& zt7%wg`=E>lp5Cy|O2d}98@7yBx7>fjPF z!s6jat?a{(xBF-82gTPpFy7CL>Sx5z#9HiYueF9bKe)Ac>U&ipM*@x2U8@ajzPy`)<+d~TaypPsR! z_!fC)#>{?h>JIq?yJ_YsbX(UGs=6*fl3fQ>hA(H^{CAzJCRipOm0ic2dF3E|6uJ)F zcwvxkugR*97nW3=!AN@~eq2sw`gL`R-6*5p((QeUYfflNpK#A*PjK)%{HqCNCzqcm zrJ8oS)$}B&X(z8~S2Ycr=Jl;8^~70Vn$obR+zoq*SNF94hN0|a!=8~1d)D2sXP{xv z@|vEL4bv|_)4cW%(!0#vvS##ocgvpV^}X=FYT1jjWiPo~_9C?GC0^6+>Ra|g4UAE3 z*~{*hz0B)-<$u+(S7poCZ98(zz6vda+jU^fzE0l|FPd%u^M@0P{_2_SWT+tXGufJoW{yy{QZ-U-9&iE$8>+f@s$LIv>kL@XKdQNnY4+XXu z9;1oz`uo!E&m|rwo&Id`hygzdf%g=TuSMWbB?A7Ic;LUax#PnAdxtwV_A$bJYdr8j zh`^st1pMT9;C~W<|0NOdMwT9lZ}V3X?F~)CM9C&j3~O(Xhy57=_<))SYp28kAMn}W zdBqY)a=_aY;V!pgi5bpas6Q{o5=gfEIsQJ7TM#n2`K;7=!X#$uRG9@2Y1IO$@Rttw zdU#5w%1%9>#jD0tN%%I6)3Vad1u{Gmd(qTqfJDF>iF@?&ps>7GFpWdv9z9Q76JLY{ zq6`z(%z$t%CtX`0OPm-Ba1M!ZzE}v~jkEYB4ZoqiN3S7-$!}<<>f+wHyTd?-i-a)Y zv`Krx86NZZ`II%vc4a5zoa?P#s}8r%od(fxf}MQMIT}x3C!vGHbB$8d=FzhxcARuZ zS|hGykNfTt-T<8^WF;v@~oMcbS=!hG)VAS-tvKq(g&*3 z{)3q}E#a9rEzy}*Ts-qiIBdp~dV0b$Z+gNrZ(8CruatD=3ADv@y`5O1Ic+gr9<+=c z(bJ_fk7PNTTDw>TTCmW zw(z^Pg)itU>#JaEjb%#8|3Yg}U6YCvU*b@vm>(oMXBz07X`pkagU*=-I%m3Xr#j6a zR;ROdyDO*#s&mT1p|M|~I;R{Q8v9hLbIL9LtpvsEb?~P^?1%xH1CuEHUt1X^4Rj!e(tTfUjKO z;T(yVEx?Jw9LWNhfwQxyb0iLFUcBbS5wF0x+{wZmi5r^tbkC6~OfJxTjwCXh-ANEh z_#9a}R!+j_$Rg845}sUAW0| zzvMK-tv8!vb#=eqL&zb1uW`S0{pMi~62gq-x{>A7@OFP`ab5XR#Pt?u&vo1r3E0^U z130@O6zfnx6CD6JkGW4hmnmey&piNPrx$~jMK)a|Y(3Zx-t!+`D zEsqE7Vk;?9Y8~)gXDCYYO`I9PC0$onO7yTs{T1zvX~x})S}9ZWpf58NGkF-a?|=n; zm7XIMblMwk$XP3^^mC*Q1GQq)m8q*F3nH(fSLx@A!E-jqD!r_*CUs>5I?)Y^5w05O z;uWq6A>jNiv(mFW4rcpmy{)_l>JS44x}&33%i^WE6@0bqCZdahlH@g%fd;kNUJ|H%8A(OdP9tf~ z4ik@XjUJMY5IkJzB%SS9dy#YmQ6riNVnr!LkuPkG-a_1tquCn0fw(5MdMMvLxUweU z6>yC%@eXiYSuF_?<)w9{%&MbU8~Z6f_o2E*@=!nqPUZ?V#lbN=CTnCk!})iTI!e7w zodVvwXVru1yIR!Wz~9DyF?`dY}}L780kD(` z()LHvUX7%^y&Gkg3k>(lEN3K!TdCAC$!eg3vdf9=#t}uaO4h}*N=8~%iP{+WKrbKs z40ClfGcH_n^>N7?AQ?1IDY7m&BjItGSwd|Y(@5ZKna5>o45y}eHCAxRoqI(w>-8(^a?_#2RcY>ljT_n1*#G;E) zNkDXG+oJ0;72!V6O!K@H;RcDJl0wxy=6O@ZbL~`+%SS~Os3CP6~ zOD<9#Ft+VU^ko9ax5?{?je2*Xo)B)^H%ihM8J<*uRw|I~P+i;h<#=0Epx2Y2$=8%z z(%|C93-mN0OzOGgcHk=$+JSG9rAMNB3VsK^I$uO zP12nhj8L!x-y{jv=e?bq65fFqNKS&83x(f-uT5+RzF7}TX^3{g@bLKN-5 zH%pw*Jk2(<7$rfhjbsPDIbptUmhzpK5b>!vFRdFT`(EDi*ep>v)C^8io2i$oonVJN zTU`QqkE6abe%&929dZ|cfB!&!hujJ6hpq6Hzw-`xBkYh1)CTG%-+r~g_r1C~>1uUT z(lm8r(iU~Iy+iHizTcp16Wbw! zJ0P%`?T|Y`*%o7moOIY)u9FT2mKw`-(&5xH0AbyCVke+l-nW+ z8=|IM;Cb&>k_&#^hGUB^K5pk{?-tp6G%?ce6WC|kaeHC~11^x5g7L!H z3x?6JB^dM`2mK!j?8kxuw>hAI3dT#eV92+ouZslZZ7;zvwx$@B1cc&%B^2r`xU)yM zrd@%(p7wo2a=XrL-*aYFM3=-R@%9*RHbx}*j`*c1A_1u7yFZSEvLB3rh&%|;}o zOc$=bu@01oBc*CrOG?$QfrEyWs$FBH^q?)Jht6I~jeadDeaDv4cd?Yd15)}flhXGR zl+wc@DLo>VQjAIhQu?Wl$}^z4d@#3WgOtMAl_)lOhveBfUUGKG%pZ=+JM_znz~mi_ z$p*1!TTE7Fi`oH*KamLoqEL!^dDp`<`L{Sg0dqROPxY(GT zvd5DlS!UqN6r9&w-6@$@a5Ix8STyz}#>?V?lY)R#Y~<~fI*kCJAi#R3%qzsRf2TCq z1-kggHO!Fms89;n)zx53gNUR7KU{Gd(HPIy&N!Jt;r@WC3|A&8lWn{7T$=A@vJ-Y~ zn58HVJ0&&KIE7hee!)+Dt2>!QL(VsVkrFrsmY83;9s(J^1xpO3qG{r< zy|;}(X?1~@?Cp{r3R2noAy%GFCm>I|ByTFQjjKSOc1fH`yg2QWm@Bjgbamio?*Qgy zkS37Tvx0_y^$r@Q^|0{lk{oZx2+ywg>4p7DOQnm_9faqU>Q_@>(^EpNsn)TD=?NO1 z+r4PQlvrH9hZLSs$`&C=H`Oi1kbsbrU>YNQzEUcfZm1AtqeW2o=B+}4TFTkJeybY2oAysI!&)eVnP{PeVjT*=N)HAs zWu-i8rn8vi#k!~TRzm%*QPyR_6*Vrb=qZVtF@`r!NsQ;%0}lL3=p37vb40|{&z_jL zClUTZeMk#Q8w8j`@Q*{#&PLzS`01b*5~IE!gxJlaWD-U%>mO0a1&1g1?%bK2NG5aqCl)1}Uy z)A-3y5~Ml1>HkQu4CXXs?uG(7ZOYo5ejW}xLF1_{y*Rxm-n0RYhYiMHc~AcFv1xmx zUR|-lxJQ!4nP1@dNFsB1Z{nV!%mg0bK0xewW?8V9G-O|F9ZE>oC#i=rgQxzso zRc&!P1STUDr%qntv^SnORX18OXT7 zC8wYP2wbvFeu&#o&n0SG86|8NFS0`J%I*G)qj?Hwb z)%3J1P?Ijbb&>wB@5yc6YI<51N1;;6a-ld+>!XE>1$FwIz18GOscO8dOw~WNn$ESY zrUr>yP3N8cd^LKv=4(THzBa=1wIR&cMr^(|wprK6T<9S;I^F#9?uC8v=4(D;UDH_B z*|~Soyx12{)bW04pMI^7dw;0SYdjKcaj%)py$ek4nK{j2N!=`(4$aS=d-#cB5^P~} zkKT^3jx3;955m0&SiD=>+^-|cS+a5+>^-|e8LWcJeoKqOH^Cy^!)B&*Dn1*>(-*u9ak7_)lbK^M zFP@F#o#GVfvy%9qKU9%EYp+aHkD*Zaxq3oX_kQ2&-p0yMn{Kon7wRA}>kqc`}>H zh{<60A3&R2N7B$826nT->ki6Gl0yR;>5-{nlD;I1yyp&*UXsKWz@8y^L&-=GE|L7|pYv@=Qti9z8{1*bIZ(5Wf0C;?Lf-rO;6Rq^~0+_;9>LN_F5)FdUt3k1GZBdh@K2n&?sj>rSLD(Zen zn(>nVGxg=9koro}`Rc1|l^zdEUIkdCZ)2-;7Oc{@vsL;zu!inn*3d?Zg z31z9uJ5Y8Pl%=R`pzLlaOIOc;RYv z(;Q%P7e5Z@?S-(JdCDUqz6H(OvIlr6g^9?r#X#>X%cled~U_9%V%M5!^_DmFG_jYdNA!VKz37yW#12`k}eF>p>oAQ0h zfo0$ho2~EbrFeO^>~>zBtv%WciS5xokecBzE{;nd$Xu>efphBq18E*3dte{%mNG!u zZ_<^UsF@^_W)ld$3=fCz(mso5U#?U#@&gc!O`lbb$p-PEOp#Jlxq*Es;QHgQsDssmzUS0KzLV-Z{wLLU{m0e!l3S?nCqJcrkg`zyF!csC z%IxA9V5U;L_zl}Gev{h8{!(BAzeVk0e+t;eZ&SP24=E6X2dG`_hZKmxgVZigdKStK zL0Njz6HxXJl%*w~fUy_|kxl)f zWmAV1DcQ79)>SZJJiM!iJ#A`cfAGuj$1?T$vSBuUY^C839>KHiV_7Bu&Y$$LE}oZs z^4^bSXQM8djf7f(cdmUb$srfKh3;I9y~9zu1Q_b^xbc-++&_`%3x09`ge{xiZfj;( z@GSU5mKW(|Oz}@7D<@CKPvW?mGZc`U&z(`4HmkN}x3)JD{1UuM@cT)=Z^`8sZb|q= zvX-!g?W95PZ#aAKh+b02Pj)!RoeUh2_!g+sI~a$VM;)Rj_R?E@?#S1#t-e7 zOjmHL#L+nJ1FR>`7&vieO7UTzKb8 z@n%dw`rl^4aoM8I&F$mTEi4|vG0CFNFV#oc{1C0280M$?P~iZP3*%GvEG6>D7&}*x zKsNF0VSiR6&rOy;G0)BC343m`k`wdXd@fnH(6B#`(KF_O8``+@8|N=%lMl27IGIdm zNLH%Q8N!L+7qVy@$Hnmlzqta=cy7f_A467pVm^iw@wVcxQbm-2@;f1Wh?tuXC!{`2 z=#F;DtI98BQ=3y4UrLr3rUXPv^-Ecp42S=hGBwXB)e|9QreyNLFpn5Zn7MJl^Y$yf zoUkbyNFs~iS2ESZ4eBdNXe94w{!)@5omWyPq!yvAQclFoLB|ziCwv83F>!Y#h&m~W z=;zJTlTtx9LT*m8g-!dGv(isW?g`U-(Xo!3lakC_o{pQ7l8qPdk31=PuYp-m3?Kf; z{iMu!?*^af5&B798=)ig^x^5ZrbFyq`tJ1C)8Epf+O^t5?N<0auKlF_YP)z!6yXRx zz1RQ7#iK0w&s;ppjem%Xhq+`1DFj?HAFHj^PkfuzBgvPjpCpe_KTh7MewxxqJ(|)4 z{wAx(QnS^gsZG`6X$#b2X?xV8X?}VI0PLZLv>DE*-HgHr_^JrF}}Whs-L@*OYh( z=)RoW@14?b7A^+fo48X&IY2!nSv2{5*{K*^Z65F$nBsz-0MWx(Wk$ z5#_(rbA=SjDh>_Vy3gYm>&wBYd?#^G@JN3rc^`$}kA0_C5n{=&vfoOA3i(y`Td4=j zUS+>6n&Dm|F~j})#0~dSi5c$SCuX>R&kG`oH}PNRpX7&I@%|_MulnDx)*EF`5#mk! zm;F!H8>Z)tAgNR81M0W%irII*W9qm5ZtC|q{3NS1=uty2mjONM^G^odN?#gE_74Ny zN?#gE^1lIP^rfLx|4t~QFAYKXNm4~i-zHTQ>D!8yzV$r=!A~@1<$IVW9{RR&0{ZrJ zTz%{IHl0pb_nhWg4uH%+jPxPN8SHr1lcWzB&)l6(o{v-()MqA&XcyexpuqN}cPMxJbrY^H*PH%d9BEd*4 zbwZJ54hp(D4MA5wF{!7L3x`Wt#?>+iy2@f#%hY8c;dQ9gt202t&!JLJh1HU9^?Q+o z*R>>E&4TDGdJ|y3CE@f?!&Z^M@)QB?*QXl-5UwQSH2}NjZ|vm=!_GYDr8nnN-WX7q*d4*o0%5wR}kkjfOTF z4Mk`)veAIVbqtMq1{&v^GGnH1wlPR%q3bdXk`V^=Yz*p&Fvzzt;8~T`l*a&=$D++$ ztV)qwbr!KI1!0%~tD0%=o6n@LGlgj^6Q-=@_(aQ>D)Um%qg8XN2nLcWx$0*Q#Qn0e zFBJ`BtWD~%B2u>y4WuPEkTh9J@Av~rleo}C14%2|Kw60g(uNyIx@`H1Kah0Es~Dnz zq!(=<7l{UPF*gwHKjVmY797!vHjsAK;*_!r7N=}@3G%`nS?zn#^G4>4%IZ*%)fJ48 zNLJ5C)|Fec`qCw^|GLrqS8y5q*I4UcC+WW+A->VB zIsMmU>tD<1zoxK%T~?5FFI);V5Gcr+NsSQWPJPzwSQ{|2?(WRGwDCgP_#sfgw#xJC z*?6e}T-g%~CP?cxXx1a1c8v`evRb>~Cb9Qz>?IK3vW$inTr=4+*CImg6xRPf@?upZ_F_ce%-L*7F+?FhNP;xlFKZ_WqG-P?lEtF^Y`D8);HJmWfgL4U`07Mw zaQ6}&!3~iR5BJ#^xEhb|Mp%&VSC%O&!G!%x`C0i5?(tlxc2#@8=L6~*^>O(8#^>`T z!@Zt%zTUn*@cEdpz_$fHfAVYofMxo^Q?2?LWo5j3K3UV*J)cgAn!a}ZnD=L`ShAQO zSiF|q&hs{p)zW&9&RWeTnsy*$_{*H zdS9f3U@P*1Qbv6d68FBYpdAjLWeuM4L3v~OV9E0u8i*);= z_JFeebknakhq9OGreA#=%3g-Dbaergy#i%vzGNtS70NQylTh{=lxe;`Q1&{M1$=Fx z>&XU>)1}mWuFE@%AN7{5;1mN04=_D?p390)*;u$FDQVEUs>ohqxC@e1P?AA=XCXi8Q5{Qo>R(N>!Tar-Ves_)^)tXL4rjRE$B= zloKUDP7VWa{D6I_tdD>Xn8t_u^nM4Y{w4{J$ zg!zwIYhZ4%_A8sUCr#Eiuvyzc#M-azY4^A}?Zz3Ku5UTZI7_l?g7~|5n=Uxz5$8k} z+4xzAFZQ)eWn9)bZoYiO_+qCMRRpv12MJ$(w)lb^cs{^-p-`IspxJ2*(qiE+HVc1E ze0KhJ_Ola{m}LEK&(1S=cK!~t^9&`it_Xxh_jAIc%hF`U7ZzQ1!CxdSy0@_Cs!Ld) zfQ}@|p2Xh5qNj+3MTetFy2apCIbc2Ozb!1rAWdP>Gb~}zGZPjTJ#hBIf=NvDpd~DN z77`XH)}ersSQiOPm=l(eLK z0jy{Iw}r(Rq$MmRZDA>uxUiHydtt#OCOXXyN&iRmvRGJXj>xi%#N})f^WI6lVnW`@ zY<-N7oT6nv8wmmOPU_{|LQtMzSjooFd)e2kNHj(bO9=juW#7Qu;%rTuv$Yal_VwEK zXugLW`qYRSQ6r+C1Aq0HABkO5@bUwPB^Tysh=hKg%kDO-+i7unnOR0z*h| zW>dr^fh`h}z#K`6N09{P2qf?Vw**eHZ3%3b zxCCBek7mEQnQCK5V35W08CPXkcrCj-#L3mAu>-|&RZ*$0oX5-6d1ohAxwc%L2XfVc zjk5yCRY!B2ZEU$}Ba*95)-njG#5383>kT;hG2)2K7{$Y2KPOq2!St@&@|Y*fHjiUj zeR+~FBpQ};*gBzvuh`1X)5Z5d;qciu?QK789#aA6Xewr3oreVb4Vy%7=$+ky-I)n? zH(L}0##oP7e%pfG%MxsEOd;hF;ezl7S?8;xgX zXqq4XTAGhY2t`BxVya&%q!z8tCHev2orJaZ!I%z2_CyBUGBEO%xHc?A7G_tQ2j5GG z2p66LD2wyd#fk%Q!~ti%5sAYPTO9hE;!uYd2O_hoW90#Luhh|pn(|ObABN>&D9FMv zCJ)!z@=((3{#XoyOT>`}p1~y~>FM;r0=AWqglcjz?7kAZp!VZ*s-5t%Soi z<(NH-kBQiJoy|7EEG{8gfMU6Zd#|luZ_nc4m}}Pq$A&Ymjj*}4)SSg&=(?t5N!iU> zIxRck*-%n4*(ldyfcFqjo|rjbQgU5_pYtUpVGu0Hm*voL&H0j&y9T`Ql+*`^(dLAw zr0nH`Sm`P0NRMipK-Qj4fdoTg~bP6sMkj^@fmGd zZ;&I{h}(fw)aYg70DimLS4t*G&$`#6QVu1Q_|Kl{H|jT;;W2`FR7&!oQAQJ4@3r-t zm0@gyURxgn->jr}P^?1%H6X_7Vv@^D9|1Q zCBX9@--Gs5kp;m#8_`|>OBzls?4%DaHBm*fe*`y}6IKcx-6)iIel@Nliwa*C(O&1t zlNRLdmO>9}O78jnn{3FLP`Rb}bmVr{Idve!E}LROVL&&8#E z@Ku$>DQdra5V9&FVj#d5g1#E9cLTwP-M^Bk@oFU_MD zhpS6wi;%87f5CTT%}dUAR70X{d0y1`4|q`n@uG>%izXso+>!Z^)KsaX zpDUdBvG!^m*12V>OrfTOWvWbJ#=@Pph1vluBWf%V`rZXB+3=LbvR?k0&I1+vdaL*h z*ybYu`dy|mO7y$2F?tvDayRQ~sx4$~;H*9gdfMwDWL#=gUqjigYHF51Ci{7u$FZTr zYG6JMGrCj1H`XiX&fN#yUn8WoxpJz?&2W2Qs_n03?+H$o_-m6=l}6zHr{@6Z;S9g8 zA>$Nv$V~-j?KI}By$Kw0(@kgXUQ2Y8y&}=M&!Vtz4m`5}y!7>sOW{Tm7ntT@_+`F_ zt+~eReugMD2N**$^|C2^1Iep&kmt|NCm7T2A~7d^;CpB+u8GqC_!>(uD1y$g^5nuA z%J*=kqz7n+Q{%?8mAI>2*okw&^M-O`$!3>VV~r)|E5CF$mT7n1W~4V~{Jus?OJ8G0 z)A@Q^;tJmcR>dq!T-8Tl)d7W|mi7==E?<*xpe&WAp%AS2ZGDblhR4|G10^X5c-v#3 zE@MiJ**Z`bIOKvHQf?DVl|gY;X{+>9dfB?B1`Vg{CDAqTq#n~XoT3?ME1F)QXy!6S za}g+-d4{4GJlH{ctj9Fd#YgPh5rLjL`fYOvrb@J&F{`I!~XW&bj8)ld&bZFa>fP=cLdF+<)y z!@7yxL`G*=F&DgUV!a#der=<7bKR#;0f38QfuKMA0gwJ}j@#eO@%no(^MElvUq|p{ zrUEH0WaHCjUs{s}!s`#$wBz8@X+Wx#OBWb8yJKxuWl?RrN``Tv!mPyYw#FpvVjiQ* zT+FoN{A7~Mx?W6@QQz#+g?HcLvxWe7DltYj9lXvh$FS7ci05!_=?76U;%jTd<4?^% zF)UXi%63~p+(Ykymr#6Q*f~+($@ahDA&%QwG=C`ttP)nmsRkj!s0?DjZ#PVqGsO9{u)1ez5}m7g8*upLswjwIihVB1t5bXe2;%5+-?DLrIseR4T1himz01 zS1Q>nm8_LY=1L_Uu1Ubq2l~-sEGkvJGdo1-4k|7 z4CrwzRb&k4aV$xtRSck;I-m_=1E!Hs3j<|}ybU>K(bUIteY6UPT01nLPt?Keqzg=O zQk*U@p^Hdyw7?KTj1_PhToln$Q=RRHK1r65?T2uvtlp1O1w9*}-x8;nOPpRp2GP^z0FwSl+Cz*kX&Y)3hPo?CLE8RE+N+VYx5J?xMw5)b zTheodO?q=pu5g+ZGnz25N%dfWrL~pYS?&vqiI&(voGpH?BLvL7(g057h8VyRb5q5f z4JmhUT>xwQIMgVaD4tU|AP$~W+<0E);90t8c;3l%0eJRx@GOh)bf%#*Y@42U;pZOg zX$Ut}a8fi%&wdV`2t|8Vn2#T^9*m9|;ZL9U5tay_@CIwb(S;6PuWc8&amS zeA`wthiX$fWdYdS=wQQAYQeU=e_;r22YX_34@(1VfsMsxLL@ZFM*UuHW;;0C>sBAP zI5<>+{^^^S;|fD}2ymFD2asZmgTr*3;RtbMI!>5DxiZDUfo8UtK>rFuQw2EOr)MRO z!wj5872z<0;}PI+kAnm7IS7g`xT7$1p8$uM_~L9R4jpE3eL1|C<(7vT4i2@T|2_1t zFf>Pi!|bBS!yEw)bKE%0b#SNy{Xb3r3PTG7ILwWM16?+mt~H)-vKLOsfG7}-d2ZoY z=pY2oiw7sszrxVN0)+05BOC@oc1j|I(0op(Ib530AqtZ6h=WjlK!&~z_FQ2IF2#G! z+6DM3bUaB}DB#jUHRh4Ak;U zqsO$vThbfFXn4JaY$$Eo?n zdR4?GXGDwjil`if_6cW17s6boE2gLo2{uPFH3JAQy zYeu5&2FK);95);$uVg6}t$77Z-sRxXo`#~+zrxTS0S>G53?w5wlVkL@2Z>j^Nxat~ zaR*Nld)P{AIIcTmTf@x>7@Or7086+NY)l|fSZ`4ndQLF5wKx%nht{Rzi`~wJlyw3P zv5sQ_p!2*#>MnpvJ^EJ|dP#uJTVu$L$>J)DH}MN1GHBhXg!Jy z?Fp6_+mb?n_NoKzvLZv<=*I0e2U;&rq4HMs1sqn6TorIT1z_as27J21x&lBorGJH? zw*`#cgcImka#aLXzu7%!4miW>Q)FUoaTDvH1Ff$ov6Ag|+TJNdxS8fqD&pQL9C9di zHHbUxSoDg8q4x!p+Um7aC<3Lnaf%nj%JA4x*HQX4MW)ntj_m;LLx)lWiwv!hBN;%8 zI?!l%^6dOlq!e>yd_+niS4L@4+Hz%pGuL)Tq(+!0;uuiU@^xV13! zg`#ZFn9dUE*<9O+6%u`z96BvS%CJtqBxO*iWl32{r>8`n{@~H+E?1`@0Xt%b@e6_& z4*hCShB{P+8FY3HI~$IX>|uwqeNO%BJSCVjrfhQT6&UY(ImMYD&h{Jd>2x0spy=jg zMPW7^^?2GrPrHowNJf44c(dUxGaG;GJlMz0pV81!x0tq&q{g%DGp@Fb&}j0YrORVs ze$FMH+1ZYGj^u=0U~fO~nl0Iua~6#MQHcO}!3`kC!~g)?$N(^bEl0{xfz8e%<&eOZ zC*>`H1;1ihocmvSQLhO$iy)Tc2e?P@LrjAf!UkNbeE=TSsf0SI zOezZrzQ3ph-!Hkl!4rIckqExONCe*>pWszU6-JBFqza-%RZ`WP;QQkcyo^KevD_4) zrl#Yv^q8(Mb2_S3I2#>ajE_+nRpBybc1@!Pv|$h#v~O_x6lZmRL+4K@vTGUmQ^hzD zbk#@nuQ0o=Krz0lXG-@Das~AkhZKyso=H4d+izjBp&F^im~$?vhL}^GRJQ~b*3XQ# z4sih6;cOMnZj4nbte)9Tt%Zy|M3BM)2E7_y=x*4?YM8dHTdyRqCYQLIbi_?Sam5@r zWOs8nxePVgozX6m(IJx2*NDV}rngl`=jAIfZZLV^6-rwpx z4s8az8(wQQ6w+p-y9uPgG^`lf#FIAT+)XB-Cb6`6&qW)$NHXE6MIbZ-2y`oPf~zv} zYZD02FykTUb?_RI?AweR;aIO9mR@=ZXW#Bj^U1~}OuMfj`)&Y{vMc+ZUVbaY2sC;f zQiq{2jnqMWI)|LY(8wIJJ99`RdxjAOPxDzLJ&`>N;-#t3nO9-}T3u3?L7PMBB53tU zJp?V-(SVlX2`w2xt551PX!A&Y1npdME{4|5fTlwl$ynq}eq=A$opvyi_HH=a^wU!N z{C0yfFhPyH&)mp>R7TV;FyKKaaF=ne0cpU{dYCjoXq`vSGdM?20F%%OU`RP4yAFm! zE}>>^;S!wvXgGVZqk^U|^Z~sk+^J?r`Bd@*RAwlgz0`qmmkk3SS%#FOaX>6{KunFL zqODf5XCX3zbTlLl8R1rthKO*DNF$4IFzM_WR1myDPm2U^VkB=&8nc$GNMqFUd~&|k z(oOPXy2SF~NPbN63`h1_7=W$jj!CYzQRb|3sB*7G*!8aGxs~H=Z|!XxQtlwH>U0MP z_cW98SsWOq8{I;_U2^4C$3&;fw$*tr9gk*EloFQpwxFFRc zY)CCg3s!qOX@P2ANG^1S#82)oWG_7bVCoh=c3JsCa%Y!@io3LGOVX0zw1c!nIJF|J z@Cc|@Wg}=!TC>`_NNZHP4Qb({bc00gj~Yv?IV|U2i#W0l-3&N&)cRXV${_ zej^zjkMB2em<@u~m2_p4eS>sGlVRLuVoptgy>5e+- zL3%iyFgEujJz2ejq$jF(8M(}^hl7HZQ<9f;xxiegSwkY7ZmB;dGm;GN!{y|1hUq)x za)fCw(u-kg+Nv;>WBe7#{|;PU7I&H9RKHo*dUO16f;w0PeUiiZ=K7T74jvf9wEQ1~LLOJdjFfIhfGK zd#c$-ja$B$%0J3p*~PbvlpiH8h0;76nHv0M^0500dClER0@z)JHF@RpqvT#vtP(z* zCI$;A2a92JPqWitfySn_>+-ef*}kMU=cqg_TT1bjG3B)6#aT+`?BhHZtt43Bnmppsgx0v|rrNPB_rk z7|=4)yaf3-7T@8`YbJE*#zVQY^RFaVGA;WRxe{sFKBSMm*1!$p0BGbu05j(|y^WBK z?4?P*a&dX(aP~=OVC&exXounKubtxc#(3fWE?pe1B3CiAPm!w-+I>l1wD1Jm0TSRd zINZz6+Vms+Sex%iKh)-Gay4$#(Xw_kI)}5rce;PVAvbj2pY&&-ekA=7sB6eIm}*dO z02#pQ{X_H7r@m*MPU_}gA zwqw~iIu65CBj+3u9UX_$O%G}~tT{loAvvn$Xi~-*@q(?U>P>{B4r8SPHN|Q5g5cn1 zoluu2zj=pteZ)QJtevyZf!gN?YsauGRkFcmmBZnXL!m-L7sJFKMut%_4Ts4vB&OGr zYq6L@z3a$ztX?j;4%NG!T<_Gw6F*(D=b?HXAPy(P8N^~_ID$BWj9?HAlRR?_5453> zAZ)R~XOe2V$tX0m(PXsEUA&Q_XwDU)$?fE5Rq^vAw0F+x zqSe<3A#?UBt-4BB)v>!^_#vp~*j->sGx@ub+{ngUn%s!Sd=t6Jd(0Y(i1)Ts0=2E( zi>kSL^9mseR}iVQDz-X9m$i0!>%>|jAaJJ14x++gbnN&f-LqI(gTX(6(gkxaBsIvk zU*6-SiUh2z@VR(a2Bo`irhd85Yv_SmStdg=@3l!6#J5qvK#l~`t%tg9CO0!)okwm) zyc$Es7`lQz2v-rwQgV2h6i<7iN-XGMUVv^dgfHl-tN{9F#r`3VMD|&B5VB z))vQvc{{nC!R$+JM=yy)h3iFWD0{ah)h9H?j(0|P$0n$P#a^G z=aMZpElrqrk-Heo>&RUQ=H29O4kn!UG7jG0VD=)2C}?q-^F9pmPt+e^b zDO@l?m`*3t8T?zxbOirCa-TP*CES>Tsbi9H2ARPi-9~00NHfVy4%0hhFfEB$W6e=y zOv++Q)KV;cjN_^a-{r99C1YkJ3aT_SSrDkZ9Z;{tfP#ff=EYLWxO_1N78Fh3kdSGwK+rp~5+g<-*!F0~w%2+z=7{gA{jrm3;GiXFWQAxlWe0h8Zto)-oOMgcoW}F>`&o$)6z7gmZ*E| zrmIP^IEG<24O4_0hxo`fW;b0;A~xo3dWEB}KBUZJdx}{E0-Inry^732Yv61$+gVLn zy*XqKtG9;CLG|X6xpqBH7^+L$LcEk!kLOS2w#d~bx#oExt1bz3p}RPd!mBRvcxar$ ztFB8_BlG|p@Ofk&quM$$4^i!Ya=$?}j}3Tr+1!A0ws_NjW4!4v%Q?#HT4`=o`;h zA8~5|Szy?MEIg3+@6?opR%fhZBCwDwWI(r(g$U>aXm;RN&#v!}6?-IeFF?W?40HElb z9})p@K8rT9_CEjsMc#TDSU*j=Iix^H4`ysKk!=5VAkVz7}O@ZB;_LA z+(a?*O=N!P-+`}Gr5wRi37_8_cOu|{gEJ$+?=kGA?3$Rj_0HQTP1#j(@0ze40ql7u zd^ek#hLroHG+ki3X_`tBP`l9?EP)B=P#t$dM3k>%h(cR{M*2?F;&e<4OvYxC14~96 z?Pm|-Hw!8Ag@fRS3svU{t8(!uYBR|>BwgdfKXaIelr2KQ5VHYjgU91{0au;4--dvV|mgfhTke$t!2(ZeuZ7%m^JKixHt8Bae9# zx`kXNQX^ssS;8QO$r1!{DOoClC=SVkNZTBAL-4DPyB+}NTV=c1|R zGrC6G6&iCFn=V3a&20{xO+#zEF^8mXIpvIT!RAQ=9i3Bw{uSoH39jensg16IR)!42M@vO_PtywrD8^G38s9wyrHpj(GzYGHhvS3;^JJRQ)px+@9C8As zeW{y<=Q_m7P6R*)H-H8XfE)$@NDLdN%n$HLI!e5VyxXLsMDcaenMF=9fl zwjBIgpxQ+e%dGLMI3q2}z-u9CNbdBdlxBp{F(KEEe%C`jL# zV1skfTNZG|$Axv3J!YBF(1pfbLZa~&7(ksOtm>wP*ed2_vTK}Bqh;ZoHl|p@qEjZ3 zDcapVMK5yXx?CavdbsYgoqe%`K_w1=u^>s){Hd35F56b&94CNC zt5RfWm%FKRi9_CM95lgt)XU{X%xUiot_A~OE+?E9zPIGw7cXYLr9s|Uc6&?qpiEbG z;A=ONNH1)77Qx?Q_*=ra5=%0F9MU^sP0?HOc8;4CouoL^&00<;jPDi9w=URzahmN4 zNid_ip;}MYGt06IS&uBs4P=A0Dd3OfuVCjL-hJ~&lvhZup!1rfcSyNcNCLX2>gq^e zElzwBnOax6!q9WNIg_D|0gWz!v1INebE|MVN@*loz=^OnZ2f(X_+6J80I8 z8MK{1!7FK~dX;;~Jseo|Iaq@E-`A}jdpaCw08<}=Lvvd5uZF4pB$rz0MGW`zWYD02 zkn8+f*H2m*eTtPvYRp=>@f~;A@LxWB7^MPx4Gx#%h4eP11gnJWFo4R}1Mt z;bxxZ@fCaR-EhUG5`_y}(I?0gZ1VRZPoT-ak!&$P&70a3SLAulg&&- z`jgE_M7EGE0udPyUqk}m{9z&z_{N(`10=k2iAYX8a6fV)GB9Bg87S!niwNuEj~cWg zA`GPW;0G2(L)Fgu(G0FE5lWk-h6O$oi8xoW4WV=92hQ=3@oMHBOowWo}0}VVby&^foP<2G7 zp^1x0tS%Xru$T->P)tO+1j-3@eJ542>@)*E(X-)DROpW*l<6(R|W5d>$yWE zI6RtcfTV{<;9d=$p?`%rQv`=c!|`!1M4Z972~`BJwcrjQMo2b~8OiRzoe{D-3~(yW zM`DbST#g3Q8stMUb(1@sXoMt#4(~-7Aqh3N`(QE{(}yfp#odYcc%O9w@k%+|(@ZE{ zDQCJgqGV)>(2n9aDkDP5W5TKo3(wQmk$MkdE53t~dN*NB2H!qN2G-HIRug zq71hUXV-pzlq3iM_WMRd#>JS1l2jcbP~rA88zniP;<8m zHoRvg3B?*M(Gk30kCsr72{y#ZM`NLoP(k&(26>@bWZS2A_=#)Mt2qeIFsLUc2l*fVg1*EM(Z zBIr)ClOeZ?>_o`zBD)xJnZ{-9oOQ;1+MLJD#TCw1S_38Cv#ygqNuFd-HjpO~l&8p3 z9F$El*Gb3VwUXWz`ROc<-kCcGXrBhrVObPwrCeK_pb@%HS!vRa*Vu&puA3A=b*rA zC@#)Uc8fH4s!fn@kT)2}FUcDSnEqM#Ue4D(@!Tix2 z>}kh1zJxmS;qM$6G@Z*3R|2}~06DTjD>$uHIM+!Pq;)nXg1!3!OW$~jAU6+IS!?GM&NU+p;UOFi zZkPp16y%mNH`{Q@tVSfaOeD9wy?oq@uklz2dvrmg>6|XioG7#l^BFH!AJw6caBdAp zA}?bS_%ZpIk+l~27?Jf8@`=F~Be|LWfQ{V@{BRsRO28%u+VUBvi|7q9yX8zSe$3hb z&2+tFmum*S(fuEsJO!%Jzrx&l*luy&=~ZW8gsdA!9^`6>$c0~6%FQ?Jo^Y-evIN3@ zg^;r?L~vT$a8O`|`L0(&1e(hoAUXt^%iS)@59gY38Ghq<5@ zhiwmYiUZhY=53*D8wwc0D~*Bng}FlnYK3HD+xw8lYbG3OBigoZl^T@T2>DRto4!rV~;gdW4@Qjri^ z!bN^M2rc1a005!U4niYfp*WxZ73Pi+AhZ-qW04SA#! zxf2BlEyu=@g^-7CybanrONsf+30+FeSnPzjyq1%9XAEmyw_0=WaK-@7Pz1nb7Z_cb zd$(W=>+o_2V}QlLNs-|!xZr%Uhk#yUQy<5s5N)2Z!mIggLG$(8;zWCI=GA<@p!tTF z=5Ssf^Dt2ELxScTxwv}hJ?nh0=5$MMu@8@Zi%au^tavqFE@&QcX`o2p3$NyDaC77` z$%QAA4YvkLI<^BpZb!E`dJ8X@uyyqu(N6b>ba3*<(sP@j`7YN4jbuT@aBTBkxH%rt z9*+?{iDA+aWwrEz`K$;g+@y}7(o=Yt3}zcIn6HXpzUcvTH;qGCBs$-9*c_vAyy!U0C}3Iw_t2< zz5pHpm7U;kUNFNLro(4n5F<z=bd|{UX0MRyH z&6^9F=U{@c#q%St=CJee>^;}ryWZ8Sc{|)3^Sy%yJ@Z`jWEQ^%MY@WBLVica_;8++ z;ZYj{dyu(axLqj%)6WApf~_?wKQvoZEVBlRU=H6Ndv zB-+o}K|-}M;E6Y#GUn5WM+xM6gWe{Sqo}uI>6z1_KXtx&Tp_4N_G}jRy|WJPmxos-h<>6s`oAVmen(EMCUz%hgn{F zU69H8cjP+;^ilF10{T7q9s$jMjt3eyE7=d^2L|*p@&f|;Bl*!D5zgi2E3aQAq%jvN z4K>9RhH@FGd77MNeJ>@aQQtq2pG19&Bfs5!UoXVc$wL4rmV;`%j{2GW%y3#xenvR` zLVhuDGQ6#U68222;F^hSwUb^g_Elt|D~`W9Z-uelrYA1r7-4=TzcM6NkzWxKzmeZ8 z!qBOlw}#dIo&3(~t|Pyrx@X84t1frb#aBs^DU2s#C27ozO@K-+0sv$8<*Uj*qACop z_4J#KSr+`JLW#nzz}ZZ&sr!9k6+&P|jq5T1 zB>`8L&J$hQ-KdxD0AyI-n^qufT zdXfv1yzy60nk9z5^~^>07B|yVb59ND{j8_rd*!}*A>|I?N|+gPB#M7})gBROG00_t zW5;~;B`-DeBdRZnlht6+a*aqAj3|p6QGLlffiVNJA7#zMuM+ysmB*P0nR#x)LqAuN z3&9?G#s_+FkR0F{mY8#8IcHyvWYF|=woY*K`&@lO;_Obbo~-4m(;yM*cnC&=gsIaY zLFzyjWS~wKrcQ&RQHPhE29jidF|w0tQ-@A%ShC#{;XGN=K8RR)fnrbRmFq2bTOZZK zr+{=LLNhRWF2-kK7GoPoL-($prUUZ=QY=Xfq!B(CVj*HlY$FbLKj}p&mIeh*RTkL2 z=1ZQYg#bdU5nD8JK#As11zU`o2sxaB&4rR&OZ`)$mbJ)((md9J+5vf7i(*hx%xS?R zB~HM`w$CQ`;sByJ15Yi3;v9GaCBy=6Z05kAD*>e?SPQzam*85Igp!g@3l1S^TsOWM z>C2bI1Y_JwL2soPCUh+>#bHt!N=jRp(A5g_tC(0XaGr&Hd|1Lnp|MG}G622|>zXd; zWw@@(LP=SxYnyE4ptKxoK^NI_T#NEhQr>BSk!>QO1=_teiNUnFiD?CZU4ivWWv>F) zZ$&7n==5v0s05{zSPLq9mADp_p`^0Y0%O`VF-)6c?>eJtmN}^!V`$AJajrBCOO88% zj<1=-lfuRELQV0lbYnLTVqOJCT7^-8PLV1c6{Rfh^D-Ks%pHP(Vok!oCv>QGYM zX~Bl) zN^7weRN`xKEowtaZKnku(*=?g5=d$7IZnT3i@H!+ zm$jf0Uzcl94@&AeEik6dS%Pg*gto2Yo4e;1O)9 zG_oMVhQlk_ldq-helNZu2{o$;wi5R$??rAad2^n@#pzZ73rRjWZr{{a zZ<&asw8fM+ywgCd3xFOMFj~<$e*s6U=1|hyp*qOb3VYUFjL*ewXf2>c3kHqO)Ornam~OPIuyKC)uU5bSLhN0nT$RmSLRH8FQ+gWOKkS;o5_1hn0Xm zxRx-0mTYiTv9#m{*9uBn#SG5bSQ}eiYj;(wA;Qqu0)V=3@W)tRk}%encw*feFl)`Q zrpll-hjkk$X@jt~RhCVO_MR{=f_4`%m~_%!#KCL}C2bK*X0cy^v>|wxz?wV!)(Y*MkaOJO!;Q zwCl=XQjzP*!R!Vl-5i+obkD8HyGgjpG%mOG>?V0cfY;>RB&o(@HF>v$HF>whG2ZABJ!POL^wX2! zK;^e5hr?x1av6t1N4wJ=p3p9b7MC+b9= z`s7NW+?9-~R0^-;sM-fg`e42zE25XD6>$}`xQaoe@^lpktuK`Hb)dzyB6|PPRz&ZF z*W=!v)3hH9pdT9?74LrB;I4*}t78V2pcMf}WONPiwj!=b80#xMvF;C;^=DYq3Dlp% z`Wh&?24Nj*MO^6#a{#m(z+h7G8Nk6D2qgm%OwNjcQ93EH;2&Q0M4yE1i9VhZJqQpO z#E_%XIEX`TFq8~-kn^%9u99d`WKUe>X-^CR_(NFVR9J>^eGi3_p-$g0diUzw*HiBe zgLcChOv=h(9L#H>cC7v>VP~ zQeF(_V2*&25e7_wJ<;D&iUFeznkZt z80SQMnz?nq8Bn^Jp*xO#-#FM5ziAF^v&D2Moz7a!rr)?06uN50X@xNSj?s0I4tN$W;$4yEoMRKEY{+F`i*NrM>5-K!Qq{7Qgz=5eYntSMPB?7 zo`N(7P?*EeTR^{Y=+Pn0wa~NA59dMYJl5g?`i*Nrhj_o$!WN|YP&%Krc!+-ETF_n> zI4v*M9lBG?I8`Xk+k$gIx?0q%pW&qwJuu1`9`hpawr znmr7q53?4J(QjM}iuWT{3!7$(pmY&yv6Oz}TF_n}by{GW-5?1oM6z%Lj?}mPEsFu} zV%Fz!`i<+8;{BM_r#-GEP`ZS*SV_NeEhyegtrqsUmO<$<)?zjN#ytfh7|Fe67DgM6aqzZJld_(0s%8$2C!^BAibUqXcPU$4TutD0~?T;bEw!> zcjgS=P5AB+Jy;2Z9NuV4H;ElO&W3&@4=IfpDIE3{~d zMI|hgtchd4rQ+@do_GMRCZn2 z4O+BZ{c^3!U8F_JUt4}+`CH+0dHG2B?OL?LXBB>~@S7H`*tBAYik;!}ql%|0ey>F< zWmPIysUmzXt+ct)R``6q(#MrP)uNSqSH8CLaQNI-`Gv|a!RO)1-&Foii&n{~QmRT> z_&inBUo}OGRxMGrX4N`cwAzi;?yNQyKHsVKd9^RKXmz!EcJ*BNTw8r-^{2FGjno>& zYn0TY=UjizE$7^(MeA0odtTkf@OgRNp>?l=&!u%Y*Mk6MI8!8R`TD0Md4c}|{p%!iQWTRIb zy`e?VA9ntP^KXIAC(nQNeE4^Ma(-SufzM0x`{eh7&$;=_@>jy=JNcjI1HMgaH@Tn* z(7(y(CR3W+4WG|7In?AmE!wn1)0$0Td`$;5y{YL~_}tg@?WTZ7vtYBb%_?Zo*4eEq zwypx7`K{Zx?x;oEjBImT8{li(GHuUkTOU40x1G`!;I)6C{hIcV!{-<6e{Fw8i*~Kp zwL#ZLTD05rZjW?Z44)r%JK61&7VUmX_ba+z1)tA%f2aHVTC_*29^HFfrbT;p>e;X7 zHSl>y&v`u;XwiNj^!uvcH(GSSy92%$@Rb%FbbQcHgMQVbLohL5=cKA#w~XUsk=I@UinXKXR}>^XMu z*kSOwdTim?UGVwqxWG7Fi;n9wuHQK5f85e>o5yX1&(FvGGVXURI==4s3&(?8kH2I5 zyzzk7_=Dq*kN-l8PN*`W(S&^X>^PzCg#PgPz=SmuVEhw4oAC1lki&_gi4`VRhR-e& z`%fIGMJKJAw0+V}EjlApP6xB#yeVc=4&%Qnt4Qv z&d!`&dUiSZY%sgc?2F;^%GuY?9toctXYZZ;j24}fGN;&_67YHZoVj!6YtgxRbF0p+ z0iO@eT{joz$=v7W9-0gMo!4jHsChTR=dpRe&I7*O-~0X%_m9$|^E=HSFn=(7K0JTp z{LS$B+5F!Zs9JQvl?!fIaHAGoc<#cB7q*Abp$l(W2=e?u>I0=70J<+4vS`wx$?*B$ zq791*;Pa_}shn;L>-s z=(7A}otAZl&#B8ESqAd7?A>K2mjS%x_bz{Q`4TO*RVTIR>ibqNS-l)SKU)36>Yudenj6>Lvj+6dny=R;uT9gU zYg@1FwHEMMyJYRwwT1Bc!@7)hK`pxOl68I80iPeg`SEFwgPcA7^ZKmy0C)Yx>-((l z2cHkG-?$#=xZ%_jsZVHH^obTv^myWOExNJv#`+sU|80D8TDOfX}bC__u&Q+R}2% zWm|f~=Qoj*NV*n{RE#u^G=a|^kztYR;q%+AXwEf`r6Wf7ah3SQ5 z3d_Uis={4`yW#WQ!jpwS_Z^u#%I^R^?ijLT(vHdS`TmY?c7Xoe8QfWMC+LfvEq3ds4l>cvaVs%8N=fBzs;)?25D*iXegU9q=?H4AqK1{4|I3c~&WkIx|JR*c2v8mL z|I#@UaOD=|od1vUV;cOwA&@~wPIcM6so7If)8?g;@$es*sg3AA=yKOasrUay9ZaGB zS0oal(z*YiRKk?{e@7%fwPq;i{eM#nQ|wQHjJ*^sqti~U3p4N!+%C}Jp6#Et)%epO zL^pd>X=SvgJ7lV~Cc=M!Ca9}=%K3j5x?vIfQz4aX(vK3)khUgDlRp#HFy;Pqh+)&= z5~bOnjc%BBe@f(RMQ3cE9#)$F8A*uA_@_lM{z_X}x$w_QT}N~mti_++K+UxDhF@_!kGCDb=%zK7E8uR?vy zfxi^e*sv?BwErv78`JzRhq%iite|xGtC1d)|1XKWy(2tqooaljbowiD0dwLnI{;o0 zb@{9E0rTQ7JqTVwsa=$Ae`S_nw*2J>!m~!XQt9zmXANf0-(oPlHoNTaz#q(`zs-PL zQ|U3K*WZOzm|cIXLAhAgO}XOl#4^mbzumxSG@=y^HLM_yun{%r?n=~XyjrmU=~T>W=tC1&T}dXP9~0H*1@)L?h` z&xjdlsa*4S<}c>)-+rLh)HStmS!Lkgowb;~|A@g_&Prp&r3$*NGWegsWz6Y+%z!OJ z+2D32TanfB%Furb!!gtUQG*s3*1ko`wf`jEWB&i+2JW+tqsl7R|I?U`8UK$Qyq|5T zjVQr(%7}j=|FI1GV+nxeV3l&iKUEH}Ec~O1faT#0W%NH;9? z+4z?jaR13NCe@|Nn16-CxDRIC?fRD><^?%WBFj>0oUa_j{?tkSgzI3AD`f`+a=Qx!-&8-sGI;eQ$1ZQ{q4BEGs4`<2|_XT+OE@ z&I9vt;#@ysZzv`y-+AUcSMRCG^U!<$Q2?hXBYJK`S2L;!d^H-`9x$Igod1 zu|T;t>0ImTTs5&t=v+>$>(3IZh(*e`N$Xoz@2bg7V(;qwYsw>*DE}tCe_cJSCO8>9 ztn;yKA(km0Cy$R^y{slVnY_$N)?LU|5i69Xlh4wwwpJ6JjJ7tgc5AUpSvz^H?P_l| z*~x5gPPX$ijeCE@tdCfuT%PpQhmHcg< z<|DSNe0XyC;F=R^A)a7Pa3Su$sK7faCP)ggQzgigO%T^4QH$|}ljJ`VWw%O{C!Z*; z$)XnIDM%K#36n(URh@N};DOgC7Y7TvChll;%?6d^)P~>FLcU*PK!d^%Un+;=DSp^6Kf%E7#moi}jS}R^t5n zpUSVNKfhdaOfA?mkYkDS>}{22&qkiP=9*fxXC&9|8KX*8BIqn;{D%xf2#8F+094SoKy?>4CkaiFJr`KDlebyymZY?wV2O%ZgMeo zSCtjTNtL3{eu}!LsanwIAWgZTKKK2*zxdrW{&}}ARkl7K+3K3HYEhq)jOC&l{%hUk z#3_}y&rjmICa+r9=O}r(u)251mBbm9y3beYx~8vM+~+KP6VAa2zb+Jc_!c_hpP<8= z=W{BNpT9(QO=h*g&tWoifqj{|_=~J}6FMN8e@ILe7T>6xem-;BHLukoKd*U>*M)C# zo%i?38sfal=jS({ojF~J#oJa_Tu_Vsd@uHW3ohD7Tvk< zw}r1-bWX;L@}HM)Kn4D5842G}URL@3;w-&u&Z~ugfpVS;?|mol6Fy;;n)q3z{)?6R z&h(GJqLcZ>Rb_w|&j9zC0N=Cxs!Z@=n!srUUH~W)ykKtzxcJWhG`=xNxEi>oiov~k zan8?+)Jk9fb1VqT2rdcoA{oH>TH`+@xN|c`HSxQu3omwEpe>xWL7CwNuMITA{q^C7 zst>9@xYh_|2vsBEFECu|L@#ku)d^K6oNqCm?_PcdZFrtvtBsg zU&McXAqI(mlsS|+T+MO6K{ATl${@-hdV@Gk!cRGsLDV~syYD<)jpF()WSW$!j;K1~ zY7f^wuVP~2zdjo*y_8XuQC!WT@82^^JL#j$qRgTN!iub=#9bvxmMHH$LLv$}wf`+3Koiuj9d&DZ~A{Ck-)(yUHT>h$!WMs!vj zWg2yAec)58QPr`is-vpTgH|1mb5w7C0Cm`^=vS7uUXdK5G9vCdD9l$q3Zo5$B}dh_}550~SQYUz|8D@Dn0 zRjyRI(mUp1ww)zQ8A_F`M=n`3pjltA?v${EzXwuBMykrCD%ZnQDx=3ZtCupBs$NM_ zz2Z%#uV8onW=WJxscM(1T}E~2VVSdjDPyVnm2~wBbD8Mk5jE^i85=B9t1_m_*u$1A zcaL+HGG#7R%96B{(Qq!Gg59ZN-DFx-#Z(n@SB)NpJ1dzon5tw+Udd=o&G}X=N~Tvu zOck+5DOUgWSZ5(qCR2qhSqd4=hkw5D?xkgCnNigRp*kTjy*^WXRTAFQ?>4~)H<5d`_6}PcfKT@C3C2fr%K*~l&ho~<1Bm1 zc&h9@wz5a_x_n-jOBFp;^pdKeJ!l+f;Zx>Qh3~NzJ{r{Z^SsV7kE(yF{yk{jO3HE0 z8mJ7YYT#q9fi$wqo4~xP2&y8Ol*P@HSk6MIOsERs6Dfo=>-}#E^Q(HO>Y*n!EUCvj zYoaous)CqdW^GXDr2ge`P9@*n*Hu4l_h0KRWw!6Os)d>uyI*9H(`=6t`<_uhlI4|Al~EtXOv(M&duClLUs7gOW=(FxJ!<@WhOHzk zDZ?tmKB}P}$K&src8si|Osh=$I82um$GB(QSQ(>?tBjizrg~g4?wPletgg(f%=@^E zmsH2OXW-hhrZTWHa8eoTamKl4;!3i%GO;r8<1}AV9?R9pb(N8ok(1I~k2}^~Gvh1Y z`pV48%#YiENqxM#h8A(Mp)#~GbW$7aNyNKn>aw!2GPN@GlQ3a&9P^&BE6JCYv6Zot z!(>k?=3R5kDzd3Ew=(yWGGcNa_pZTlD`slUtn8>v zuT1|mnDTKvhkM4aBs(kPE8{;7(>*Q6xM%*_va2$`GXK+J%*XXS?j=Aa8LJXNCBWk{ z-qUm(*Cgnnl0YTF(`3%a`CMERp_fVol?ad1d{5i4T$7=XN(Pk-Pn$s>_w%`z5X)tp zN(hw@kK2GxS zKy|WsI@d_$&XZXe=Lth4hWfV{o~nO~;Yk++FP=2~zK%a{Op}AvdE?2{rzdR#b$s{h ze(x$gs~oBl#Qj7m6TP@|<9vgq5=6bhQg5&fJP`jzE5IlIjaDH!T%AW=+*+y5lmET; z&U1-M5`#LT%=6#od12;<7w$Np;M(19CC?`QC*#j8Gvr8hZc!F_Vbb6sO60u@`bu)N zN*0wYDp~&91NRapN{&?t^WT%D%%tXr9&ld8sDyclQ?yE=7cYri?q7LTon&6T^;bFn zaBJ>7(Wsfj}sN_-S9(BFrp$EM0-0y$qmjC{8iaG`TcezpJSj~@-2b`xN zl|UYrAfw4t?8Qs6`!9v2sq@f_X91P=Nwen8bCF6SbuLnuL=Wi!-KEiVIYXV2lBPVV zGXG-EBTq{)cm5>JER{?zrU_K?C*8U`-{+}hddPFUGGo%2@mZfsm-|iUsFRcO#k20` zr{VcKPf#kM)Co#`5Rn8PaQ%?HrktnFQ|dhRG}QU$;W;?ZRVt~HpiVsxhET8J(Tl6= z`KrBKpw3s1KBdZ*Y7U(qaQ#GmkxDLQ45u+vn>1csPrV=cPioO&K})jr=UkvFoQJ z{pA{Uep9x17Hs>hKS$>|P9>Z=$El0A$KV0i^IVi%tIl)kJol`hL!OoA?>yJ3qQ(3iT4;iaQ~&=Ms?m(b=kEp ztA6tP!Flde$@duRo-%gw8~a(9W8J0SF}Yct0+lnK1?N6*&(V1rR0*g~gX$9S33$NE z*Keh7(8TyZX-;G0)2Dah?lR5`|9QZ9j#LTxgzMw~w@_;Ti;>LuQ0MD}FF9_Baw&=kVe!}A5JLD1hcJsM zVG()JRuDZz4BBR*r)Z0|pXen9qn#>xi+N}_h(6*iw4aMO&0Cl?Gg=$kjA*l?Es3@Q z+JYF?JEX&Z9#if4E7EeX7B7`h&PVw zJyi_z2KPQHhI^k!`-d3eQ%0D5>WYy*6VNUeqkJx+y(LDQ@(Z)6q8MYEigvLWYx)rF z2{Fz$jWGM>6tDU=McYb@_g#*5i{mcc^26)(>m(-otwXy z?G-WAoLiX9CB!sySF}CFbn`Z}N5l;CuW0`eGyTg8vwv+d%YOvgv0}FW3AEpeIhIgi zwnT`zmPTmXiFuYeXcvn4mUqye5eot^?*ZAw!hnWoJBdXByU`vOiv#{ZdrK^_77}J_ z6|vO%D%v?>nHBSHeP1lM-V)}(K(QjQ1lpQnW#D+Ui^QtHOKATTtAnx$b5L%vCa4?Q zLE^QbjcB)twLw1%vrUL~wyJ0wiS;(bwM`ZqY+s`NL2L|;5a!_2VpA}lZ*XU^Ie0bN zy<$u7bzu(i6#a>b=kvJ9}AdZJu7UuBk;(y^|(9RQYh5rxjSK{pmcqk&Jcqg*IFh@=l@20>Ur1(d? zpR%AZrz|f%NZAB!bMZ;ab!hj9Pg7z|Q(h4#Qe_e5R0YN7spg53sooP`q`ED>OkGrb zp1QU;m3pT*o%*6UlP0A&o2I@vl?Lmcrl*7X^zr~H5m&KpC{t`EG!y~!NikrCyp`9ZB%KZ-7 zGvZbrtaY9o;-5Uf3Ujo#_%|B!7@bnwjvkA4z7%<})_LnonJ-Lg`QAf&Q<(DyNU!`a zp=~66@(+`y{NvGIBz+4Yp9}1h=E6Iqe~}ErTqKLM6lsjMiwr2T80`ibShT4ODvCTV zx>MSUVhxJ^ErW|i3v;pZGNf1>+VL{97+!a=_heY{w8C6Gle8Cy4~w^xj^caK9+Kh3 ze-lVU8BwC4j4ZKErYI?8%98bjxnyIRswDhUa-~dN@-*73GEJ%M!d$APOj`;yq|^kN zuG9gvugmnM{ubuaK{7+>s%V?ajHOXSN-vg~O2f0I&&jAVXJzKH78zBxAlf4`OSyD1 zbGaC_D}=e+E}6CbU&36$PiCvwN|-CQm)R>~?J91QIV#~bRr*`ztc>GUE-7Sb z%v~9;sq%X=Pvw7vxr!#Et6*NM)RK9t3`aXg=Bx51+7mK=RUcul>MsjaErK>i7OdJI z?L=9q>OQpZ%feNW^D%+4NK6T|HD%ElysnrDvRKR%S*+R=S-jeHS)$sXvUv3jvP6x- zvSiIOvQ*7)WXW0qvP7-IvRJK_vQ(Y!vRIvQ!dz#PEL~?m+7D!zdU(F|O3JeJUPHTI zmaCspnClmkTi`5>f^W#%<`oM#n6_P6&t|w4gQst8s-${hNWfYhNyiF8^|gR zQQI4?l2sdijrN+1X_QBp8xoIz1ujw+hJ7oQ)-=h6pHfVLtvr#>i%^Fuv6%vUzKaZyhLGw5=ms zwwo$jwEI+;+kGKhwLc_Vci1mmb+{Lc5C z%_q!VUy>cW<&vFZbIOjf@Of+(**O+Ih}|Z;#9l!Ar|jAt>)5@b?AAR_#&#blV|#oe zyZ6i`V|zA2yGQou`Iqe3Yqsps>xk^xyT9z!=ZfsvH$ryrTTb@w`=;#G_g5JkXBOtT zK-o7Ao{6g^#ra=qk*g+@J zUXtSm`wR2n2swUmWwh1hgu$qRgNMmUgJ+^$E+-F0tr>h-P8ovNIi#YTIs`d9WRRRT z1nWHHn4CW3rZ5i;kTZs2eulP}Gl$MayGYI&`U%>v> zVN20&mkWl$8^b=53y0f;d3YMRXn0Mu_2lB=@Wk-Va>?+o(O!^CM_?^Rl#$Cuz!M|- z%H<=DqWxH|7>U<9(pRn=iP|u-m0UG)2HLrD&B#4y-<9h}MF{h#%yPr1hH~Sm*>cmU z&*bLOVRGx}x^m~}>2lZTkLCU`QS!i;HuB(@74p!S8}jhj7(=yD! zYnahk%QypddB!X))6Du>)XWvaJo7az^UROYp3}0-s-tC{bzGQdy`yEDbxoLOo3!k+ zk$%6GJKs|IN0S7+BMtnQ~(TC-BCyyiEp%4;8LRo9NzV%C1CRa@6ZtG@1{R%3k$ zt>*gqTCMe0wAvfWX>~Vt)9P*fO{>4DjMiY&Os&!8OIqVCMYJYc?b^#*-_>5(mR4)J z?SR&7dl9Yq_K8}H?U%HcJ4$J-c1+h=@AzJ8v$L4ib|>EB?A)fc+j$D@k6MRam$i<& z&uE?YcxhesG}L1EE!Dd3KcV$FutDo}xVP5l@W)!;Be}J>BYU-euVYS96cxfYUA`u_ ziD*$nR2B|7UDOrT(F>J5MPE@3J)5k9_rNjeS!F(PKvYG~UxtWtq6&Jx;+mAA5_;ZV zXM|t)XBM-F^!lGjAO5*0B_g~lfSm+O>75(w3$PUSAh0jNQit6H`wA?TeH7R!u(bAW zV5h;-L=*%&1C~A_E!bJGbdhDj&Vgl&%mMZ_Scb^eVBdg6MNS3#7A#YWZD8lYvZPoD z_8nN}R5igafMrWn6zqGjtf@PL{Q#CDb$zglVA<151p5&zSDLmt+Ie8VfaOb95$sp6g6X2cegi9zekj;Au)^s( zf?WqIlzuPR?_fpKuK@c4tVo7`z;1vQ&+r4-pJ2r@)&jc;Rx)ETu)n}cWc(fM7Fg+w z=fM63E0rlD*gs%pGg-m@1uK(jH`r~k@|l)lUIkdWOc#V-gamsj(}!RhScRx=U|wLA zq8fpDgH?=r56lOwO4Kee6IkV_>tMcMF;Qp1{J^SaP77uRtDc!_=MPpbiwVpERx|T2 zU;$t?vg8J{g4NCv0Tu{WE9*G0Ah5bwdxF`(>SX;HEEueQ){|f%VD+-u!9u|rX1xs- z2G$_kVK6&b<7{ie9AJ&IrvwWJdpWxgSOi#;Jj1~v!J6jj43+}ymFOa1DZ!dYX8=nD z)-1XUSZc7A(G9@TfVGIm{6(Y%YaPw`O9$2}`W#q#u(r`}gJl3~lh+q4BUtssIvSaz^31?zz20E;bH0xTz3 zw?bZExxji9{244aSocC_!SaChD)bguG+58VpMd2B>r?muSU#}cMTdap2a7A(0jvO6 z-=Z8>5UhVujw=M#uUI5lVX%S4yugZp4Jg(EtSH#vVpYM4fek9Q2CO*P&|=fTN`MV1 z#&s(RHoO?u4UQDUiX(p`N`s9oj{J=%12&@gSg^8Sql@m}5th&o_1E9C>L3pS@xDzJKB zvn%m+)CZeaiLavp*xZ&A?iMt*TcUtQFYGdZ)o!gRQCeCRiJ= z)%6>KwFO&Szbsfgu-6*225S$tzCm@c4q)pV%mM2Nwz0u*uufnbTKo#u8EkWlQ(#@d zHnq6|))j1Pn=in+fo*B`H&`s#_IBTcbqCwlk@cVl*v^iu2R*@dbS?+h3v74ioM64d zc6IFt)(32F*ScVR!S?i>3>F8rzi&UVeqj6Ja)9**I~ZpN8vu47t_Rpau)}dLgAD>Z z6n6}4FxcyHo4|&E9qAViHWch=KM6Jr?2Ue%z=nez?^h3O1lX~D2f;>y{jc8|uu))d z_P2qJ279~TUtnXv-s;c!8w>Vsf6m`Huy^|J2749k{r=0q#)G}r|6i~PU?29s2sRPy zg8?` z?qDmyzMs4mY!%p#lNW%k2D>=$H4eo4|gV)*Wm!*tKa*z_x(>HtjgrRVE++XeRLjO<{$!EVh61KR`k*9@HFBld#*GXv-Nh<#vx&tSdU z4|aP7>(v3Ue`od)0TBl+W+`Vj7C9mgiBeL`ybX33%xmU(up?mFte#-6gZa#A0QLr$ z_w4pyN5On&$ABFJGtJ%sb{xz+doI|UV19EX*#E#RvoC;#x&Nif)FV3CV|2m2f>Vo76ZQmb`C7#($B%Z2FtK46WBLkQOo?mz6Hy) zJUiHVuq?|1!M+2_y!;ig3t-unmjU}8EbH=r!F~YCvHV-Gi(uJTM1%bZmTN^A*d?%> zD_#S;43=lbM6jR0a}RmND-MBO0gGN)2ka_X{*{HmegVt3aw*uaU0{acD zz)HT3YhZ;}@^xGXE3|4k*zaIPSB(Mt1FXpE8eliTim%QG_9s}e)pNmaf|Xo72<$Jg z5^Iiu-2yAU<~6Xt!AiXr0`?DB*)@NF{R>v+wX0ya!OFk(smKv2z{;&%B?2NP*h_0) z1=GMPti1{51y*V888C0Kit8qV`G8ef*B#6RR(ZW2m@in&x}U)Oz^bmtJV%FMle`8Ou z5U_fi@Y*6n!5VJFYl{p6Yp|&Wm>sO~rV3yVutuAZGm+t7FK2$mYG<<>~BG+-^ZUIt4G)_UuQVCleGZ7U6y9<1%Q zj9?kS+H5-wmJzJ|wj*Gfz}ju+F`~daZs##FgLT-x0W1qx=j~I$vVwKm!RMC^tm}3@ zzwBULb~FRa0T#QX99T}UZacPtVcBu%r2{wFpd$3Yq!}eqZD-AYsk1tpmun~LWz{-M+-t#h8 zIj~WC)`FD>8@p!`SOu^#drpD91orBl!(bJ`#_cT(Rtapv-jraK!N%|H2v!Ab(%$M| zRlz3ih3_L{z^3e_@2i1L-j^P%I@q**K43M#rtUieRugQ-zLj9Lz^3m*u13}do3#(Q z8d(Qy=6=+i$hu&2_M_%R)&rZpe;8POuzCC2fHeS{yZ<^^L$C$=zW{3lHvd3ou*P7E z4)}vL0b6*0-gp^o$pL!f6|ltz-UDk2w(P(bux4OO51?K}HV0dA0QD-e1=#X~s8^9K z!B!nay^3rFw({UEu-0H}4$cQ_1Gf6$MXX79*p?%xSCO$`+mE1LMRo_< zc7#6Y0k-o9eb5tZ$C0nWdV%deaty3D*e)SnFJa1J%8Jh}E9B8`x z15LkspndKSG(&%&t!@sq!u^3(+&$3y?hiCef1sUi4s^`@fsWlh&}sJvnxj9^E;k1{ z>Ha_`od*hz39fdh1eFvT{*SMPvge4esq=Kl5yRq-<473f@2o)P_)03{E2&xYho=*& zXmA6!kr(JA5BDtcx54M#Jm*FF$Ok-&e8p|#CHlw*J&Sz9ZRBP8$O)A`(LBf34%c~3 zs2jomxQ)C*A3344KWO9-Kev%r=_4o9@&}G=bsKq&K5|0we&EOj+(urjkNmkuD;QGJ zZRGX($R|CET+wahjrz!6cow;)+sK>sk-zjTauc_ax9TIG@+@*|w~@E&BcJvxau>Ie zcj_ab@hoy5w~=@2BcJssa;S70d9Nmyq{i=5SMQT zR%yN&#rK61j9R-$(WZr+R9$GMGsQXe_t(&~XDPjVaiOMT>oYpDm0Jl$>N zQ~JmW7f%lyd5+u2XY`R1u9hA+@v4Tpm4e^AZRePqwBQ|vq3M*cw`*|X~u`(C$^f7C}l`RB3Ad52>Lb7I*=(F~8~Im#Lp+OI$Zcd_edJKjA{TcX*{qKo=2_%2 zZX;Xtk?o#Ee#vcQt3I;Bv&c2vMh?#}K+jECqOw263EPb5g(8FUb!!4sMqwliO36HhRHyF3rVB8LaaeEBL9XA;FcH(i? zj)})vyBdreXfST5!MF(qXgHOPg8nD#{Y=Z&Y7PS3t zyzO`5?KNPB4cKu5cGiIXWN_S@iO1Q@1}xHmWlJ2-R?&bp(m&R3YjDlr+RoRDUVM%Q zH#T6cgWKF4*XGW+I0H63c*NatBkqhFYrrNNuxSQtwgFpUaNMN^Y?Z-dHyVuFZZK}Y z!MGy^BsqP4G{*+l=!)XSPaJ5 zbj%czE+k_}y1O}#=N6L3AXZ`B<515-iYI=)A!Q84RWKM=#b8`@gK>2X#x*b)*VJHK zOM`Lk490ab7#C|Wu9v~Meg@+PB_0>*op@ZRpTW5F2IHa(#^o>=mq$NN9ttgzxQ>LD zF<_MpSPcVK-+;Yhz*-rwjs`5&fDJHUqx8&gYp6jD3SF4^y-4UX1GdG09Zp;yLXR1+ zw++~7gLvPDo_E$#^y1$Gh5n#lBfO5w2IH<8jJswq?uNm*e-e)ilZnTLMJ3MjFazI* z8TdY|e`5cI4K_H&$i#CMHrC+zO)z-u6oYXy493kd7`MP++!BLvEA->!p|JJ(^DLOb z`--qV2ICGHuww@79Rv1}-s3p#=LYPw0XuIH?~(!g#en^hIG$Y^upmA2+iJHb_PssI zfJGW9Q zcx_`0#wEIzz__UfkDX<}5?xQ>u}cibtu$b3^~^HdzR_T=w&};=7`qMF0X;*!BL?vd zuG#Dc*KGC=4B~xa5bvbHxKjq>zSfV!>$sq2c+Ni>JobvgxZe!M-O!K2G5*#w%W#L# zJr?iF9Nzc8FLRg;9%t1(4)5O_A^LG(;d+K+rZjkL27_^#4aOO~|8OLF|AFHc(8mKS zYQRbvu<{11vYz2}R5N&fwGGDAHyHP_!MNrItHahtHHP)2IKnbuhH;21~>*f z-!GsSe=X;j?3i+wO-XnU;h14CZd>A->)%p0l3ECnB*yy@KL`1_lic8i~&h>+PDq20 zrXeju+J$rqi4Ex$(l2CCsCTGeX!_8o&>W$8LW_i!39S@bBeZ_#E1|7IJBG%F4hS6; zIx%!%=(5l)p@&0{g}xnnI`rGnAMpP2YUs7l8=?P%$*`!f*sxw<{lf-_jSL$bHX&?E z*o?3_VGF{Rgsli$AGR%QPuQWbV`1-veH8Y2*y*tIVVA;w3H!q??Ll_CJ<1+!FK91n zFKsVxuVSxZuVZg-?_%#^?`t1uA7&q8pJ1PApJktKUt(WrUu)lJ-)7%!KVUy%KW=~9 z{(=1y`$_vL``7jh_8;w6?7!J>*#CA2hquG*usT8<;f|D!435l>9F9DW0*<1NQjYSD z%8qJ|+K&2;mmSR=Z5$mOT^&6feUT-TkpbHr`y8)3-gLa@_{wqC@o%_Sc=zx=;k&{Q zgdYokCq-!?94Dmko@&i(iI#TUo+YihO=YAtFE?>dm9!S-W^r!fMkr}5!_Btb#NA8M zTG`S>@+TwG+6p^t&9TFQFR7%h1;_aWOp?4$O0T5gsJ$S4DfQtD7*C<7>MApZ0H7fAj}O8S4||Ec89n54z(e_HZZ zeCdCRJ>2e(-vuKmZyT4ENqD;aagemkKzK`M?!cYu($bha@be^T>3|)!S$MkP_-I?& zMg}a9w$WrG!1%R-v<+tuUtLJs5ccqujBBHM9CleA9b4B?j{ z(mIPf_HqYqNRrm&+<{+mNb4@{c#k`9KasQ^-XIJk(;;=M_RA5hx=w^Ag7r3xyV3&3<{DUDjZ!&z3FKq(M zX5~xBi72)(?w|>6HttBx!I{YL1-i7QL(i6roA}mS+RCzrZ>goNBzIKhCcavhwz}-$ zt6*u##h^7AiW6aL#~t{-RoddcMiV;lC8@M^;oewo;+sur>(0$yc$foUM@n07TOVo3 z%~1Vp{iSUnHf=-cKYZ~gZNu2Z7j@D$jy-%yC2f1<&~Ddxp|wLxKmvQ2y6yqVBL5bVo8rs0X?}n zm@Q}R%vDS0XRDETP` zIIbY25T!7g^t&O%KM<+0_b+8H<#VzkrjtSzqZFrMPqLikTp_FC3a+LCv3Y3>9 z6)BY{l_^y?xGE)vQjJobQiD>HQj6j+Wf!tGpI;qHT}nMleM$p{YRFzAN@GeB%FC2j zC`}=vG^H#zn^BtcVJ+BeNohrCP3b^sLupHCM`=&#Na;lBOzA@DO6kT>v6SxI>_O>C z=|$;H>BGH!*^8s}qx7c?pbVr8;*i1Y4WSIB45JL^juDiR+#E$2&CN0FjpYoDWA9bU zc*+FIMDCbGnM|2NnM#>PnNFEOnaRPkD6=VZD03U6gKFVN99kSLC>CN89l%bU2+&hHQf}3^O8%BATv++O5 zdz2}Z&fM`0dmSm?a9HkPN-(WxdZM4CD-wILEZve!9iV$-- zxG`T*1Ih#r9#0v~&5@K>IPFa+O*!~wNo7M>5)08um zvy^kbUkl%F*!z}pp7I^#0_A(|{eiuU?ET2zCCX*WPn4f2S14C0zi`~Il;0@V$gcbT zE`0x>+@SnPxk>qpa*Oged|r2(ZO zr4a`=rZl0vOnHUUl+ujSoYI1WTT)t4T2tCk+EUt4+EY4EI`VaNVy`o$3#BWi8zq*~ zozjESlhTXQo6?8U7b4z4E=W7FLfR-{lu)uD_5vwZZU#_-xfz0y*OUvC z^BnvG<$Z4cNcoU*o$M3J_ms~lzfn$7-r|r0lwT>k$qrEta`QXN2N1bm9uQg}#H#|O z3Z(|6Hl-e=A*BhWIi(e)Ekp}tuLGqsr5mLOr8gyxGJrCeGJ-N1qB+ew<+&YKBRm?`Hb=f zh3fIkhC}k97EJR*`X!*D~o-&Ctl`@Mmm$Hb$CG+Nzd9R~vhG>P^ z<3f3Jp}e_J-dreeE|m9i%3GB8DO?%vlMt;KdtXt`P`;&bJ-oRd-d8EVQEpKFqQtL4 zN%VZYDP{`i-iK!OiJ+vWq@!@MeK^@Zxgc5@_V@yQ_;h_Z&pu@-6)BuppIVgqlqQs> z5Uo6Wttjm&T_~}X-jx27A(WAnaTHFS79VsvH|J0mQkGFxQr1v5Q0Q?VPMyzgh{g={ zIY2o~d4uvl3Z3oqA%*kjLudQY**{g7v*!xmz2|#bCho>7bq7gmnre-!im*5YZ_-)@8-tJZ3(wQ5_d*4kEEtJd0wwN|Zt+jnihzQ3;zzyF%GAG7zFQOmLpZ|@XV-Q4nOYi=%;!Qaf}>N5VDnaM3hEFr9& zQ@8TVxw-b%d|@TGRLIV?4&-LDQ}+jp)2nm2h*c)621jHhx44>L%B7d@7p6vX%ej?^ zRUxdzTz-nO2D^RtEQ%IZ?CTUZs7 z_g8Yu;^areqUqplwx{RuNLeVk7^{dzmP6_4bkB+Ey$!LLm=y7{hK5*KMR{FFB&vIQ zdci*yXMTSi{N2nSs@{2Eyh8Mf#EzcDrpa0nD?4y3+*=Wz7S)y2yG3}WDLtIrB$`6C z2aZL+zpL>VHU4uNKe^eHf2i>nHGU|h>94ls%Oc@$NW`nNX;oef}T@(0GL z9osa1^)`=xSkp7C_*}0}jbB~wm3v&{4{Q8qZ2dhbH@QRUZ>U7Mmo@&P#=mOw$ zXU`2y|DxivJ(IfpPEUSDmsfn2zpcyf^5hE|e^KKv+58pQv-p^jKd>40Kd14Nk9+)w z8h_E_M?yBvpeLIYu6!h{@fS6I+~%VlvdNHZXQWEwFKYZ6O;57S(_hE@@h)E88|NCI{XFw+KhNVr`FX{U z+J2t+i|pr_Z~J-0XFtz;+t0JS^5@LA{XENSeA~~nyz=KPZ~J+c*Z7*BU)213)b{f% z@A?0z?dMs)=g*^>pI_AceAM>yEU)}I+hhBA*01qxKhN@>KaXmDesNLb+kT$ql|N_w zwx4JH8ejACi<+O0+J2tpJ^vrI{XFaU{CU*&^I^;*i|pss{1w&w{G#UPqne*z)ckzZ z_Va|dMfURwZ&BOND?a;q=G%Ur<&{5YzUJo_H9sG<{XE<6`SYml=UKnvvmV>evwpQc zX1?v`SzhDYeqObMy>6!YH2Tnx>@mU|IhO5=M~@f|Eyo}xm?@-vpt@lk81vZ zk=NBMulfH)&HqO=|G&uVYL?gh|01uenQ!}lw#SJ~EHUGb;`TwZx|Jfep=h=SS|Fb-Xk`sOJ9{c|FheX#RhZ*YnKR{Qn}a=b5kh|3zNUGhg%ni%HMV zM{WPl?^Zzm1|HqzfN{bq`4o}Ch zUX5L6zo+;k8vmxZeik*}Iy~mYDKOr}h~lg99=oN>dwx4Mr}0NL{sQyKZ-^S@pIOg} zF0c5Et9u&1M)_yvKhXFi8vhr*NlU0v4w^HR^8ipCIpR6=Sk&e#gOuZDgQUhE(fFG+ zevMk7v-}o~KceyLnNJ%DQKKBR+DOD2b$P{SJ&!Y=9E7M*4w~(6X1)T@i08Ot`!#-z z=eT1nnw}AF;U2RCC9L0DpvSa8i5SuNTA)PKcnkEH9VlUYJjWe-Mz=>T&>85bbbGvo zd+e;nudy9>TEu6@&pmghFwuSU^yS8T1LNJZgLPZ>_oRDvmsMsvT5p8J6FWt+`dm+Y z{8Clta{4HfW8zP6U zbw|7JC>BGYpAU8GAl+Er8MUn$g z94spIos1dpL@~NwxMwmxxaU1Th*?|w^mfHmQSU7o)Jf?lhysAZX!IlZRq;p zQ<<*jE0vFR@4Xgoe4^M`n|dnNa3EGuRvxW7eF=7+CcE-Y&7sO;a_rEK&RclCpKk)% z_OA4`Ztp7grUqc=-tu@nIr-#x$L)z-jjKbA-7||L1G%aj?aPDV#<7D!7JH8l%oeWh zUYHnZiEQ3gTj;IYaRNiOy0+YM@1DQ& z@TtgV*gX-RUXzXOv&EVRXAWNLnmnCqiS+dL+=z77QXsYbP&nUn@M`L2KGkw>ZddAN z-wD}w=xW#WXxH&IdG*LjZ+v>JvMgNwOx5b%hNfN-xt6G|xY|B_a%6g}c%|!he_hM$ zAfEFN%kC9+cF*)b+_SAPk(?T@JJmFa5Yw)Bq#ps`v9kWAvH9_~)$z*@X675arq4g@ z-B#Jy9ot&DvtxLR3Jmuj6p{Ja%MXqY4z?|yteWni_8jPGN-hre%mQck=bP%w5|vYH zh4G`vH|XU{$0^^wgEzWvj>cPV&0k5SD1Q~~zT((jE0bl{yY8Nhw{#Y-bWYvb{^01r z!M5q~Lu6-%V`r|cpT_G`AHeWvf>6qU0E-1W`ZcTE-_ z?xB9U>-0+@+@GfLoITWhujP88ERrej$u>P*e(z{?GWl4zEOJLKHtpz~9>3f?M&sqV zs=@tDr_^{My^CWb56)~t{U18@zb30gRR5mqeZ~5&*w*TbB)5B0Lz4(oed;Q=w=bVO z+Ok_43h|W_{;Q zHHCJExqU@%sO-Rj*{Z8;ll?VY?hWj$NW|J3xLpu;7pJ;bGv``>yX0!`!&)kDlhYoD z!uPX<>uvWk=bG1AuD35=sC%#v^{d!j>EcrKUhllwf8)Uuo36GM&YwFzhIWNrTT?c^ z@=r0o2q(+u&pfycd{jK?%In=L!w>JQwd^`Z z_4V|o%V)6~&+uP-pC-z+Hx;eWK{kQ$_?D?wZPVSdgFMm2b z-#^rRPhRbw94CB~^)`9-jHj!vcCDVQX{oQg-d3nS&~zYY<8Sz~kmEItXN&dicY9M@ z?m4^MSR__m*4Vz1e^|Q>{$XZ9`3aRjujSuN_-$!;`u552@%^n=ley*H!|Ceto}by7 zY`jvulDt3qu-i=^Hm29kwl;L!?x*|%oL@|e&<;0$?Gvq6yB1-8eunK&C)2RsDL+2Y z2mezs>9yOU!g2Uiec?)SIaeq94n77Po!{<`uXK6G%AJRaNZYlx<@xaRwkr75*r=Tj zoMp?r^wAo^XQPMDBWnClF789S5e~`j3r4$9Z)Nv^TVA_wa>hORpTd>)BI?zzrB?^j zPoAOjA9wuESXt8XKU=OGoM>A{{#f4AU+?CJpM&3BKH1Zv#?!Dle+nQ-(dKQ?>* z+=Cg+&+{k4E88}sJ>#xlNQiRBFV?5-4sLJ3cy2FbM+VUUozGFfXytqM@56kBam?lJ z_1dpL3xAe+E?@T`d}s%)M-H#a>zx=cmyUS;CO+TK_`5m0uUV~EPVOEcd=Gm5CDsE! zfqAQWTjhk8wCO0e{yuKz`|xXJ@vD{PgJ2a^N9#d$#Vu<-wg@3%Q4h zzRK-gj{KN6Zu^z|#EF$BDzA4=k3F1PJJisAQ{fbTi~4)c8wcFq*E@@+Qx7`kueHr* z!p&>)M)KClqg2mBp55Hv#Z1?hzQXm?oL#@$6IX4&WA``4e^T{#*Mr%ihK_~4Ll4dr zt|xOR_pQtp>$@i}u;0yi_HzA#_V$OH>*wo_Pmb?vrhdyllKhqS<=lxa)P7T5`wbi0 zgZ0B68h=;4@pq8?Mt$ePM0}do0XN67UYW0_{-^dh?6pT)_2c3-dtHz}cj^}T`wV6A2RxSIEsU-SAUt@*Wk?3cQ@J~VG0Q}rRgR5yPknd?{c zYPXlp_2u+kT{9QH&X0i0pp*}XgF^&k6v)qjH%M{?B%4jh>B z{D7KQcs|}zPwO&@QYJ*NI?Y3N$Y43Yj?Q~CQYOteq-&q%R*M`!N*B=&3D6Ol;fsr!2;x5hSYJv}9o zq9R;TT|LxT5luXm5)GoatZZvP=F#^12O63VH0|CNo@_ccTz$Sg9ojly*4xu_IMKcP zKvP*+_-5tmo~&q@>Dzs5c$m_ww^lcW_MB-N>AlpO?mgU8eW3q|$;PURtp}TX(!CvJ zTN^qLHgrtZRv(D%pWIOv*}L4=D#}kMdiI3l{UQ;oD?5`IZ5w=SSM8Q;nbNZ=FYZ5^ z6Y*hjdGAo-Tq4VV%W9j-o;tUquJ&%r#isGH15I^LUfeT!@@S$$LeGJHcP{l`a`dpA zttTlSt4%fa!>-+XD#F!U)5EB5EP*)5?od3o_28vsRVZ@ca{1XqR~ti>d)2&ts%&R} zU*+}on~_jFo4t|5dU(gyY|rsYtYeSH`bD_!X!F|Ku!yL?)zzWO{+5nS6K%*>ePw>< zF_kZLwX=BsVOjm)&hFb-haNqUj^;95Sl>@1m-G8%U*XE`rm}-gYeOif7#`>=UfCUL zsD3bub;Z42tPAEyFF!*s%fTMegZReDaC6__M4~Lw5wCWicUFgLW3>P4TJ0Ta*_JND zdgkGdv#kx?GwF){Cfd&x&kgjoUKI&im(1a>zkI@LVd@=$)hr`p2q(4N=K8*e}(+CS_iG2 zucCPt_D0Gln|7zd&sIHs8Tgpq3cMVgY!B7$of|u}tDE-cPlS4UXA@)brpfWn`SH5O zzSe7r)T+!>V3o!)AWEqJi{GEswOUwd|nw?cG*&gVrTY zW_~E1#C}7y<2>!FuC{axs`iv=GrznxOZLYH&bD4{yRFubuovsYZ6a*sNA`*^?dR?O zlSzdKmA}21uE?r>pO|d!+;k(kdOn=@KZk7m+}LujWqW5`#nwwv9`D=lKgD|QUYKZ` znLs_1-Wu80I*#Ws>s9L%tnTo%MLxu$iB( z7+3w(e_8fb!S1r8sz>flcpCk1xT$QfSFeNIU)*k?_;4@ziF3*?t>nYaSFkU^IuGqB zq{0jB-%buS*H_*EJ`p!X|HHo6?4eAX@>6r{_muxA8#eRP6~GhXPPw6S#Lvi|$SiQO za`Iebw0PzC@+jhjd($zuUD*G$t@iGcO|VawQ|o#Muj4g`v9H1U*T$>+9EvZdRlOR| zwu%Z>kK)d5_?!MG2!H+OwEGK^QmUoqwwVTySkz4 z)K7=k2F9OWJzuwiagBC3sM=Ne3p|G!lwVc;tZt~WI<$?RIle&oD;bXzr#L?g+*B#t zPb3THYmVQe{5#n%PhP8QUMm8R>54@jhbQL71}6^B&^XAdaj?90h~qn|oE>gCr?wRc z$H(0MM_jt?HpV5j_pFM8G}8VBkiK%4!X+YN43x83)j1DU+7YDs(o5^b*^B4!1@?>E2~r<B%jIt-K?76X} z9&zKFqg`^?|6E?n^U_nd3KK_eO@tZWce)zu3xq$`%j1mo@_2-w3i``=%s)@Xa6VE| z9zIj|bl-4V)Q;D%AB4Z`yKn<>+SCKWpT6H#^ThBz*;K0U$nA8+ebq0B@2|CFI`2*( z&fhA4-|g6UTy4L(e4^!60dWV+r`1F5JS-9%cO`tFe!WLXp2v51oaMMZn#!;YA)NAl zS4hSu?<4mjj_b^4%%?aU_QxV&$5yr4!eGv zA^!yCGukiFdI9B$lqOH>qJ5kCg?@h0YX???QqSay(cRy>D!82)M}_j@iE z#(tpw_y3eluGO-=oIf|z97Ve`|7z!QU)_TWy6X95m z!vFRMPZY<22jzFGLyvJenxBzkkFs}1J@ywfh_7{2?y3%LWx3VSqZnW5Ft2lN96{U~ z>$g*C{9Hb?z3Ud{NBEbhO1Ikm;ruzdGJy7LJy(9_!bHQ(oXaow_&XIp$?Zydpf{Z5cC*(N@E3MFA`b2NU%S0j z+}f2JX1Sq@YCf>Xq4J*?cRUVxy-a?&O7*Mr?Dd~BK46Dku7i8E?&1F9acY-G>yU=( zP=nq7gkzeI?DkW*R`cBvZom9KnvbZSi*`K+aBhclC9K=B+%L|&c^B*TP!sEQ>{_@p z;`ZMewH`asf_a+zkIyxpAKQffckHp(F|Iw{x`yqcbr0qj#s{4PU>(Z+v7`Rr_4ZuR z>5n0tYi@79N8?A~vg-laeGBVd|FfIlnSX5g%PSqN*A8P|KIZgOyNM7S$Cqi}NAcmZOKM&%-Z^?0>y5U1xvDv&CyUD%k81tZ)pBKM7uM|#Kir_! z$rG37W;bD9;ON0RYEjvZb+}aHKxQW!X`PJmT;F{gxQ^~kt9AZN;d;kRZdc1TUPn9Y z1@uqP?m~Z0^ZrePA{IH?9NDz13n!_(&d|Uo{vACFB>t9fBIbFOiW>UXjZ>+;j; zoX|e6WWNG`>Dr0)VrU!ZyO+Z_B^+ne`6Ke*kk}WAD&I5u-7W|6d+KSOL+c*99L$$( z${+Ch$lp%cx)pBMaFeh7Tz)8?w*4Z_gHy{ra@hY&`zl)hYW2oB;rXs+?5m7?S|`qC zE>pQFr(HJ`D}<7!{S z>*(vr)xO;i!mZmob2wk$mR0S}`}b?%=FZA%+#h(Kp^5f6*e9uWh5TyS5&v_#BF#8N z9JV!G!S%qrrHvzJ{ppUQ)*aon&c-?-uH*yj-fdaN`_a}N?K9`MA0C@;z_|wPS5K*S zvDaC^tN$7L%4xla^{%7_9YW*yE9CSTzudh}4?tF&*2dArXy{7hI zM>xMbpM@8>zL+nISchtMoxwgZsm2l8btA zRQpMqclI#;DBqd+#!kCGSU=AXdng_jdW_|G-9>RU-sh~Gyus&Tv+xJ4w9grOa95pE zKHPC-u#xtG+-|gf!~SexqK@}Ds(sZtiB@i!%Wc8=?1e6@zf_!n^W(jQwL#1;j2D(0 zxZb$}f1&12+rDCENS!~@dSj8>vG(jB#S7HAq^Ra{yK=NYmOPGVf5iLV`mUMY8nr*t zo+%zj0X8UtBTPQ#F*|Z-~ z{R#hyctd#H@!vE)n#%fp^5hRy{hr|VU+$-UeEl)_1GFR7A+^jWy<2R(3UBF(*piyR z&f$Fs#6|3VXK1fa5ARPgPsADTv|o}<+-}MbG&%O`^OYzam#&;0pH%p_p?Lal42UPSv|4Yy~WQ|+^p>+7tCXr0D- z^mUqusPV*jr~SJB8SSIuvugc_xL?+rFY=>Uzi@l;e7q-|b^4RyHzU}W@-y&pa8M)| ze`t5i4|ad?y4t}3`;(@!os5Io+fuOp9s4&U&c^w9e~37nvfJK&!N0KIwbz?kdsT<3 zCu*Y!tUo9|%^m|IbrnsgalX(ym3Zt_L%5kqZgf&T%n)INyUm8du7 z5uT4Yu49*D$93# zo*&``?z*ad-E(<5_YgbOdn>{B7*zavY7OIk`}*m<+YX5;^}b!9v2$u1=gM^M(o63% zQoI}QjqDYb{&e0q-W}S0c;)~BMyh2HOT%e&I` zJe_;cdmf&gvwvzb^C@0O@6~AUSx`LEe!tLrPlMjyf?r4m+E2~jSGw_DD&ipNK>c_g zM|?6le}Vk6>YwvtRXe+8`t~(mvE%Xg=5}^38^Sx(L^^+EH6VSsWjP-u+Dt5MRRkuP%JbjBi3PDN3l$oOte0`l$*}YG^dxAififR z43=yi(lem5 za!WJWDZ1MtlrN$S-F!W()Yx?A#i`TJUOX!-(J!pHqB8>*`cg=^*gtrB1T|KFGlS_X z5MY`G%I=XVRraMC7grbNave|@&O>pgmr@)f3CNVPmd&qFO2yRtH2n=P<(3ze=yb7= z%PeGS`--(s0uVcnK?ZB9_u>Fi2YNpGenrA1x-LJE}bx#^j; z=}g}LNU2_SO58-*mF!A())UWRAT25VHFTxS5@58H%T8w$Ux%Ku3TSbq$S|~_^Q=(d zWaFY&vvVlRMu{pK1Ch*7X!Td6He0x#@vv&QmRp*Qv1)&5O1swx>M^1USYAPwt4^6- z%ECDUX?N$QmHAHBs5VfB(m3#*reU^vLg8re?0jx|O+8o9bD=n&Tbj*z0wfN!Qxhuz zdUjeE=0Zb$I+ufQoLccRtrnJXTaJ3>;q;uMRaLklNuRfT@TCu;|Mt*u z2Xs&yL0F*$vv1~pakVg;gPVw{)?}9vSG3(H{BSormq+{3;Nj+^s_TQt&!sXFN{cg2 zlt*GrMG4SM)=`H~6|_Rqq z4=|aMbq;6X@@zCfLgtqr+HM>*sH)V1!XN{X1(yh)n^oFOacK&M z5K2+5VtI&hw5{ZUI#gOEGXQCX>(7*(m8)S89b0;KWhtp_Q)C;F+~f{CxilTHZ2Pi} zMpc?SIJhd@%#2)>&iy1^nnA7}mDTE*OS1D8S5bL3oibbiE&tZY4q*muK>N9IgG)=<62n$XQW0=`4v$9CswWW;R%*rX)10AcG-udZ; zm8CX&eCwUFS!LuNM0VSS(Uui zxqxh{u(FgX-o?^ruDFH^%4o1Eyv%1;rfw-aF=*91g;7kVT-g$#d4M1ki6&1=+odin|F(hOWv-#?owLx67Jm zJa?j#uCi3AEDFn<2NS_^W=%1;#?^iam1~pYIX;By$$GezHIb!hnw7cJF++HhG!Ugf zX4JrEC7eew97L)`8n>^fQ56$YmQd4R(p0pYT}!9-hlf(>UI#fG9^2fuEW;eVQK>;# zf;)?zIh~Exd<%DqYC&olg7k5nu;1r(^Plc z?#GZ-CDVRky);@>)ce#bpHZBdQFYqnOCkqS>*F|+NVShks0*r9maO8I#aUo;CyD3h z7nX8UxPp;a!0^Geyint>@>Kd_Ix~2BFf-6UbQ*1~@AD1=5?6eT;{)DS{lesJEE~K3 zXB!W5p#*7n_#u+WYN!btj~-whhdAS3Y8&Zzm%gWbIA=da`yhWGn5>z9YFc zpjAZzAeZPldohzfb!sGYwqJi5xPbCh+Q>+HJY#R7tBBKg7Q*S#vl-x6Tm^(3=4k&_ zG-Q+>nN0Lt?9r7wPpq7tbmf&K-E%SBmpOA`;1sIhKC`wh^h`;qO#jfS(^r%cwwPih zRUK3|`PJJ6e0n7dV3(fU8P5E7Pcqob;A;1|R>10SthA`914!fQA1U`>hK z5d#k)ni9DV3_#t+(?jW=fzzM)odJx?>H zUI*d@+mm>~_9R}gJ&6}=PvQmJlX$`QBp&RmI@Q}dnCVFmozL|2UmV5szzjuiVtV}I z>C9OFDGF6FN7-+4Si$MuUPmOMGI7@cX6osTo`ZGpIN>r`$IvA<1V*rqk<)$s7ls^@ zM$@N8Tv`ohR;28(jjud4n9lTEI^#HnI6seO`Y~vw^FXMlGL-CS2E!Vz?M!;~A_nip z(<397p1qhEIen^sV8Qv*K~{>P72a5Vu9qZC(YPE~~K<{hekrSufR5 z+MI!*%qU!~W2EXu7>btKWJ?eW)uVp3ITwZoP+KRkG8z_*QO=fTFa^QiD#-9H38`+a!{ zkNi$hj{HuL*KXjE-wDc*-wE=_?*w_|$910P$4j~7$4j~7$4j}e)4+wL1}^#WQVrzC zOS$C7buRf%^5a2XusumG*q+1-wkPp|?Mb{~dlE0$p2Q>j1}>yeWiDRGTpB%{d3NB^ zC~S!gj9yB?%V9A9%EOKGVB^MlRJf_4C&K7Z4{1bL#LsZOJh4ZyDB_7iJzdm1Z}rfH z4C9^tk^_Ym&-V9bQoZB719+&Uhn_U7r6nHLX6jjKQ%P{enXwBar{FbIK4)ksId%_9 z?&5`u=>c-w=s8m1K0B>YHF6q@zKa;~_EITEX4_*ZVbk0car=o|!ai_0-3R@rF%8ww z<>h={*35s<#s~LnYi)I!*?mCcIQN~iJQlTE_Up;^a(%P-Ih+LYzHn)^K*65Y(Uqmu zDO`@8o$Jlc&5dF&9uYr}`ERmV#L2)?c0pLL(aYZ8JWt;aKrI3+I>(ps;{Ao(#)-Q5 zc2>v0ZYh3Id@L@0L415uSo{(Wo3aZFbBGKzqtY)_J7oTZ_>~&V5}(BB>P)^cEybtB zM@ZYR3TsCoJDu!}tQIb!rJ5t+*MRlG3#Tp(oNlHwk_}{0%CC#xh>6dN-vq8`AI8zA zsQ4V_1Dt{(c#nfSYKCRiS=Y4Aa$rfKk7tQ8YxW9$t-12f>1+|ar`U6=v)cObF594TsKN40M zT0mHRx+1QqR`dUk=06dCO0Dx%tPs$aw~Euf*{NGO43*u%jwwMn#z%znKz#f9<&(P$ zv^=ubdn~`b5%D*s(F@Nk2?2a9f2b_OC#o3%aA)Aa0QF!ppiy3_Ojz}9%6 zM6c&b)KDV2*IR->!Hs|d4+-r?B(w*RK+lfJMkKTckU-B)1|t&M14y7}Cxa0Q?Exgv zvy;Jyg!TXu=-J6&L_&K23H0n_Fe2e_015PLXCSh)Uk(S5K+jGFBN7gydv&bQvy;Kt z^M}!$RnBydX&mP((a^(y60i43++b#)_YZr$PoyCOx>&ixsp9-RUQz<$ULGjZ!^2@C z9u5caK+jH1jd(a5zym!y8H{*1j83na#>+P=bE_FXC%=W)W1^wg22AyELRk#84dCRk zhZ7=8GaL!vgr03vi8N#g;N*yh6Cw>60ysJ1;e<#-26Vg*tRo&Kh%{sfVB&~}2_g*{ z(9OOQJOmsuBH%~>0rc#&k`Vz%0tldICxa0IN6?)7!mx6KTj0=;@7!mx6KTj0 z=;@uDkl83H}s;q^3;r5QQ{Jx$McPZMd#fbNVTf>g-h zd^#5m{hPl^onFTiX~-Yw_)f3mi8N#gbbP1R@kAOj1UkOc>v$p!8PK~;&YbG)Tr&&B zfxi)h>uQyV6!vec^Q*gjFu$=YCP0X27`G4)O32NA<~cm(1^^; ztuEif8m6o^P@D&$P9uam2|{?sZ)SCFPQ7nBA1$j7=E&<7~trCRT8c>Lr5A*Hh20X-i0jv2vsEC4=wb_zCv?-&qPqrDqUUkJr( zH_@^u10{Q)q%4LS0C^>fZsnt8tpPct! zfW@B&3^xyGQy$>Op9c`@>!Kt^hBcf-M43u=Lgs|B=43vlEw84NcMXj2|Q$)5)d zHxFo29>B(*2Mjll8BWC$45CeWg2+f}$ROI3 zCy0!sh76)jd4kADYRDkklm}hyZvzbnNiz6e#tVqrrj_P354g{dqJTP~g;* zCx`oZsDA80-5C;@Mb;~j1fI_DJax@%JqN$u94k(Q3mSe^N1y23t zXgHumLpc;M_2nUkQx4IlJV6{#Jk`x(#sNi8{pDylphQzSK^#!n)Gf!10}7P-%h7N^ ziKcRbIG|9eTaFnA6esnUqv3!OP2~h}K(SM|95W6m6zVTW!vQ6l$_e6t!lQ0EW*ksJ z)K?BMoIFGu%AuI3KaYk3N;KsO;(+3$ZaHQgP-N6!j)ntDG?f#?0YyvQa?CiO_^7`e z4F{BHDkq2o3ZJ^=m~lV>Q-3)c4k*!7P7ntaId#i1*X^O&(h;Z=V*8dfOLR1VMLjhTn zmLPg44(nQLMh`_^{nl#ep+r+TLG(~G)-A`39*V#E%h8ZQiKcRb$e@_4TaFnS6ovJd zqalM54dqZE)|ZDEPB}!I@&u7V(O5T+85tCR^_Qa|gAz^UpsW3PG#pTT)|4lR0}9K! zwwZB25mtXW8V)GYR89~F6km1AG2?(Ds{V2`98jXEoFEP;u98h4?&11#^MMbwcacjipW^zmF$FlT;?`S!Gee5?v0}16c837yw z6{_&EQivY|`W0#jqF|~?AwZ(NdN&;{UkobIAVq;xlM{#c=Z& z!Ix@tz~}$jEPhFf6NK_N1QmMVQ#nl)0h|?TuzyX~c> zs>nZVjh4SFsM7;&s?7-6R9gVFis1sm4BB8|H>Cx36>YFR7}!k_!zsrc*iBLF*4Ld{ zU{@uY$^q=wYkB3GqU9e6YSoZx4*jMmy6d+@1EV?go1*A$ow>K7<@n8lU!Mk4b67Y< zkze&LSN2{WH-gYr7}4@i1@&myG>3{)DpX8%k)Euh=(9mZ8XV1`;uJ-L{gu$*W)2mn zC>rd~1BMF&Gu$W|tbQP`3|_Kp{>6YIEqL#%pdt;Jl*0hBU{EW&c^QYz>O$$a0DT$-WlUBFie#WG~R=ReZ@V{A)o)8V1eb<`hMj z{e^3IqeN5TK$2T=r|f?T>e1k44ne0V;_T_MQSk3UJsJclgUKF(po9NOZi@;ls7HgP zIaHlep=wtT+fz{y(4&Q~RR)7S6s=A;dY1Cj(TaFbiH1m$HYouBT_uyG1iw)5^%MoQ z9f+C(+$oA-S2~tV(oJa5iY-Ak8bZx6?-Yf&{Y7iek`hh!1AA`KOEjZJD;k4pG<=%F z;3%R zq7_tGpCS#Rl*3Rl3Z|=fc${fx7MCeTE1nK&(qL+izo#hN?qVgoHivhbD|&)zG?T8IV{|V~v|>1@ zN5iu@oS&lTy}t??dMVLVHagU;!Ww?{IT@`O5313?YmV@zD4_4D;Zcm=8u+czuuJj= zYbdVoslmm)=oef!LqTksg*N$MNo}~T#`2#1SD!Tvz@`K zf{HZknhz>cbQa-aH@l>q!RrHRw6hB(Z?K1sDzqMBXYjp2J(}003?@AQsIQml48s`% z=JSjc9bfqKXh@|*Q#kcTFi^_@Yj8jLBs z$yk8WUqKDA=0lMbooV>>jT=9 z2e9y$qhW>)PE2_K5fzJYdSD)x)$$dw(TXnx^=WuAAEcy$2Pul-*lj*YNzu89&u;A? zMI{>S4j!bWh~bt4+LQ+{Q1|3Hb>)Ct#a{%A*PvrQUrEt%ir-`nI+SQCJ_sE;Q*liO zZOQ|5_y!1K-*A}!7%W`_6rI4BGz9@gCoOL2WLS06e|acnamtfc68gnzP_$}FjK*>G?dP9m-r(58}u zc%cImH;)-FbPVFRR>O<=j3h+|9sWETGR&tSDLVA<=h2WsiRDYV1)Lx~w!hzhIp_iZ zBW~)O#D7^_xcZYadsKr6@DyXMZ@^mMET0C{4!2^2C#l>&CR9M`H^?* zgPS%YbT?&h8oQ~@?#(XhK2y4!@@jzfjlG`IE*bUP>93!2!;Ec*3_lUxPZh#ue=}8L za%GZk*Ua3?F5kk76#Ma3Rj@U$>#EqrGvA;H@H=vy|rXl8F=u06vF>h(g0y9hc0q0g9^LrZs;@Zpr4 zZQ?RM7g z7qCu-$HG^r2gc!lmhR4Hm+#;kFzOa=VRdZ?6}M-Voh862CtdI&)PrbMdZLcN4Y7J5 zFc?=3=?1%M)n^9Qc`_9#!#BfOstOz+Iw?0bh2PUp;m3Qx?leINE#)!Mr!(CDbj>)Y z;E-I2pMA7?8w?D1A7Op-H%OI-5ksl#G?M$Bh7dJ;nCec=5Lu=l`{m$s>;~a#qbDx? z4|>92PM{HFxENlbepyt#?{z_jwv{wi-JSvm{j$RSvg(SnflB-lA*rk?fuvoQtL}dY zK9h18z8`*$D)~Gbc>c~vPF);bhdI!3wrRR0S@Gc#qP7SuNO}kv$t|wtaj};tY0NIe zFAjfG!U~69B1N6p7PCU(mjdJa5A;jXU_C?6FGms1z0CNDBFxZ+n08~c6x+oP%J53e zOiqU8x##ZVZit1|l^lIu2#PPu@OOm2GY+{|DaCw=vq>?hG~<4?MzNC=zfLK(*}7sG zegmugE?|?dg2wv>C6@9n&{FIYkCD1J((ml}BT;^3-^a?nUs(H4)n=L&=`USMj#nfz z&Fb1y=PvC`a}Hpv-tW6VyZgXpVO{g{zJZGt(%xh=?V^3vHd|G`zaQ-_9_NmHn-on< zy|^RZQar)bd!^XV)cd74z|>Dk@g(Q{fD}(L z?}JjbFz>@s9Aw@{q&URXN2O?G>KCMFW9nm4B$@gpDN;;*LW*{#J}Jdv*7s>CjxhBZ zDULGrSt&YL?l)9z&PmZJj!|tsCq)-ipO>PWsV_)zoT)EL@ibFkmf{3cUyZ?-pGWF+DoMP%Pr8v#hUrTX@slSz?kEy?t;w)4DN8;;T%D#V; zxC>iR|18CMrv6om0jB=16oX9tyA(rA{ihTcnEJXD&nhY+q!?E9kCaIJ6qfAAl zxX4sYic3r-q`0hXiBw84#=K2ZTw$tOigBiDrMSw}Rw=GAwM~laOf^U`!PE{ZZZNe| ziVSOhOp2S#Ymy?%)E<1rl&QT^OfmI@6w^!{kRr#_Q&P+@bx?{~rdp-A#Z*#?JX7sb z+-B;C6nB{FkYbLhV^YjB1s76atDcsk$ka(G7C1F6#UfL^QYcgdo0sBS86`z2zKwZ{QoMqxWhq|C z)T$KU&eWO|-@!TVOYxmdJuk(pn0k>EuV(7SQoM$#mq_tird}$=>zI0(6t8FMTcvmd zYkq|k-^J9oOYz-IeWw)P!_=##_+F-7E5#d`dc72HV(Po3_&%n-M~d%f&2NaTH;@wPrNQxil{`grb-ow0~lj0|s`gtkd%hWGQ z@jj+LF2(zq`eiA8lBr*j;-{GUloUVB)UQhM0j7RUil1TX*QNL%Q@<(2hnV`D6dz{l z^SCRMCJc2QsW+3UPag2+5O~JeP-x%+3#nPrq-n(ccmfOVU8hLzn+nc6b(N;HJsXJA z^}H5-sUx&uO-U$N(`J)V5OhEAz_xEF=2hUSb+iRP2eGkIbx}Bd zCE}69oGPzpqS8j+lz0Sf^r?wQ5Th?pJd&8B&Diy5Wv}Ioi1U5Mf(uluryzt`4qvxm znI}xW;K*e7qZb=V`oD$2lKTxh)pAn5R-Hx5_n)Id@hCZxkFGrR=%Ve9E^4>fqu6@n z(X}3ZbkPnLeewmG_cVXqGu< zDY8d*=d*}uk`8=(0%KXIRWMZ8_hZ=|9CXrd5<9L*FD| zDPt#jU$0@ILk5_w^HCelV5)}weU_B^)(wl9I^Py^KY_!NCd}!Q&I00vC1_ z-eB`os(FLWQ>pL26qv4F{?(Fe)+BVR0Ia%qmt#xBi~ zqA62N5GKD*Lge=Ot7$A}TIU7iv=yvr3Lt6w8*8l6G&dC@qQg?UrmdRBX%h*SM(a!ZCU$+2ao&i8*{ zj^#`_Bp!sTf+NF-TF59KVHXjDebL1-4)1lDNTdsYk6bCmqFb%K)b;`IQ0vMqNHy40(O&f5>h*F>B| z^)`>NLiBb@h02a^#W{(tg{SV5jZY zUz;1rPu)WEAvb>RQXlx@nUkm#e0wbV$|&xLsAL7tF6E|kGsuTGEjHQtY@QN-O)UEA z=xcGs1S&g*&;KpZJ8SgLK);N>KKh0@Qt?7XEi1!&T(?>m&{Fv3I#hlSRm6~@6u&VR z{od%C!p~UzKfDy>GzRruB>IEsT71!NEI+++OGbYv{IYoT%~4#wP_|H9L$~ceb?U$= z8GT#yhvU%mcD&d_A26J2rW>jX%lI)N-hJG+zrWvkIcTalH-}G@BcU}vKfk(?#dqvl zN9e`6ZW(I9nD$X5;3z{Xm%jjoNUfcXBTk0 zIlYDF_Mricrc$`2?AQ2Y^v9#`!5c8qpV$uIhQ^H0KLX>16GwAP z`RrW&IjV3Z`T=P2zE@{|NO2%LKRKNp%&lZG`0zdW`;q7e@p>Me(^>W<=-{%|8 z;vK%Z+;a0kv3O^7LI05BqkQ*K|1hDU$xFWW82xbcXXDWiML&X;!3Qi`H*9F9|Ie%z zRPQGNzE4DdzQz*KUqE+r8yUgTPktpgJ(^ntls|?;78}YhB&^W=OR?z3qrXg`&K7!B z^K;W@mWuPjIsji*QY2m&MITMI_G+R4<*!6P8HbWj3Fi}?#)1`uBhg>Qz?i3xa}GFW zdKG@e5)Cky(O-*xHXi*<^w;t3Rf*mG@Yal~-&F4>uPcC0lR9Jj6yDBMJ@C0W{Mc_} z*e(lcw(i&%~T3T1x##(7zV|%tr>pJtcNo#_64br;7ydBcY zFokbKtlJiDi8opHacNC5wOd+KOf^eunyG!#$}zQHS~E;NDXm$iTBLQ0sYCvbR)t6_ zZ{4O!C8c$TjRk_{n0G{4^PIIqS_Mu$Caog#&>#ye_q4PYnK~)0C6-G|YnkPGrM1G; zX=$x;YM-?3GOu4+YfPP&);&%gl-7NwE=cP+riP{UJX536dcZj@N$W+-84Gx0BOBn#u(s~(FGtzoFmwQWE-^yj&me#j% zyUa=J6-*VR^-87|r1kAgElKM;ILC^#zLT}wmDa16cTZZcX1V92^%~|qkk)INdMK^e zG4)N-dOcI$EUh;%^)1r+E~Z{Ct?y>)+obh9tnZc5`d;RJhqT_vyjMx!kJlOua!`Kfu&?OX~-j`d(?hnYFx0T0g|R@0ZqFnEF9!y_HjcNLp`W>aEiHVWxgq zT5o6SN2K*5OubWD?_lc3r1egw-Yu;kW$HcB`Z1>7E3J1i^?qr+o2j3Y){isw0cpL5 zsSir)Cz$%MwBF0qN2K*WramgI_cQei()vlJJ|?Z7V(OQq_0vp!LRueS>XXv?8Kyoh ztq*cUX5|QI`8%Y5hD?Uy{}@aO&?# z>lc~&eQAA+sXvs~$GNmWmewyZ^{3MMWv2d2TAyIKzmV3iF!fi``Xp0-Bdt#{^)+dI znyJ5+)~_=457PP!Q~xBbUt{WDr1e>*{!LoH&eZ>t)^D(-{~@j4%|Uoo#uT7S({N?L!z)M07;EmKFO^);qCrS*4AbxG^*Rjb91 zOY8rzz7x{=2TpxPTK~wr9%=m(^G-?YpP4!%t$$(athD}>Dg1(I?9rz)eHjv%-2AJl z6W!$D{!RI{IDGN{V+y|b-??1);{V`!z!(1~^WcmBiz)cxuX8G1nzfjBO=2TN3yS!J z6d|VY(rg)1cxkqrbKs@f3Z`;WgqfO^BEl42nvF7rmu6#Z6<(T+GY>D#CRiU{nw8v= zcxkqhDZDgW#i@8{b`w*0X?8PH&r4Cw)QhC3;T(8rww5WpG`odU@zU&8rd~$BBk-0o z`~wdeeo^=#7I*P)^M^}D@B^czX*BB7(N#+RcKr0=c)pNdIYCph{u>Sa7(vFrGybZ$ zm5RR_`+09UdGXd#aZN4rgWqNZg z{)6!!64qXXE=nY3usK7};bL(hPkWj%KXAyek#8* zcVEWe6MYwAD)FCqq>}nkXe9nVtmqu8PTylyz4$#%elB-mfg&Eu&5`&|;@2QW`kH&uCH3EIM3=zH7{=(hjRF-glF}s9_;pux* zIR*Pj{ELWUIVN-HI1>Ld#}ySw(M|xjr_w?0SK_}PkN;l$52W~6@ey*Ie*|yiiE;Bs z;(vls!qF-9qvW7K_X`BJ>|T`dug3o@F8((D=eA|p)#V)jG|kiKdSQ&Kzl{G?JpQHl zU+ZCv{K|49{wC zuc=;4U@ahkyMtPfzaWUPb+1@l&CVg8zz9$@{;mt{Tlwas6#vKt#-#W+rVuRl51=0|c|Ja(+B3aE(|xC+3txHKZHXT2%ze?3BZU?dJD zS~004ur;`*hC>2vq{iiBc1b1?dltUZfD^vU&SQEeJkmO zxCTH2s)?b9e=+CnZcEJ@L(?LuJ+IWZR^1w z|0VHOnmVx2t-|E6TF5Tl&oocsU|c5NPP59F6WH|z>VV-|ey^GC`KLc6Gc?^nzRew* zw5mxR@mlTO+-g6LCVEee6`@vpC%4-Bz*PVM=CO(t+HR?~qgTI|i|BYZ%(WT-34y7BwYaA!0 z=H4^;xj9VAzm1E{T%)`MH7oy4Eb;lo7cl6Ep}J|_2>=i6u^T19Kni& zLHCCxk`M{x#ps|+HssKYf%KA999_vxIRF{@16%}hl&tCK(rk#*Duw=(G!I>d?bkuK zT9YWFjqc_Tq$44~;WnV5K^al2Kzd2d>0)RS<(ktq_<fRZQb>}Bs=tLPMAcuf5G@f^|8Az@ z6xP5V@yGbFQdrM<@K)ox-S9gSkN8I6hUuFF!5 zMN2K*4^~`Vq4ruo(bi-CK{wa?^{7U-wXBz9XJ&BFZT5k#Mvv}a*VP1;EU2*4w`#y>u0E zL_X>4EU25MY{9%CpOOcCH+uPd+5e=_;Z=isP<%o{&Epasg!^20yNtgq73Z)RM$vyxef$VsSSc`2t+WjQ5tGPH;D%~C-CH5UXTL|?PvrxK7KX_KLSoR8ki zT*ixNIVl%d=OX1rA97w0HGy`2j@tYkjDWkrHaUW{ALX?BC2434_ap&hWe9H&Vx-_j zPwynbVXGnXFeYClUyP$)R`?QO&3S&#k8A(aH^{SLT)Z?66Y!d-zvt=NcyDwR$>Fap zW!AEJ<-j%!TJTcdc~!{z5LfLLIA>NTY|=q8T2?#oR6L#_>42 zqW9q7n0fErAg5hVvMEGv?5&{P6^zK^w|Kv4RmEVrI(mOcY#v^TST}CWaNG$zyuPwC>t<@ z3(vhegEChbrbWf0%;_BAvp&Lo_**5V;T5S*a@yzd5(QIVD3QX;0Dr(~c-JvtFJ7(s z5~qD7nAVAi;#WAWWUbx_jD3~Ua1i3x*$KDs=Zua&D@nsn_w$_gm-?iv+6Gg3lEC`~ z7Wf-XJbs8fv!wOgT=d_SsK+Mpvz+!1C24rG?AJN%pYMNxI9Ssb8A>s)PKG*|swaIw zJL>}xq79LHA|gclB7Q1?JY6g9^;7Ts^s_5VZKrZm)LQ?cW{^s(DbI1)SW|wSdHZOi zis*tdE00^cvJ<0_oMcccX&3OHtQM=yO&3S3{cl z@e2&v=|Qqgok*3l!FUz2pAE*Vkl)8Z?3bY~h5%lLd?WJ)W$0<QO$UZzF~ z4p7Z-01=`sFh79^(Jq&tK!j+s%TK;u)T~4vG>`4$$(0-H0{%|d8ECn^h+Jh>#c?Vp zH-#&wYODm}IF%DBj#D`U)@+R>OXE0nD|?_d0SL5ON{TqQ8Unuo31EdRM%C#L><^@) zrrvO*#n7>%ZnUX#nXO!bNvkzhC0SWyEAN4Izs9OESP7)Srgj=KAUC@Wp$-k{!?9!t zj~4nQMZg}PdrB%;Mn47XeZ=dJpXPdh0G0fi8mpG-{XVYuhr#;U8f%NG-Y5fEW5U2mL`e1T933gBEsr7JiZ~{1nXjbd9x@Ec_+5@YlflY>icCu#h&bu&@*c zcF>l-=0Vl~H34gA^Bb@x>HgG8R(^r4`CSzK#TsiHS@Suz=J&w*N{v--vc^yh4Q;RvA@a#T&m&{kaT{FoOOP??f{X?`DK3vZN3~L{Jfy3g612e=kFqIQu`y z&=scsNronv`WI4!c4I{#LKLgzClDd}=psKEs)O0-y4PHF28PbUa`AmiYZcya3*@##sPvf-%3X?lIs$z^=f=+&en3q&Rn;<-W9w?ky8qAI zdjLpLv;X7SdULzEyVy(y)Oehtm9$@lsb{z`lq% z0~QIg4`WP0q~KgR*T}zOa`JnoHN6=a#7xu|ykA_374>0~l6KC2DpGyiv3r0u3C)cC zuzHF@nT5kp16u3Phrs;vXz&V@&6cNW!x(K3OC-VRm^X+9uf^C98oVB3L&0G(InBvu zO1lX!P1qvFR#(xlG9Q+q>&RASEXO8}@-NEGAD%w~rb=NVsP7myOOfJ0hbwaVqw+_` zpyU`DoP$&@repv%V?1og!PrFDa)YtSutrB4!nOnK$xE5;z?iWYAQEJA4w!;SkWDmT z3L-%^yMQTXO>6-HQyOD|dDXedX9f)}#MmqvybWV8Llk7c1Bq~m1limHrXUhzlK?!$ zBB{OAk_PWYF$-YB09wN>;7X6N+rX6`+ja-I(qn89>;=OZtd@R?v3ub3UG5u@zXUqP z?V0HIfC9Dm9m97OgO~3S`Afm~DgS<$nmrTx+vVvhC+mg|A2)8o$VLK@|6u+@F;X{w z8MG$pNaNaosz+7MY>OUVp8p8A6X!n)p7+?q$H5yP8}TG~=wsefu;mJScohxajp|uV zgZE-=Eo{TWtLtDJ7RENv;8MK05w>Ar-ewwn5c9UchAhn6N`nt$9=K@?$J$}eF)paF!nL?N|tvWSE@{9^#A3Pv9KB*>~=A5J!&MD{(D>vn%#!k3|xk?>KILPi>a7L`4PeH!`=}>t?i#8?Q?Qj!A zGL?xb?qDf6D^}2@;A}fOhvuIX-wt<)Zl(~$B*T8X7UV6 zav#Tzl^9jth`B5s-{_R2(^g|41DY_PV4y>46bu4`X1`x{V#anztjlANzcDD?3lfJE zl(SaAd)9T>gkjKx;SMP)7)0~uV;1v&KRexRKR@tbzi}f+>aqm|{4X zWgUW9FvU;+Qw)c&tooRBIb>bokV9D;O0kQR&F(M;jzBmAb`0edOoex@GkSLG%a)Kc z6awzk3$D&Bm{tIbQ_`UXjCUAcCvGMw> z2j>948*S^yD!J7)2ASIm<~gKx!Oe;%C#RCMCU>8td!>PZ1u-DCkOp@msat75BP0b= z5Ct#=aah64H24Nyyo(kz#fyvK;yn&IoL$_77w@A5E%4&~aPa|$)L|F*;KgOM;P}|v ztgXx8;v;r$V~5mb*Z1M|Cul)yyuJdiKjn}k*v0qoVg)T|hZont#kCGOl3n}|FRrHr zC*ehKZYluhrlXiTf^6{!hhFx$VZSYlDfYNw)0CKEj~g~C$5Sj4WRr84f=H0fe_;wD zK{gGBDToBwTok6#pBd~O5MIwUBPu*hK0)Gd(Sp~J_}f5yw?mHR#M#^yiiJqZkjq|H zBH;4FX2W=x{+QqkNH8G^B~rmnY4CHb`XgHKK6ce7Q1zz{IR>jvKCal$x2B?jO&H|D z*US|-u78tW20q=s!WzD(1z%y~euNr+a!5U_A=xXMxia=L#Tx~x<{>CKl?3!2BLRyq zS0agj(#ybm7jB2J2`%`GldyvYQ9I<2`bZ*a4D8oqQ)_@OBMApc6gs2Nun!C&8x2xUI2BD!K)Y-Wt6PZdv;nI7$F3sSm^k zGnW{3lO~Qh)NW`W%gQ&R!QZf6u+MIlj|=rZmVYtJp;8Q5$)`TQnEF`}*k(6_&6zgz zO^{9LA@%fofZhAdOyJdK67p`9-aPmu`x{BNrS|ctoc5rc6CH9aDkpjGvuV3j<-m*Q ze~9kF$Rq~_&D0*B^anEVDm5L=1>R0l#hpMyK@@iywNF8FIUUIKc1R;6lN@Z=++7Nq z4O!e=z?^vqrd7Fa&ejn|PaHLg?NA$i30suScuklc8#|aBHl4{gFOD4voA_V@6tyql zZjE;TbPi47dw}gZOyvfe;j)sl({E}vj;5mEuHz`U#KZKvP%}iaF@={Fw+&lKOck7d z$Fuv-wQW$f$`mu?Nl}SVHd|0ksf683F6Rwy^_8aVlEEeuQ?a5i*;*uP3!4u-O3n?z zyIg-bk!ujU17VNhK=|1~=BxnQD~Ir&O%;}IxXeIZ&fj`@xO?${#n?_T#nap^Z3uTh z6ODjMT@LAK#e{9JMK#{$^xi(cISobd27wo^Ae*_yn_p8D?)uO1Mw^wc-j*~JLpe7v zIbbO$2O>u+YKAS#||DJY#?ybds=$5rPRnO^$c07Fl{Dmy$r9%)5V;ZW3V!+`&$mWrGQ5LCLITEMN zA+YV*_Jc7JwjTlj9QOt;Rf-X7eo3((wI8;Z!_Ow#kHC~4oFxheq9eaFD;UHOIV2*MI|JCew6P3;%# z7i0GG_DdFl&+gd7(McFADr>gDz%hpQtM(3t*a<(>g=}88$VnFI0H;@BdY45yLXAmP z@o%<~V=ipkv3xi<$=iEiaY{a@)r;)A#@?H2zhm!%uMs#d5n}IJq?7CGhyyyqBM2mY z;Ql(QyuhV=lxu%ze+t!TwEYB91xKA}|RQ?B9$g3NXjE2<%D>_HRxTg_u)hkyBYt3z{g!oP#U^uVBIc zEoq`A=G3xC50-NrO&p3jwJicmInoXMO!yVqx;cqDFfS!<1fIuwE^$O|qHf|yxPj!g zOV8(8u1nl3bnE=CgZNvH>*My@oRMjj7YHDtg(=5^(P6A;1>jn=R7+hS-5-hh< z`OtwAM>4G>j!&Eb>lX=le=kaoPVN#pi8irBTY8N}`q0o}{JEDn(IS0m2pz2x9W8Q( z^2U>XPD66hpF8tscnqcAFqKao{z69+T@ok5Qzy~2AesQ1^xj6vA$9`nlKtwvej8S*@!A5Fwo&}q9$pti? zh&Ev4VJ0zgz8!6#+y0344{%7+#6WnROB>O;xlR*J42H*a`k?13!ta~%+{BQ?&{$%K z^#ZI|uroM|(!|2%(453Y0djV7o26@dl?gbSM-aw5AhnZ}R8+~**7t3ys=xl=4yf`EkvSWY09)A-R?0=#e% z;DytHmAJx!*&uQijUR*8-h^v!Iiw@IHq|22EHa&j(El_6TlZAAwInSZq9={F<~}-!k01+<7VR7d8pq-o1#c}Xji#ZhWPRt5lbN@V>+@_#|CC+xE@3~Su%7_=vqQSF+y810usU}9j^IB4{HH^@G4Qn( zxsJx~L{0x!5CtDKatgmmCUR0VUd{@nMFg*xbhsEhFW6GvB>o_bOI)dX{ZgxN-Z+mA~)F4B{aT`uK+l;KpxDH z<;mrdZU2A@4cVN1`z!Ncl~>Nl9nFG`MD zm7_SkAvtxNx^U>QbA(0a(D>_Im(J0^@EC{mWLA444YkLnG)&c1vr6|trxEI^F(8{b zRo*cFS}^P4r1^N%O{) zR&xeQ>Ibkh9dag<^c_k%2eQs}$XV%3n!7Z40pj~Z;QWN?4c?{6v53DI3XgNh`3(OT;wM7ZB!^tUG=aXI4xFyn zoVyb^FeKgt@6)=6X5Ad;O3+yPLl_>K{K@4^&2_GFrl}thO>>;9X{aY^{91S=9&`zH zX27G34t8e3DRJDb!-0G5bS!cM4fVy?jr@ct9TQj#Ov^sGe01N+GaT59s53VPbmm!P zE}X^6Cr+FNG;}5kzXk4GaDA@}N3f6Qf2eaCpNVvCx5zv=vK0Y~EO1XG^J(ZDgxy0! z{V}!#_ixr^E1l!1vlPMiTLj#379bdovs-8pn2#BRkVj~!9Al4JA=^4WY-Hvzms`%gkA85tz|IzcOb%4WZ+g^9+1}vWYwDY(lMWrXlp(a<*9H zb{d+Fb#9}fYcck`MeeZ3oisEP(=S_O5e?18#10y|5o52>&^(O2K|{A->@ADjWfAZn z>cl_uojn#=Y>|5`axa`Li>(GXl#X~2+)!@f-XG3~>`a7LE!eyP`!dK<8oC|DeQv?7 zS@Hl4EyDEIG_)9F-&*8B8d`#hA86=)jQvDI4`J*Vi#$X_%Q5l01$!0A!|?Ge9~tWA z?aKT5Z@e%5ft%o8hxCX0f;#-ZC=3)t3xf_B5T9<5rjUbVzeI(klL!WA#666@1(AjRR?9&1>g}58O&srp)7b}6v7i@ z2$Ka{-xl%SD{M$Zn~-fIN)M)oz)MMC6DSFjtmYpZd~La~xkJheThP!Jly@AZ%~2ja zF$&>{F|@FSMINW2ZFs#MrLFP$iE#ZShg`_6KS4t;;PuXwcERf>!}YEX8OE-|4fQf! z?@nnCyxtS8pXQL^?D`5C+JV>mP}&c#p8?nV!D*t5-0OJpY)bp%#dG1}c@7!Dz;KVg zh1UmAIts53g6pt%Vk86a#*0HKoro7<1TTaUd=vw(gj16FT~auThW28~F*Nip#xACG z3S!0sW`aXTgFvzz0h4Ly11xq44SkHU%P74J0dUGp;gt>dhgN#GMK)OE85;Tw zm9vC~zQh=eH{W3Ffz&5{i^?Z%;X^2T8Hj$^A>-M5BKQPuM0GqyL*Jteu)d!#w!$Kt zEV9`m&st;)rSnkN;80Kq4h0jKuAigyX3X6Hxz9LcBFo)M>3qz67IL>ZWD?8WW|8d{ zfwB1oi@a!&m*9YDY}m^*^ea~QDh>UCv7K<}G+uo@tx1)yLxpc*liq@Ab~$7+)7G;H z+e7I>dJEJ4Ubwi=rqBgb5(6o{4M&3aA@>7^Tmml%^iC}JF=Tz>kV{#qyRg*fkoAQ_ zE@P$c!BSsC);A8hoRwOFrM`!(9~^Q8lp_42Hdy#GrT1g`U*Y0!4!M$*e-O+630Z$R zT;{Z;Z9G2uzQ^XOZ_U@__|zzu@mnK8EA9 z5e0kJKE_x#8ZJU|r&{C_3tWIA4~kscI~=OjwZASUwMmQ zz$}6Ra~gXtt>6|>1h2eB@X9-#J(s>s8z%TOj&0zs6m>Cw#1)ML+<1pv%|xw1QIjBR zvO}(6qQ0}p_mr;V_hJ!vbQOU|*R{+L*K^w}f=QJkm{hrrWj%xNYar`dhskYgQqN;4m|H1=xs}--!7OUL{*I@_Kt?c?wG<+&v|A5jT z@H)(%6v6DtZA^Giy!aWVKjXzO;Nq7Kxt(1+9WQ=E>2Fx`cX080hupy=|4hU9p;81f z{HiGWm4@*{q3Cx?|3nOoW<@ZX-N`V&P_Q|62yD@RG>qGoiUTw}fY(zTvIqzmjEN|v z|8N1tRzb8l*CC6TfZyE4@7l5qo1~@j7w7R&zc?Ro1rE83J;;8CZ@T1cH(w-!^NKnS z91klH#f9vfPJ4~bs1_IJ78ezlz!~%0QMmXZ#V-)&_0zt^*eq)0Y<6{342Er&zb7U@dqoltsbKy-1){Y*N} z{1tbDtWzBF07!@LY^Z=)Q!%_c9jtU=uW`f2j2k}TlFp+Cj~N2zWakw30==uOkMXSRPMn+!Y%4w^21WZ>fq)eVQus9DOwO^upFI$!dF}Y9T6_WU z?eCBW;SDDcp?U356)*)-3{xNvvD_%lI}vkX;4g-Oe;Lcop?N1^?kLC|?U09Au0``Y zU@na8#W1ojS3BLc!`Wp`lTe$J0W!rQkAO7=a;-p&=3Ruc;N`g(UY;LKpL0B+a))X0 zG?X$O3SaGz$I|BUFpi%&*Ftx`W7gCt3y_3N;j#T^(wvtOWz5l7dhl9UFl|(X1W+l-vg!Z zb;wF)rXS!<08gW0cp9x@b5tn}Z{pJFLnr`Vxr^bIyFyo8<&;+OqgeVeDE+uYR_jW; z8omNcKLw>%I%Exy%a0&Ac%T)-18uF2oSeI-#p_VOdMLfYAx|>_aTKr#vNk(pokoCb z*1h;S6tERaZ*$0cCZH4rz_YIyo_!m10$loi1qHkcrFS^w87AOR6!1D^z2T6JIsq>I z?m_|J4O|S~z?(E0YwFVPUMvld&tiCdZf4ar#_HaOtPdRWtgbqj)qjivK7rDoI%EqI z&Q?(eLs?TWzG;^8XHz?p+DE*y7wrcn`bKN$$5K#;Z5yh|&v5i%CCRX>!PHmROsk)fhNFu6B}DgO469O zsI>QzgHTirz?C`VRS;!cDC%IyI>aG6G|XF8D!=3~6mU3{uH%rMOu#`X;7G_i$|0}m z1XP-9Nj(%$A4)fH$m^P>x2&|y5?pF1f#+@sJa^yFm9DhSlIAG81(a^-kT-RuD-E&a z1S}1Y;u3fizojc(+2*kQ%Owzfi!tRjO!y$Xvb32~akH@(T=ya6P8vuPA@(z=MM+ukogRCTV#I!(0GF-@qkth$y4)e}G65q{06d{f;0gVnPJm1O zBe{b>2|T$=;K}_y6EMae%S;%SBTB~E_E?8}z`kdO@^{^mNi;kHV^e5&EXFRS;fWZ# z9DXbaZva?+UeGb z!*elqCk@ZX*j-kD(lGAvErAWTlz&W@ETQ4Mu)tCpz6WD4AJs^{33|KYRvH=3Q>k0l|T>_tbCGffT33N;tceR#)%Vf%^c)aV*UX3`I z+A4vmtxwtg)Zjq8nagh_>Gdc9J{L>ibMZ4qI^RHuvUptbEDC|o$`bgj{G16Xpy9`{ z<xF5e=_HX`jMRTcHtrPQy5r zUILRSI0;_zHT;YfUi}t++6u*g4?kmtu^-br#&xg%j2ig`TJWnwzS0bI$Ge8yKd>}> zk(R(0>DR3LpGSuO((o%hR!V3X=f6vXG>kLdrC}PzY3Ngobg7uJj-p31eOvh0}4^Pso(kq7j@}D?Nlp z3Nf!XjU0rr!)XMM>MX5GBegN_NE)ewv7>1OXG==!(MUtgYXCpi#L%G$IURV$< z9p;d4n2o_(18f=?3P0n`1}zWAb~yl)jzB3RLCPqHe9P|LVj97H_N5oo$RkK)JdNP} zTso0PR$$&_8d;4oSf?&VMP5cDxRzFW1&wULt5?y;vlyF3Bik`{HI2N8v1@5WnD`7D z*@=0xXygry&8CrE7@I>QdoXqrjo`{m={y?2#h23gG=hsSr3+~UR~kxhrID`@aXXEC zhp{_pM40_uH1ZqfEv6A+_V?1rKbUtPjS`IAPop7>JxHTbj4h*ZFcH5MmQ#BkpAIU0 z6g2plL%xFvs;Ia-zz6BQcTmcCf}68Nl_z6`7W?A#gLHUN*aj&~vsj34loDyq zj~(|U(wrYViddvMKXziUNOOMd%wUn`{Mb3bBF*`+jZ7lV`LRP0MVj+tdyqt$^JDvp zFwJ72CTug2NOOK{Q;|q>e(Y>Jk>>o^MjVmm{Mh++BF*`+L&QXy^JB+|i8SZO4iXb- z&W{}>CeoZAJ1+{;EEa0Q&VLeV&X1jbBhs87J1;<_IX`yzjYxBT>_8=v=KR>9NFvSo zvEz(Hn)72P6^S(G$IciMY0i%w^n+;@3pHV>o^sWc+Z`LSbWM4Izs2hE5y=f`#zW18?{tE=KR>^R*~lX*r6IC&H1roHAI^8WBWZtn)743y+xYyWBa{Dn)72@ zGBM3!p(bntrATvrY}dC)bAD{!w@7n-Y{#NVbAD{gwn%e+Y;U4SbAD`NqDXUoY~Qg+ zbAD_KqDXUoYy%;tSuE6q?R6Dt&W~+K6=}|oZR!(g&X4U86=}|oZI=^i&W~+-6KT$m zZ8j5W&W~+36KT$mZ8#HY&W~*-!!(P9ny|-(NOOMdfg#eIAA4koH0Q@28Y0d4v8RPd zbAIf>A<~>5dvu62=f@r%BF*`+$A?HWeofi-c%J4lNGJL67^IW@cns1>emn;0BtIU5 zbdn#BK|0Bg#~_{L$77IA^5Zc`C;9PMsHuE@@ED{eKYoH>Q~CVhF}N=Iu^6N!KNf?u z~Fu^6N!KNf?u{$Jjy|ABwSCX?z~WZm03(7`v0k*JJE1 z8h-<0i)s8rjNMD)pJMDj8vhbw_tW^d7<-V$f5g}_8vhkz%W3>ij6F)@|6&ZBU5YXG zB&9Vl_7tTv=uD<7@OGJlvDK8$#n@U(7hnwBT?Eq&l&(VTMw&

Smgkz}Oa=cNkvX zO7j|EY&*?siLn=G-T;ifMDxaB>=l|f9b-Fa-h7O`M)Ou;>W3o95v` zJ2m#uypJ(&FU|W7WBX~IXzzP8-@?2PXnsD%KBD<$82g0gAC9rlX#O!6`-0{-!`N3e zzb(eTq4~Wq_8l#F6JtNn0?{)+(E?HLFSOu4y!sop3o!NvwGYMEU(`MtWB*XQ4aWYX zc4r-Y7 z$H1|D*^g?mEziS8m-n1FYEbz&k>hlSA0!{yZy;zJN{CDw`S)UnK$OGJy^jZ%mu{m+ zmyhE=I$jRRSf4Qy#|^|DwbSj3lt9k+gx+@L-Q3X~)C%?p!cEVN;V9_hl%olLs&u$u@^5#gyK>@LC{BJ3%`(?~B8C~E-NAUPfC@12tF zBg*v^;TaIp+%8c`5nli~I{x^82Tx2e{G$p}s*W>A@-KAt~wd zl=M(nnzj4Fl=LuHn$3Jf&S%mXNxIlypMR8&a0Z34GlgwKoc1rfd|!k0w&vIt)h;j1FtA;O)4-)pXV z*!}Xl$bUnWdsBpOiTqt6d|QOO#q~WRd`EDHe zMgAuu{8Z$BCc@7}_=ULsrAU7z!mma6jR?OL;ddhZ-qn8A&p!w`KZ@%=iS*AR{fh{H z72$6p{M~hb{{eFTOiBMG%Kh!CkLmj#k^ip<|5LBSDi42N1p*=riZCR?u&X@q4@6vP zkROPqq;pczR!Tb8#T&-0KrH2YT+~ZZFM&J}=8OCS5!xb5h!A#kvFAddP=rMyzgUDN zfzsr2GH?)-tHGb&9Rg*6ntXDPFz1ea02JoN`$RN z*hYkHMc7V+?L~N^2u~97I*72N2s??evk1G0@MIBo6=63a=M)j1D#Gp}>>b zI7Nh)i11PoUM9lJ1?~!wzEXr&iEye2r-^dYMR>Kyzea@Diu~(DdWPt?nIb()gx8C3 zwg_(!<>rX+MiJg5!nq=xC&HUWIA4Sdgq(#UyhVh!itsiO-Y&vBM0lqN7YR9ciSTX_ zE*9ZEBD`0GOGJ2|2$u>u_lxiW5k4rwheWtcgb#~wxdRWSC-)B$i2TAHjFH%35llsLEscDL)ewCzt^CI=TIVoS7Qc3+GN&V?X>MwIr|M<{U zpg_^ozmn8{9;Db0TbmlXFH*LfR4|Yv6%2Zj0#6@9Qo)EHO(hgkQAsMtiM%*_a4%BeOk1s* zQc2a7q>k_+b)+#Vf0`;$GNs;!zTOg4QpZbDCwP%+ zWlqYMrXEtdueBuA#*0*2b5g!ErIKnVNwxPPb)q>bUz%E`XzCJ&-pR4-E9%}M#Psizc8^^l}`dXYNK zoRlw3sib;IQm1>7>RrvG)+w6mBT4o3A_d!pOby+arq(N@`bkn}dXa*iOQxjG@$>9c zNu4W6o##agwoaLn>YqKS0g}`}FH*2~%aoL_?%SYr-(X2b)FdxblmAaiO_8K7@gj9;HIv$^XzDUa>T)kq zSD2H!%Fl@YqC#q_BsI;8)O2%FzTT%^Qb=7bNnPVb3XUK!HS`&NG^LW7DM`)pB6Ynv zDPJSHN@}(wb%Pfv*z#MV_S1c@DK<4b~6ysl}4iJzk{l zH7Dh(`&3d(B&qwnNG+{qQtv35x?hrdz>5@|b6`3o`0BoW3aN)AsbyZI9Ll6uaI)YfVy^_!xpZIaY>FH+A}GpXMdQZGnSFM5%B$(+(;B^6Of zy)8-Y_96u*G*v69s6y%;NoubbseRQ`gY9^Jd zkoriH`q+yU9Aa0kHboUupGs1nd6D|Onn~p;q`r`(zVssXRW*~!S4e#=Nqyr*%G`H2 z=xan*oKJ(_NmAc?k@}&UHKjVA{wPWPWc@m>s(B&krqgH$MJPRf_26i2~ONRkSB zk%}0T3g!5*sTOXVf}cGQdmKW!UZi5?q^KWKLljbZl2pDIsRCnCzI^h6lN3_6B$eYI>2XWlri4Kcprr-FK)YRojcyVdkXj z_#j2DP)JGN;gFZ_F#8dA)9&*{>Pm%_^c@a)`3|#Rk~Sq(-;bsg2b@p?*?kSYy6;$X zQjPtPQhZ%PO(dzNUZk2Clk&G~O<6+@HJ7AXc#&#pPRft#H&IC)CrKUeMd}1|QoeSr zDa*B?R+3a}FH&vHN%_*0N~*0S)y|7ldt*|ell&O^E@epQAW3!fBGt*9R2M&__9~>L zM{LN;BbNPIwCOl?svlDCE2O$hP4)27R8M14zIKBUWx*(Pnk3cBixm8FoS~-tk@`^4 zRBuVDj~A)F)lBLmh1406R6j3LXPT4h@5iP-R!E&CNuBLQ>KtQIq4WHZ`b;5pz9e;l z7peZnq=LRQrIH#TNe%QOHK>|NDeHZq!IIPvFH+^^r2IHE6P1+ohz)sp#Ij#|uhtq}EA zDd`a#^74ocRkKGd`9{%{^oR|4dBldQ;1TP`rILKBkdhv;Auo^EP&IqRrjU{zu^}&y z*pRtLZ0IUKj~P`{Q{~_}&1>+SZayUV>b~!k?z>u&y2gvtwdSP!c*GKw)OC{73@=hM z%}M$3OCX8|M`)HLb-fp<*~X-N&E%0EmF~MilA7a1>PBNyzINB9kh)2dn(IYso-wJ= zd_Q-es;LE%)Iu*(w-}T1H^HHjx>b_8&5P9S#-x00BPahTHg$(2b*C4pMdqY@y(Rpo zkh)8fy4#D?;{Q`p_efIrdXZXUPRf^)JW(`tpCq-^i`4z*r2M?22UJoINKy}ak$T9S zl%JQp6jIA1sfWEtEjK6StNRrHsn8>m)T3Uc9y2H9=PeDL-!s zDWq0NQcro2T4_$o&p4GrYLz5a;YDh-IVoR;u6ExVNouVZsi)0J`PvDol3FK8t@k3e z!JL$@yDz2;p3g{98@)(vGA8Bks3*nOCA3+Rde)27mTD%Y__~CilcctKk=jK!jqdyPp2{dk%M4pK<%lce^0 zk%AwZHJpg`H=@^2NWCXXz3)W|e)HClls`?CDWpD>q(1T@1wX%QNXnn4YAU2Yk)%HL zA_cz$Y)Z<{!gk;oh1BPgl-Fsxp)ZX|`I>b}A@!9c^|hC#zA+}{Yl0(%)VGqAoK%sUN*a{bWwc_Ax(|Lh5Hp>K89kznYWs^@-I=(bR8})bC!T{xB!y z>%&1MC4GlOUcSSjD)jB)?qI%>#(_(b=Z%W zbzp!(N_ts`y}Yc$=3dsm9B=}I6jBGv?mNV*`wlhlK40_b%65WqZAt1dFH(n_lkznr zT&QTOjwDsri_{U-Olr77>PSiIC@)e+o0IZ2y*NQ3b&Moc&x=%jV^ZOUe%?HljThl# zC8atJ%;mS4f>GNuA_HszWuCQa1C1 zJ4#ZWyhwGfW>U&#o^TgQ>SQlcU8|YYRZ91DlcY}ZB6VstlbWiK>Mlw3@FLZ-nn_Jl zNS!80_3|QhdNq@pu8@+R`(ZE7{jj;`zQ1wmYK4^a+z)$s?uV=3x$kQpT_q(w_rqSE z`(bm>eSey|M$wc!%R20JmUY}OD#*5Uo#-x1t4yTa1PLi78MQY~%DXCeK)b(DZW*d_V&+%jE zYWLkJN!{c{YOXOUe}_1zq~=LdH+zwqZ%it@(2u4LQ|>-_LUY*bgyyjM3C-a<{E(`n zkh)W9YLSO!)IG+e!b|*Us;;7``y{EQUZn0fC-tBoQb#JJ z20w=0NFgPChr?dJ!(nsZ;jphE zK_w-9hr?dJ!(nsZ;qX>Jnrf_QYMbo7?OxsYym|M%=!et^3aOVQsh7P-y<$#kNA{$o zM{L;3BQ|XA5gYy=kdhv;VK0x^u(?O<|ALhChz)yr#D>j1V#Dub-+gJKkcf0~o}J9|>ndok?gy%;w4UW^3%kWySKBf+GmA|Ve=MZ(6KibS&~l_N=6 zUZir(NyYt;YVWqGi1f*ec=_Z-%zg4AejJ*ECn}^8Qd5qXrV7n9RqRJoil1ksM3O4? zB6UzTlT!RVBQ+$cGA~j!%}E{XM^hb@?mI-1I@F6)ZDUelU#~8TpJ(JSN$PMfQgw_; zMUL>Jsgo5=Nl&wgm#108+|w*l&kw1t3aR>1Qw_W{)zDm1jr@@6rjTkZNj32z)zqAn zpS|tDQxsAyB&n8Oq>eKub%GyFovM(M{!gB z=!aAfg_Qh-`-s;s+(*oR;XcyU52>CCDd`my@$w3an0p09diWuAnnJ3l?7q{yy04dc z_x1Kes+U5lk0jOCi_{s$q{6vyn^LQ49&M7(@mBIdp> z5kIcq!M+Nq0a8-~y)-q*TvJ2**wh&csd7nbs28aVjY;|YLOxR=HB6Ek?nUY%V^Wck zel&HKLTZ#GHQI~R7;{n=`yq9IyGXSDKTW>W9>Mil(MXQq#RiU2RV4T0f-DS4c?@j)<2BN5tHNBXYeT zQWq$sW=l=o;H9ZK=9;?652^kNskxHWJTFo=o0D4LhtvRt)Iv$>7B5n_nv=TS52=9) zsXHX8JH1FPGAHG0eoEQ37P(83y4#D?Vq;QaU(<_&6;0hEN!{y3YKbwa$WlMur);H* z$X||*c>Qv8#Qc||Bg_1dQnu1X9+sL~?xm?mj5XzN4Ot~6Jvbs>9vl&K4-S9br;>U? zYU)WZO|3B2RAi-}?i;2U`YK7P!i&^ub5gzxT_q(?e~WmX{uVJm{mq|OkVHpO)J8wuH$v&YO_J1RFH+B%lX}h%snH6lt&-F>FH+l$N%@P2%> zzSfXcQqqGX;^n~+se%WGuek)3)T>fcJG?Zt(_B-&){s?FuSrs`dy#s>oRqIMWR=vL zlGIyXq;{E;^0kJnl6qT`+U-SZk1?spUOz*^7-dM1XPQO4&NPddpK0dn{HdTyN}g#J z@jBBiQiU_k{A{>SAtlc=i+G)B7BN55%%4NEswsJ^mU1N`MN~ReO)3y`{}-MO85ODHTA2P zrhYTll)twGm6Y^#iFodPxgIcz2> zy@H}%UO`cFuONSUC0Av5PwMigDV0>Q zH1rZLLoYQpbbq9jV|k(nNm4a3lL|!3qBX^Fter^+{v#x(Ud;w;qK7>J(O_94_zPnh z{H;6^9*RT{kJf=a7OO>0u1w(kCE1O3yM>!Cx28csH? zp`)y!le>nFP(vqPLsz|8PSsbC-g&%&Waoic=)CS3NcYmqOjipx2(FeMZqi^H(H^{p z)1$pfsH`CrIh{n{Kd|b0haOxbye4{%zK(h%%nC`>=SKSmPT;D~jh+EF3A?v)qy3<^ zurTaCyiIwbXkV!H4EWcN*L-gDJkjO7KqM1t)vMp2;hN}RuZk^Mu@yaE^oSL`fHjUu zi1sHJL3`NU2^F3Xm0bY;`tu5h==*1wzPj}ODQDFs`=^|X93Ex0G3}ivv=?J-VCoyE z7u%fcI3`;Wi;fhnh(%citQE27D2Opd#-gJkmQ>^jUU?!65E}~HP|St`%uvSb+crs0 z!l-SNqf_+#bGcq98iu=nk}b1j%PeeJvVUCdfHqtay%O}xl<6Kpr|WA|MoCcE12GN<(SpwV3Bt+rR2f$M8)UTX$!psjhG8MvXg<_%`xW3}LoX5dCz@Mbe`V=Z`#8MuiS zywwccR14m225zPWzhDM#uI=ZS%)l+Q;8)DREw$hsX5izrZGO!Re0=nEIfe!KvoQjX zn>QcTJ||KhtbtZq@GdiOYb|)U8MuuW{Eiv8tron`4BSo&e%B1#UJHKT41A&%{Gl26 zB&~&gY-S`KwBS$8z#X;V&&|M{wBRqzz@4?=ug$<+wBT>ez$a_L-9XN-WfqQAeK{N2_xzaWFX~9RD zfd^~BN1K6%Xu9qDEx4%}c(@ka+zfn?7TnSdJVFaT z-V8ia3vOiw9;F4hF$0g*-jeOiz+<%F6V1S5wX{2!fiKpAJDGvUX~A90z~i;xu4do~ zTJR}m;E7socQ*r1($?J53_Mv2?qvp^q6POh17D&A_ca4wss;Bm17D^EpJfKVT-#OW zn1Qd*)_k5B_)0DK0yFScTJQif@Kh~$kQsQIR$)WTz|*zhp=RK#wX}zsfv?emFERsP ztED~C41Aro=Fw*08QM>yv1Xq}Gqp93Gpl))7CgZWe7zPt$qYPO3!Y*IzCo+7OU)EE zM+?5(41A*&e5D!qCM|fX8F;Q1JlzaDPYb@r41BW|e4QD1z7{;w47@;VB-fi6$wDpo z1~c$2TJVi#;9Irexn|(owBVb~z_)9`3(UZGXu-Fbf$!9UZ!-fg(i+JfW=3+C7QDy| ze76>Sw;6b`7JQEx_#Q2Ii5d7_EqJLJc!?JLfEoBcE%+fb@KUXjJZxqp_iMqAn1LVA zf*&&jKd1#iVFrFk3tnLcUZw@FGy^}Z1y`7Xmutam%)pOm!B3lkAJx8xtv3TdrmguI zGw|bD@Fp|x6I$@IX5c5a;OET1E41KkX5go^;OEW2E4APk&A_X);Frz76veEkAI|Rxz1Q*I?IpsEH@a7OlSFtUgX9sM1HClxj74wpXo(z$wK7k zdXZbR5c!2(BH4}x#UDB62ffH$S%~~mFLHMlB7f40d?yQ$KkG&A%R=NY zdXevDA@Wzf$oI1l`I}zkhgpdHU4Qp{Y%VhGo#+p}$WOBn`KMmw=UIsSOE2=vEJXgT z7x{G-BLC5g{5A`b|LR44pM}W(^df)sFVZ4LYLF8)7Mb>HVCCvXMzatZ(~GpS z5E<8tjAbE`>P6BlMCNHnqMUqVk!i22R=%F4Z7edq#|!i#oh(G!dXYt0h)n23mSiE) z(ThAN3z3C-k!4wkEYgdtm4(P+y~snd5Lu!(_1eZF(@nip&+>3%k?E#>kX~fnEJW7O zi##$5k!5<3M`t0jre0*dEJW7Qi)@gE$b*__e$wK52`oXQ8vB>nn?MS`I6SEL`l)m8|j76r8 zmq+VGcFIEJF?x|*vJhEMFS2VEBJ1l#o|1*g2KpZFZY(n0h#Kly_B0lm-s8vWMfS=< zWFx)E-dTujtQXlg3z1FqBKu__vZ-F=Sy_l|rZ=KqTCag~&GghL1EB znXb#WdX}S&MW#2roqkehtg*Fd}@`fx#_Rx#GF$d-kpWWetMDjWFhiQy~rh5h&)R#a%mPK z&(@24APbS_=tVx1g~)UDrv9+8$n^2@JiW+AvJiQ`UgTq0h`c~A@`)@&_ScJCk%hBmw zPg|P_Sz&FfuwJaNc5bp>udv=~SYhqiWbLi2J|7R_CNVOav=^t^vlE)5o|`|?H-{>lv8K@0xI4BSx*{>}{CNelkL41BWnqsF>_ zuIwuJk0qp>*Gal*!M~b;Ptk&ZHv^xl1^;OV?yd#@Z3gb41^;UX?x_Rk5;O2=xdAbj zxQ>U;4I6;V8nF8%mz~GjTPq}5H6eYp&9DrF0E50-aLf#Rh89fC!2NRbGzzp0z(Rrf zK>uv55T|NF&e8Tlk+~4omFH@8QDPtjz~^Zh9Ap4?8PoY%24x09fWZY?2DJ>pE(ZOz zLJp~#kO5jD8GbNE83P5AL!mi?v_k5bGhspoYlR$9H6cT^LXN7MkaDe%W2z=(s8&dQ zb0N&kFVqTYST!NTv_cx03t_!8JhyTBvog1-fe-+X(B3P}&A=nIHMcYakJ7gNcmuHO zMjNfA-^xG;w0(@0K^p_G>joRE)j>N0A;92bE%-z;@HlPTJD7pTYunz*0PNEK1g(%R z=0ceHPSiG|tAP*zPtr0t#Q^MT#$>IK?o|^qMca&?211}2muSHmeq>1*>H&PI7Tnvc z=F7C;zGmRdwTkO!0Cs8r3N3@P41_@2uhfFiF#}(vrGK6Q*hPP;mca!ELV*4>EqH(# zc)Aum$P9e7_I?$Kgj}cXh2iEx*wc81Ru>}-gaCM^b`&0E2A-t_ zk1+#ZuLWOh2A-`2k2eF~pjFsJGw>X3mzm5xxo(^rwG1vXV{ntU?U$K>=W1)d!VEl5 z3%<$>e6toj%?vzW3%=S6yg&=S)(pH*3!Y&HzC{b3Wd^=g+t2)m%nta2Xyi6+&2!9Z zzFk}MO=jRbwBUJW;5)To{#$DYZu257c%fO%cWJ@5nt|`uf^RniFV=R|oo3*Bv^C#l z2EJDdc1;R<%``62(!STM=KHkZ`^>;gwcz{B!1rsx51N4=(1MqlfgjX@mz#kf(t;l~ z125BpA2$O(tOY-5241cOKV=4fL@w5mv_d|qnvktpA)i%E$TqDmzAz91H_Ub|_$xE; z^IGsXX5bgJ;P1@9FKWR*n1Nr?f`2jtzpMrSVg`Oi3;xXv{HhlGhZ%T>7W|hPc&B!d z_{R+Vn%2huGXT5h7GBpehy@IUfQ`SQYkMqY27Xfuj+lYp($dZ`1Mkv;bIrhSYr%0d z@NR9J^UT0|wBQ0W@H<*?!VJ7u3obMR@6&>d&A|J$;8HX2yV|a*VFrFrTXRh_@cUZu z!DiqOwBSR{z#nSce3%*dBQ3a&8Tex@?IX;5HnSnpm(muuv{FxS9-wgb@7TnMb z{Drp7jm*GbYHMy{2L4J5Ze|AlS_^Jr2L471KF$pMtrmQO8TdObxV0Jhd)=cp*46;* zda?aM+u!XCgutWrM=kx6%)mcsYwltZHL)Y#v#?$Bj_YK53ARl9`zrEP}clJ(zOvo%?=cD*6~qh(;SbPYQAR|_^=vi?s? z-(<`NGS*xOQ*2yoAL9&!0GMi@ z2NTS|d0Ox!GjP6^_7pR4ffjtJ8Q9i>FE;}xwBRevz>Zd7Q_aAITJUr;aFLewHD=&q zE%-VEuzgbWir9=aPs@tfEF&;!8@pZuzQF)YoahbFIRab`duW2-H($Hn+1;P5w=z0= zJ1MBQjx;^H-s;%gRngh?w>(Iq^|!zeH3Xn!DX9fzLuJRp-#ilfo0R5|V5gke&6!q` zYl|&8PIN)WD(7RB4Oo@)S4HRl&#JsLW0iMcm5o@H3syz%_}^4%*N82Q-6EXtm%~=2 z5U6R?ir6C9{8Vp6?A}@xv85HU2O+gCw(RV}*u(Z{d(!IIqZP3yHpNyz_KMi*ir6}y z+)xqQToHS&el2(D1eun(`12L9m(|`6kGa&*sZV=iv4d?>^8AGYE=N5aa642N zmE;Z}xKonc6?+>=1}_1UQ9=S+>Q%&U=+U4e_WqjKhYdm-Vjow;K7Wwp_H0-Y`+DOh zYw@PoxAvitO1&n?RJ%iF>OX+IpK1bi9qw!ooLa*vnM-bl8h)v%79W+l`15MXL77Yb z0fPVH)UCx3{YL?M8B-kg<0Xxhspn$vI&Nqct`TMmj|XdnrN-l}A~hnY^S!W_Pm!1) zb38;o0Yg%R6p_-{JAsLT>jJZ4?}$OC6AAwZqfiKjoj^`(AAvvbbk2!={9j-Mi6k?V z7yctTYGyFU+6#rt8o^C~D@#+k?X`ncDT&5I@i5#fVNxp|A?=`sS;UG*AukdbPY#Rc zKpyOE3~Y(*j@DuK5yaT-gTJhi8;tF?#jO*!ysR=FGqcKRKCN<^ZEBU%>?&F1G~3iF zr`bNOa+og(d`RBkn^ zB5lrrq}8g5w3`kj?cl0Nn|B~-hg3z{`~yilv?_hNFhyFLh19N!v|A4(?Xaq}^!5~K zX%=#LRk+=qA}y_@b*jSc-V|wR-0D_^+XE@m(zqQ_6>bluNK4~(WL3B=Pmz|!?Wn3q zd-Ookj;@Ndrw%0Tn5sxyeIRM|;`PP&_6;17z`nTF)~kp&6fbA)ccb-ow~Vhw@g@Lj zro1Yy_j=SSuZrvK9+?HVR4H7Txn!%<(Re*?dkHow`EEqkW_mYj9c?W%(F5Mkm?mnh zhjMdurgb-uw^=Ns&*=zK%fbbh*jox5wQ5$`Q^-p8%;-k|e7T<3k`XJGFJ znt+|N58$7`WY4O#HhzAPz*hhy`oniH`jKV!)leDTBwse%*a zn#|>u8)TzBE_2C06b=b8D`U}k+8wfy_ZEJJ|0M5_pW^-4*8@`#zgOgEIDALIrR2B7 zVE=uG_2QjbBR)j-UAepOhCtty^S&D@@0ZcgbL`G+Re5p?D0Vm;*HW+M)A8}{qfg=! z*T$y=$fo$EPsgu@Q7t}$_4DG?LBdU?l~h5i_=QXb;?`v!P>{iH-I-c5ntebs+GK1- zn+{|~a}+b$WNt>AjLisyR>h1qWiX>znayaHG@~2+n-SKVG^3lO8O?Q@(M@1Rb9vv* zGc==HGMUjGna#*e#lVd2tjaTd3s5l&MiR zG~Fd{ric9BOjvL7W?Ck1ria}((=xc39_BaGa>JVm#@osxwA^Fz%FH*Dn~K5Bv`TCK zYxL&7^+4vI#AP)9t;XiR)!h8I8k_&t1DU`2N(dZG%^!-Inm-gZHGe2t74zSk!Tc*S zn}3Bg|F!_oZI}jW;TB}6$A6%7T+%3Z1=-T zLlCBa?}vfA8T@+z{=KBUE$pz{W*mxQ%2>F=Zk)Mf`rGUdyHDogDS}VRT=EaG0F@v+ z>>5x^EIh?`KudbTzt_@Qw9~jnI}g+%^~GwZaf^1^MlIT@wy5$zMc!#-2YH?B6#rk?x-Xh*O&|AbC(xi8x9g!s~;=64NRNgSE`7+vN_siIk@c|X_cL2Cg zu20#e?x+1Odss$n><6{vxfx5wm!`hW@2XTFS&~#B#4;?0?3d%kKw|L^i-z^;tF>od zJB+98t8v%fF#fI>LmI~4bB`hK!Wi-%A45L$P&lklrG1LNZMVs+id2P{+P1qPZ`(aH zVpWB|?P1O73V*w53jgRp3V++<>6Wf=ckK=1A4`RQ;#T;_pzu$)!awy;I4l#UDSUTT z6kcFkZiVkQR`~8JDSUU;6#m(P6u#RYkkK&G74ELRVf=Hc@Gsm7{~Q$l1y}f&QsHlj zA?02k{n!@)~Md3Lix4!on>w8a?^u5QW?|bEla&M*)<*NhfdymKGeY(EgwKt4^ zE%p74Ti;)UzQ5u6{#NQcZ3yqF8^ZTyF@*0m9>Vw9RT;wfx)g3OgnxG+h41xn|43K3 zyY`0h@1??ja4Y%{ce@?{jN*j21EE?2h#VuRT{$G zwKt6aE%p76Ti<_!zW?F+{x_-bz%r80zvf1*prLvdGy?x}Tu;0}#OurF4+Q19zy1PI z{sof3tM7S`AGfWV!|a>^EO1v9wHs!9NuU&f`5A%TJ%DNzR_2lkn1S@HWn^Y7b=MNH zkIh_?)l&IE!4Gy1L`aK3G|)Z}skEWMsN`z^#PlB))R8t+)wRSxw6Z2rgBA*VsX>ce z_5#^9WWa(1EtdAOl*GBcjLl##aj%=kJ-Hc(+wC)IiO;YqlL}D$yv)U!S*cbS_gaQ< zPZfY*k9X6SsZ#-gc!Es#AmX-zxW`-o1v}WQ6i$$t8Re!;;0EHA9T*rZO=WB*Qz`Lp zDz2KqR7$0(9OO2YQZSW+xT(~Trt*pyT5iu^D%5V5xxtF5P>mEyj{}FIH z1V4{Tr`(84M{;QG9BVQEzv`G9DBwN8mdqQFC~XSvjzLm`)S}J6-H|Ps&&S?Lx+KE# z3=GoErIzxAmKLCvT4vPJ@djG56Xa?`HQDx%j3r~$pe9>U(+N^dt%RBu=+x9&s%avq zDFWJD$<-uHr)|1Yti79P`*bZbr+ToplNw4}i=!{N&e{_8RT-5-6>Cd)JdrBZ7=+2h zQc?!ib^_crr%J0kn>mS>Nh@PM;{QQ2lU5jHRtGNQ1b#n87-pq$<|ujGKxuoOfhM@- zn}ggkFwhQM^EqIk9l7RDB^K=jd0}!qIfQnGJa*5n)b&Oe*&8WF+cjO?;?_PTy?@ef zZ3q1J4Ylofd`VVn+tT{{LUCwotL!-qLFONUQBDt@aFIwX1Yi+wVZ#aaL8k;~b;zC^GMkqW|~1 zqe$tFb7gm&C%fZ(*&P>nbw_{M9hZo^V~u)u3{3A1I(QQuTtSDX4>Mv^A7<1e#R+nq z;SEyk;p132&{WD= zM_R+MK2r3^8r=;tD%m6Cad--_(Z8MABV#i5$T+n}DqpUWk2~Cec?5g8I^bijaRzuC+k_lPcXTvEag?APgO0Q_$ishUuq)0 z#(~6NCdHo#FAI!)!xeNYyeUtw6rWrR57e|J)HUb2DmdE* zYP+PdEjFpn_H+iFiEsU6npoHAA$DL^(CeWAu4nVA#nIKz(70AN4PjQv5=DaW|lbCqn*Rhil| zuPO%E=s*T|b5*1@IgqsZRgu>0K++b-p3~nPuuPG9bF}u_87VrB@0Zo|Lu*$*7~C8S z=`G^c?FYLY*uc|(ZE=ueO&gC1o%Fe`HZEl}ptrh43P`Xo-`o7pM4)Wpkwy7NKVVyf z-r=5!fF0qG!D_nm01azvAD+>`(;L=SY1krn!xr)C?(*L-l$~tY-ExX$vAbb+L&FyH zn(jGZ!-o5A*uCzC-OH<6^1o`>eX?Oo-3_}B8n%?zbiZuanZgtsDyG;rK|b`^vWD~l zcgr5&^*#8%YS}}wWz0=Bxl4dP1T6yxS+K>2tKgUw=<7iyIWWRwu&pRC!ZpZ!ARjg# zWO~@F@ZZz!nrhIeWKXYj_w-ZH(<^yTuaa}>%?ahpF9avO)Ac>l&b$_;_+AD^dUYoF zUeeVWmfJ?!7i8=xK1H4xnAy)wogp7-H^^LtZt8kuW!D8rvg;t0VdZR%|E_b@1doZe zvg?>Lue?duLf3&CFWjW-s`Avw14}CRV5Gegua|?F{<%8VK02e`(jPx#U1LH6y1_k` zZQ$ge@vkP7oqYUklxo`KR?|jM(W;I(c%@;_x*PT^uWpO~hN0|a z!=953+v;xEbI`D@yrylkVfx3gmc1Zb_M*FGFF?y) zyV(KSHrdrSv1F(&O6`$tOPN6V|JZvDIH_vp-`l;q zcf0rY_U<4^??rk)I?|*HA_$6tf(R%eB3-eIf^-2Jy(0<-oNyFDv0_EBEH*?%0a36a z7XFjGHhJ$QyT|AE{VjYy@7pDtOeQmv$z(E_9JKobJl|$+9vA7xA@H6Kln*WN4px0^ zgSS{UUTY9zIO9=&o~-&r)ZZbG{wBl}jR~>(`%Ki|=N|n{)EdPY-^5t`eIfD~9bx^k zJ*7p@NzU=1z!u$OG$~epUs?S*#N(*lpCukH@V6rHp5k##1pb?Nz~2@N{0WOY4(z|P zxnp7P67I>dz@HR>|2`h@x5onig9!Xj@qpK}^hkW0KZ|IuYZ@j>7I9pxy)zc}XGGwC zi-)y$#{&Pm1>T`p&f4IuiEyt|vABkFFY3=ru>=*vq2y@CZe zB<|O8#5M6nSRl$!Va+rM=L%Tq+JXUbVl2QpU4(N7A$%v!;+r)5hW37~st_i>p`D_M zd*|*B10C84VZv!sV6Qzq=I`?8!Gj&pT(HL2()CoUPcYNlEa~_|+*&3;0{1-mg|wr>H&E`+X_u6yMdV zo<)mhtJ|R@1@*jOYn0+aJuldBB_*im1-o1+6EyOI`EE4#g7D3IFBlA)GcREn%)Asx z@L4A2%$xcj%)F^_&%CMe&b)|t=4IP##*=zl+%s=l+%s=#{4=kJbmj@P#WYEp8h++Y zlLsv$NAxu5%wyUjiNK|nPqzjMNkU;h$t2lM?kLt4)3lM|S;%R)Y1$xhO-{p2liV5Q zwZ+u9wZ+szY74(pTlhl0Qogd5)>y72{V%iz)iucw#RMwmL&f|v^^NNXiO!h{I%g{A zoN1tQrh?9y=G&o8^+(icY~AhwYJuvUVsL2eSE$ap01l0PD%Cl~p)66QI;RAbCHTrh zSxG2M_N7BvDJX-*++W7jL-0+YhYFJ~PIczlbLJKcma$xhx9b_V)XpHK<=9PGF42ZM zQ_B?!UK-Ax0X4+|rD>~Rve?;HgB7s0nJK$-!Oeo164x#@HiDHrN6=R|f?#DH5$O&X zDTbNaXdz6uTV^H`6Xq0BJDaSAZvs|VId@kE>PaENs-`O=SPi){pjd+faAgE9G{wMo zr7i}(%18|0D;Ib;OX6h@4ami9?zfuURp~D_Da&S(qhpL-U^QSu%ym z1)9&2L}oKvS0v%HWa(Hr37;j4OczP`EbUgIH1ZNYOL7sBH#%p9mH9%TZV}gWaWWD2 zRW?N&z6nIU&bf;?>Ip==t|j92u!z?M5wFKYynbPh!RDZSnAb5_uz@*Y)H3>nQNDp$ z(#S*W%$41A;U>#m$!UgDZ$i#7`p^AGVK`Xmq5N9`pVhswYVryQQbCt&&Tz8(U1X~nFhGOMI4Yn){w8akGTq}92 z!a!RZ3tH>KKwBOQ+C^qkq~sdlxlU7*#9KHsfJ?fru9WEE0QFb2)2G=%EUznNYM#0u zel?PZLHiE4;VLalDCo2|+>oB`hqk_D01(5tk@V(^@yze+15tVvxN z!HzJBX?nP7u(MaVDujUZx6D>+MT8)kebtqM)Kk~Pp63#hi1s{eCqy3+a6_#xgv#$o zR%=y+HCg|Hz29o7=wP<5*4oQ^pbjx$pffsZwJctmTftY$ZX!AuC`n#J8K_g6?InTQ zJxDU5b_z+ccbIsDYqYR*guuU4lFIh1JxMBp7$5-=#Bx%IB45}Vt);jfN3%6rJ#kHH z^-#WhaAi&0E8rTLcYx!{YDthNFRd$ORvpD!-%s(m57jl2hXT@YGFPZ64vyh5StG+4 z&c74XQR*G)-Qc}@L48k+2BQ9Y{Yg@~(c(`;^*>0Kbb zB*F0H8P2>eN|N;xz9__(CfbekcIqG{g=>e~x_@zWda)94HO2tEf8~HMhazmoAye5( zWw^RZ9ip!GE9xqLRdr3mJau)#ZgpkCQFRSl;zlZIK$Vo>Ww3EiP;XL727AHA-LFQW ztT&V;se55Fe>s#T`a3{bA1F)l*MYLWP?qd}1j^|Bg@FHVD5LimQt4V~8cd0|1l#DD zHNa@Py1Pp|*@+ByhIO6S6gi(@{^zJSKciS!!OlLH`6Jo}P^Pj47YW zncxk&d@5($@_B07C7;q{%)zlD`JCt_pZcLR zMkNl}oMcJU3JAUfX;KG6Xa;htdoEn-WenT{t&N9AM2pCJO|nhn7LoO`#7E34XBo)6 zIlf*SFI^A@ExNZ_qC5HAMOW|F6y4h`(Y*tU?(HDDcQDbtGfvUHPb9ii#iEN*i9>X!S)%JR z6d_!I3C{3RgilHgEvF*nd=yX0bQ)&^J}J9@!0EInWs>QN_Inbkw7sTWD0_ns8Y*qC zTP_~7EEgD+IOO7SQ!bJo*0=2m zpVFnyaB{&{{8pN}LF*~h6T)r#21)uN-IFTNN*iT6RL8b`G2Rw!)M`u6jr5 z8?_W6OzOGgcHm3n+JWcE(j(D51-}Dd9^Vc;PZA5r?x!b>Nhr9uH$Q#vqYMyVOy3zNex+!6RnwKzD-H@;yWBNwhujo)$ZOdSc?FcMV>{#-u=9O_?T{l-ww~^geZNE5lWd0!?ttJ^Y=_(p z$~Ndb*Fw&>pW|@rnhg*An;%wEZ1=F z2720TE!XlL@;1?Oz0+&C)_2GoV26y+iDSv$WiHvuS;Lg0o6J34rrc&p*bp`4g3o!k zl3eiPHXNHZ@o_spdpFD8qjA}Bn~@#2#}o`@Pw*MTj@#oF47fmI2*%!X7Yw~$Q!wZ~ z4*EY5d;tpv+~$A+Di|+Xf+63UzA6%oH@yTy-{N3Y;t-0rOrcO`!ks<3HSGcH^|bG| zNN(3T?R(Cw+9Hcf;_WfsY}_Krcf>DETO>hJyg+S{i8gnVzeToeahr`TVP%?d?R7g) zw%Agt_AsSX?GfB>>_U6ErF6d~rEj0RlZ1r3bK-z5`NvfJy1QaZ2eyk(7QS zmQsvL98&tJh061wx_mIVW`UH#*_9|Zxj^!494|QqGV_Pya)H*n5KJy$OxB4#%Ve@L zOXR*hbna}$J(1vN7F!Qvwtfa|Jb~Zf4R1i~7Dqe_1?uR1k2AjlAtrrx5@Y1XypEd4+iPZA$e1YZCnNNv_s-d;>Br)#9U!M3;XK8kKO^yiy%!PxKF0# znnA-qdj}2EdRTaNNRGE%!m}fGdSSoPTIsIz1mQWQ`qd=Z^b}L8sWmKN+Cal|dlpWZ z;*0C|kixS{sX_$lCOgF#5)g8dyn{(H4aw~Zl6;y;ax0MJU#KLjNwCj217(TIcd*a+ z70MFS8c_BdlqIW0q3m}kgOFTxz9sSVMG|kP$*u?XRRXMB8@(icr)(7hi4Q4MCUn&q z@_C9nFAh5;5hyNkkUhsro#?94MCk~5jG~I3IIB8UBwZxDPp~<_5q_toLf}j| zRf>labQPj(Gzkjdyj4gj@!S_my?4X@2_=~eWhfaflu)ce0a)pw6jNC#FBs`8W_z)2 zmv)g*zXvGm0&qo*3oF_saWlGjvrA$;Q{M3D!getN>qDGv5p%YPm}%!uOxzO*rCY=d zVq&HPF@ublA(NQuwZ=U7)QgzUXl>-g)PSIwSnoX}u{+WZp;?m^$EtWjD7}Lh`fheDfI9=r2IgMYQB_W!# zoBof42<9|o?uG(7ZHif(ehCgcLF1{dy*Rx)*0ceQhYiM1aZmp7v1z-dUR|-lxLcCO znP1>{OCoc4Z{qI4%yM|hR-6)Em!%d;SEaipOSPy9?kRXax9z%tc`Onuvay!sHgkbnlUzg1WTSo>*c? zmv__D)0cO)Pbj2mhs0}-wa9~Y6{^I<3#_Y9WkbBWS>n}ABwkf4rc14+XJvt!bm^^z z^nZ0vZu3^tvzj;xl~R@q#d%h{S-4nGr_Z@tO^%eR&sqefR#OejYN{E()l}=;=d0ek zIbUmA^R*71ueD*m)?xFtuEn~B#zGId(dp)&cP~5_YrfV;tZV3Iot1kR&5P$^i8{6$ zp3{a2x%a2qy!xxyRNGSSHL|$Z*x;U#(;Sx6&7$d$d+yxRdpEh)#Nr;k9bq0>gqi^N z;9dkQ-pwrTZGgoa_BhHuFYfJ?-11^JZ;QON)`)?vL<|hI0iGo6OgY#GLs^XhN;C3;HGE}T(|^Q(c#KuvX1&nng8xS<}f*I;M`%P`z=4|Rk8!1MAs(wO=?(sWOG35ZBXCL+y1V|HR9 za;K6K>I`Lx$_+}HP!}kp+sJ*U7KLwuMfwtpnbN8Fd<;)t2u=j7y=PAmC(}n^UOXSe zJH;u|=Oyt!f2tzYA1pSfB8}AbD|GuwBXy}$IgY8=``o7@elD4WF1My)A3PN=hpE_y zO~t~h`~*(bT@$!sP)CWGC7 z0Btguq@X(t>}G@49h7~NLjxM=k)DW2x=$8)&mAP~lf)Iko*{I@1^Jypp_ zcD|D4YA{MjVLm13>sm>1O&VEjk@diy)xZT!lT&O`_9`#J=RxJT@-2A9Ypbo* zwh#)`+}F+5!!#(=(n{d0QdVM6_>;jY4LfvdN-T`Slz=yPbi1Q4j&RnAbqObx-iI+W zT$rEc4l({-fQucIM(8~B$>es8A`L31tZimC{*Irc3E;D5GacElufDTZ*J_jwyX<4S{AMMM-(lTlyY~ zL;4QHls;x%hUP;T590;$fW+%W&5Y2a@b@_1Vvm-Ej z@_`+Qd;T2Yr4%M2%N7H@FEOs`zz6(mS$=3`erRoe=t(@_cO|c^lZ}e*8Xa!k{-8l==(m7{T1FSU0jG* zBh(HqH+b%%q+2Z9zQlj9zd~@7HZwX7^U5J0v88%2@fr2Qqy_3n$v3GVGrM>?n5on*e$BFrU#E7lzc|>yZ&16~p9FUC zo767$Lkh&uThuQ0Lkh&uergvdyZ~iyLs@FV1}J+6%2E=KL)if+OG|tg%HD;tK+^M2 z_8yc4lb(XI_n{0Nr716(Hg(F2BAfaH)20qDR5EF!jH_V6cz9P2dfL=9C2@dXh7ZZq z>r1-X_>q~0KlBNn$cJQ^062frA<4YtllLByosBwRHWX?F-nn*2l0z;up6*=ry~828 z1nBDVu>O@>+&`1(3x09`j4hkqZfj;(@GSUDmKW(IO!3boD<@CK&tkZm1HXdYd|{8$ zuvr5eb_aImhrR-@68wIY?^|;DMd52U6LN+vY)5r^ALs1B!`cPnR`gVyI~h1E*&9)( zcPIuk4@)dyo|%VZEYptpbXekF=gr!~vcP=KtUWAQV}YPB+T-wNax*{l9p=ViNhBT< z#zITN;jpqw%qq^~3RNEvSEVKq&(*N9T~eJNmN@2t-EW1xcaXc!b6C@auwBN%XId!! z%7-Mv$R9c#a+znR47>9Opap$?0RFjLwpssNqDuKa_UAIcI5V7~%SYqr@(anfg82vd ziTtJP9y^`L)Z=p6Ry~$c{-s2_@k9GkrYpEr;)@vW1FR>`=s0nQyhpTRLOJkIVsa<-j{viZ^3|sWJH8#BZGOOgJLl!eW?TN)~l~ss4h^ z57EkrVScVjZf)8pRG+hFDUnA;-?@SWvWaKO-dBb5-24%r=jQ9UJvSLje4d-HCF>UQ z+lLTEB*>qL~$s;W3q>cxwYNo% zo>QvF!paPxc45}nC;Ad*Y#i{s{YEP-Y{~`_&m#DZO!aVs`bH8O$vc{lOWvsFmDDk* zMQEv%W3D-9yJD<_uco*=5=5PlMD+9K=?STz>mfJavxO~if^*!QklYid_oCg7n-h}E zT%L}b6OxS=@3=W3d9Q(4Pz)db$o+)OdG7=t?-BY5UK^n!^wi<0lT#t~E_HY6TdD5^ zqJd$7NrB1m`BmVTz;Bj|r&uA5&{O;TZ(Kae(*MlGqm2GjTs+JrbDcuKC38q^qkiVw ztR7D6sD73>Ry~xsL;XCdq54Hq6ZpGb{W3XI{UW)kdL(7O`en)<^^25a>gTD|)vr=7 zQ@;+BSC0nXP>-e6gTGbk@$|v!vGm#MH^HXr@!)mpvEXX;1U`(JM%dGJ!w1HllpI~?hw)xp z?30p9M=bsoZzHkNJ}KElW<>a!5-$PWmvj5QliC>JV&J`rJ6V_m)RU4$li!z}bm?m2 zfG_kg;7r$6FI)MPWW^8OhRJeDx(Wk$5#_(vvV|1Nh#0t?=J9j;axg02OB@tD(%(zo zN8z^u-)j|xSn{jvDM?TvzsjDHda$fj_Eez^_l)fL4EG=6H{6TFXSn|mpW*%kFNi4K z#D9bTRzKW|_wVw*;oonrH_Gfn#GCkg|4-H%rswq_sgvr%>M3}|?0er4^_2fo^#>e& zl2H`&sIHd@=uw~lcF?W#rJ+RsP|&UPrJ)4>ekh|a4JG?`KpA~$2*OVi%9;8$p`1wH zmNfOP?|BG*qA@E!!Zh*Fx25CIw?D_!w@z=<&vEOXpLv!8AR`btqb%N^WR&*|-Z(AG zpGJc>kR#O8VO8@1G`fLuTJ|yvXHK7%B-7z1`f174aH`tvFo=wb@y*H8l7L~f^*zm# zb0dT`8HaW1Om&I6+?qLk>FtR)Bem3tg_=1i=;~((x;o9Io=PqpE@kLf%OL0~gIz6C zmxF{?p;E6-2MMoArJf3_DdFmmA_=c%O1PQ<(OL8+z&=yL>7jg3DXJ<@#}|07R*0fHM!6btKM0@-bNUX4{4HLmGbIu zN>}xFWt{qlvQ$0GXsX{3LYGQJw5nlVDpBqMgN@RvdtSP2fZ?pfZ1(XN5qv)F2H^r1 z>d&5VcD{V-^C1koo7_~oi!iKdVK@}5LyBPocncetRr`W%Y5jZ(O`Kt&X;RJSXNt+- zSo%G%jda|t_xTYT4JoN=y5C*j^3~Gxo zsBdAwvnrz@j{(R!i#B(#Dp7LPS;VSDgkc=4Y7}_ScqaV`Lzu=fVamwGCtAKFO%mfn zk5-MTA{a=L~T8K$2xCy<-m~S>i$y4J5g618FWA zNK0-YDYE4&_CQi3uVRP>l2W*Vv=R;EB5ok5{~1S8&w(SUg&Rm4b8$-A35!!Eyad^5 zdq%rHurTS_mNPo!Wpn`}BtN5jen#)7Gy2jctLg;>8P_UGe#T(1f;!ND4Kx2$f&OcR z@vqP#`mdYKe;uX&f`s^byIlG&$bzr`Ycc)TWcIJ#c^UWgHWA3ncmTc{xoI+{xoyDo zYP-{`(Z+LV<9VQdEtTifGGR5q%OrbZ!2oIA2F+ODY1deAA*;0muAjYcgW6%g+$}D+$t-&@qHp>%wxsBykQ*W)8ts?yGzp<-zYLPWqWvtm z+g)%|Ty$VZi6*`p(HPvFL_=`HB+SFzj$4pe0jbt@cD~B;18OnFFe(%omE!Fy62N|AG_z% zEnd^ts_%M#){G@{{lMb2taj%Zhi0`GUgI(Q7gpf$2>v;7Xck@>GI%()EoMa?&u}cb z7sIA2g?)HPkl+gM!saILfw?E1e z*#10Ew?FD-Q1$}d^s6nP>_xiiSJy+?OHh`o&WEy>p)AFh2xYH8S(^GSlUaG`dKcMiYGVzMr14 z-&&&YHLSlL`c}dWj+ScmtB{R7GEp(s?sClZKWl5@e2yz;#bBy zmI)1yL$iDLR~yPm&moiXu4KK7>UxNKvBU>h&k|y-C!R=AiYUcQ6{A$5nSP2%RE#f~ z%?~DLEC;YpA0)l!UJT^qAn?Wy*cZ?E5O_oPLsy%;fo}rde00wD+>PFiloJ^roA=x^ zK0)`~p;&_gN@6>=t3wJ{fWEy`1LNLH3WBm+pQ3F_P#^_|oKkRzq4=341sj2;H2Xq5 zUJ5>!NWoX86wr(?|1oQI%uUvQZL#*K!Py2qQUf*<`;4I^iWY+}o zcd<5IAz4mjk&Pche6g-&D&sSbJNa^)@x@9fst9K1NeN$mH2H!Ycs{^-!GC*p>Vq^{ z_>;xLpW~mMr_X(MViJ>#U#!`A2G7o4V0NBiB>q(-EPrsqk|9fz6hKv=X8CoG!e;4BW!qRDdQiG)S-78WhtAuLcpN0JdsSUk>_ z&3%oQ?IkRL_4NO?u;_y{g(b%lmLl;BOVM)|7EEHI(d>})e?%*Wg@xvbEXGKDfkk58 zJE@h7%R3p?#tO+PTK2<82#|MDEAAA6;ta!57KYx-zE(z}F)Els@TV;MI_4&4D_Web z6!)^PRklX+BjnJhM$Cw+`5MH$YZp?2>lYQg{J@!#3v)C?LaSx_f3!MolCUg4`C5HS zh%U;NU~`&zgjo{Ht0kswVzN>*Ib{(|ot0h<_Rz@2&1Af!6uDYS(i$*|bXJOM4GoHn zuneIQ0z*h^WKqN+flcC)z=$NpqeucH0tsyFl)%PJ0-IVG3M8<(o7|QfYW=55ppLmI zffrd4*d~4nY-^2XpRt*0t4m;r#q;SB$ii#c-62k{+Pepe<*J-gN2$-tRsD05t1L^d z>VsT$VB;(ca&@sW&bF3ZwH3)#M{^m3RO0Du!?hnye)KpZ7Lp6Y1N%9_ybPvw;g-j2 zS+;o`%j(OPgdwHVbd}}_CGF5YriOOnd!TUmY?=0!pEjGR0K?x$564{l>TD#~`z;df z*E%@`yAu=au9heWjIm4H{I&$UrzzOnn8M2A!Uf?^+E?p?H0OYxKMwZQnwdW?_P$y> z_xy1_Ok$#0`QtR4KMsmDD4-@xUyH;GjPu8VrpunqH14S#UlB=M2KSOH)NC)Lv+J!J zUlAl111-52D4IG~Smwt71L^8jt6?@5(Zp|LX#Jf>wz&7|+LeY$QeEqZO_D2tT>Tiy z2ACvMN9)hb&@?~%wKQK*3884{pR0OjA+>0AF3}GF?hzwSRMv~EDU1uaGfO&7Z}|iaWR<0kO!W@M3SCPyGFn^A_>*xV%U8|cBc*BJb;>m zgba4Gjo55cj##t!h=^^2Ew%|}F_A1lZm!|pt83R=vv>&R+V#M(A&hH7Ev_vyW-%DL zj%is;lBI!7%MN%p6q8Ig$~718%Y?Lb&-r4K>k|B&FQ$pZAXtzu%c0|#^Ti~04S3-x zrVSLM%?VF2*~?y2S@|50m}|Ytr9{#Rp!f z*KTCuGu*V^AV;tsw*wbo(aXj`{C2hP0+}G~ajr)f*pyIWKYON)&_){JF@kw?f#gA> zv<9-?t7|tYL)iwsx;6^FnMv=USc3v;K-{d2HhS*`=DG@%FRY4ZMM=rE*tFWt&P%eP zr|WRETPPP;p1njB{z1DN6Kk*~rGb#%{pqI=)wQwKV8`LXj)k7bvEIk0Ptgaf1|Z1Q z2ZMb#FYp))m*+jc2komM3xe5`AJ_|)G@M#kNgo_)qJm`q2yQTBuUC*h5y02HS~xS( zR^aE?9`Mp8SY*1;Ak*oXWU3g8Ot1;$cR&?o>EPTpRz=zC9ULJm zN}lK9(mwbqO5zj)zjzR`A|m8zYl%E9QgRb5LeijWkT-Q!OhQ(YScmlbxI?}wNi;t% zUzH@!rtoB`B+1UoE*%;{(m3CTuaYE?FjyI8h;d)s(MX&;kYBedX%oaUkKbYkM1~4$ zQl`=g3$7m;$?L)4N;ZepStf_!n_wB6WO4X8gTpgoa=5ZA8qLk&$`T`v=Wu0-<bzg6PoFZhnkdCB>Xsz{VA&xV*R8^ICU9fmPVv^%jedI$7!C+q1hOUT;7Sv_^yKkFf6TxwKbec7#QYL-AI z`x%_avA*Ok8Qrj$(Vf~oZm%5k!eSGozU(MiUpZA}4BQ@=V)<*?dxBFW{@R3Or6IWg z={W#81n~RnGfq*5+!S!u-pib|w}3u!izITq)@R+Tql=uC@|)wF5hGE_mK;)ljn8<<(e2iTTPeoegE$owph3 z%^AP1fzsO7(AIRmzNWasH-S|#)f89taaeWe{iYHg;>zV~@(q-w^3)ZAHNzhi%y5^D zK2Va9fVVvcYBHv{%+`Ujz##|ZuyTi3s!UzeUg@Ruv2;yU8cx?oqHFwyuHh8TKugi| z0Yx*NDVlbmXlCe&MlE4-4!#LEH`C%=1H)eM#pK)|NoF%@@jLcSgCvGFPq{&|i;6T! z4VPHYH%Jp3<4(XgNjV}nu?N|cL52MLN#$U{Q{bC`q_fgX8q0ofaMe%`MRj(?DwxgA zu(&IEzR~O^GCIR@UGN&sde_(e>U!_Sx=)`102jqqZ}C|}kUNzaBbyFh=ayqwYHY-FIJfkJsOa&v)!^}` zW}p~WDEZ1ZOF`UE?|~Ond|=qwQQwKyzu_T{TUj)JaRsarR>iIcA;PE(V!&_JO_sCD z%}Nsb1-EdP+pSQv!x$zi9Y6*kqE~wi^p6j|7uOyK{i7<)loV|tlqD!tm6F;bDDx}Z zplmUeB`M34GTIU-qi<~(QQ5p$FEzzX*$K4q!!+v5i`jBdF*B}FrWMIgD`uS(k7fB8 zc#1p2UMuJk%`qs9Mn9H~zBHglN58@v{Yo}^K-V={6*cf+(u3gDtgiT{HdKnL`HHgp zL{%NHr?oXzw`%L*FAx4UgWZ06e#%{2QbyBYnEa~SmHK_EKCNxb*LFs)2qS){2 zNE-c}UL4YY57KWZ>5U)u`xFwQztgKe`tK0nO@D_wI_&pBBuszLB$>wV5&G?9ZWhU6 zzqck?^!IF%ZTy}?zn#o2lBfMdisWl&9#)EP*M93m5=b%$kRZgFMM%!m+S$&ll=7>T zqN|kbRZ8Y6C1aJ6zDh}jYZ7odXM0%fbK%qBglDR42`A~q-5gHJ52r@L0Y*ol)0UJU z&@aJAIAs6w6Z$0_31`~BoJPN7N5VPwFK5s%BoZ!W|MDC9r9>oL(*ETS^h=pYxUBU{ zs=|KB3s=eu!?&~{dEx4?;%KFfL=!VPrTf&E)j z6Fgxjxj>I+sUl4n7U=OTNu^l~pc~qtbz%di`Qauy$`pAEvTM=QCUAW;kAz#;G)U2@ zLl>B$q$pir!mUVAw7?KT^c8RsTologlkM$?cB?ES+sR0{wcSm??xq+i#=2=kilJ^U zAmBfu>lp1O2|XL2-4>&lwstS+I?+=e1SI|WDf1XzQr1>22zOH;1@H^`DX-+Gycr2! zqBlwFyCs!{>gDHoG`Wmx(#vj=iCCNL!2nZhD|fQo7bX);-a?!$ey$@7%TGd`vv_g2(J;4ccGWep>>*@04^getSsVmHPC0RO`lxX@HjQU zSgVBCWRGaERt}Ye(5|yb)D%W@ivAUZA%lUZ&@SN!VyHK{z$|t0XoJn8=J7CjnNvzO z+5lQ|0Q5O*y$Kht=|R)w907o)c{WX3yJ#xb3M&LNa)on7Zn6p728c^D8=fu*KP@2e zO0OA-wi_IiS8?31nY@anSTyGqFnOzuLpvIZPX7wRI|Mka*3yuS@Jx2;Z4VN!aguna zP2vuoB=)eC)^c38$F`Q66EHT@F#wiuN7$J+p??M8X9Z(hhZAvlXk9u!_jWFq)FcYn`@s3i;ejvniGD+$p?m zQ|d|(ci6FLB@4pu2`Kfn*G{1jl-kNEUJxtYV@F*_saF-6QrkGT1GEopO7$-^v;vM~ z04-`mqv6TZa*LDV%$4yWDUMtjB}fU=l>yFN%d?Q2&pZ*I0VPdO#O}0Pwxx|zmECC* z3c_D1%C@xoSRy@(Ydf$)q7RcprzJ^A*6CNIBijV2FTx;z%< z7aii68MMW77$@ukd;2BFY{@j8vtaz+7!QD#od7Zn3;@6g27n1{X;PXBY-X60Mgm)g zlraSs{EDS;?tkSKts2-Yf>@4|aGwJ*XFD3S6gJ>e?E`3W%o2MnsE6&~3`6aUg>Y$L zX3)pi?j@}alea7>%V-fHWf3jPk#agM4)RwDq5o?o5F?pcHokLmgvr=yxjGVQ~Q)LUFCqbyv;%&e&Q;IM>{R3r1uzseswuuAS4q>ZkW?igOVfD;xXf9;zA%Y|pFzD5=sk32Avti1jOZy~v zHEHW?axrcKddPL$klEGQqz7uUJFQKAT8I3!P8R###SUy^nXgKKM4&Z$lB$R{)d++r z=*J{N+VpXD-p}kj25qi!HoVSkD5MSKzqFS&$_Ge+sar9$i6?DFJDZF{P299O=%5W< zB$@DBNFX!=2sDA%!BuIw)d>V>81WGFI(XIm%t`u$#n+@yHob(ryPi68h%=8pWmue1}3PU_n8|RkV=o*1qM812ktV?)g$#7TJuRggjRi0 zU*{Y>0Zc$AfMMlx*>x}+atSr73m44HM>=@!`Nv_?2xL@vT3pjwrUpbde+z{0YXv_ZAolD75;IPG*?LTa8V$C*VWkO+D? ziY}SbO?IN5dfnOq-&l{A34ebn@a$QMRHp~}ES2WCSq?kr0L0pLC(@uf&2{UJI?6}cD?Dm z1psplDg`^jb(l&R-;}#Y4qU@#QQg6zhlsuP|)*zDky3OW? zY&Q2KJy|DjlAfrO%gAMRCydR#NH11zKk0?)^(MWodN?RpIVE{nmkZ2=npGvz>GVFF zk{L<*X83Y)Im7fFayi1Z59z}&HEdOw$}a!PDM{8+c2{OvZeP-u!G4$YMX;|RSLjq= z(PO;R=9DZwC3lksC~>op5?me~s42OsjjydjP01Pp)8ai_DCWmAExzZRlQ1nzS2j$G zhdBWHc}8Z`^3vOjoHf0FaQfB`+DiOU4w}Hb_oL&~AoD|eJdei%;3p@5k8A*oKt?)J z0i?4WOlbW*)yzZsEnhtCf0n(ni*FezKTBQ;rFl5g6X6eqABLgDHA1Q2?j=FZ9>SWu z^7&bEFUhThPp62%0?MIGOp4R&G+3aqX>FX6NjWXCQ7Jh>oTKuzY$?T8#sIGTVfxI& zJkA$MMu=(9SraeQ85mR%mFy zI-wo0p{>xNrTe`E`F9rI;Vl_V=o0jYa;N29Nv>pC_G@w_(z5+XKWnXl8^%Gny%0X~!*4zcY`EH#V$tB=e{}uvKhew8Kc|F}rw;K3=%LOAW)T$W;vOZ^%^$ z?W@VvXyFO90gS12-@@~{aKrDNq^L402zSWTx?pqX`LdO-`U--v&jvht|8Yj zP^ZW>2-LOYT1+*lH;@cu^?o1&QN2NAkX~;QJhH-2yN+DP>itBnL-hue!FD}FeN|xx zYEpt42WWK1x!y+IrSeoYhDngNk8?yoeeq>gx^SnbI_ry@41eZww?fMDZMbcP1d!GZfYYJ<-Se7i=VC$+Yl5SIIi>`}d z;twT5shCDWWGE8TVPqHb?Xxq;Qw$PK98jpRnV9-jEAl06UA>i}^$8O|VPlHmyA z2r_~})J^jAQ9RHFLV~cx0-s5$sgf&*v^Hl(K<&$=umRzbWF$j4i;P4F-$ZWGN#M5g z0jM~aVZh@wS4|Bo_Y2YBCv>Xp3N1D-0gFLGmSs9Y zt0=i+$QZ_}T4W63)mSoC*A?tRxPnLnJ&&*b9-Nwik8#u9&5{wLnPA7R-W5AFcZiG2Brm>fWS;76FD#!8DQGjV0Lm~CXq=DOgl0Ofw`63 z%7N)aX8@Y{v=|XNpU?!8v9GLC~m>xEmJ!}_dkmh!BJA=}b+>W5!LGIw7 zT+X1N=l9es98P3zaSWJuk~^Dc512lFZev#V{)o@1)ofO0pvn?V^s?nY4V zA@^`l2DvcHamW^%mIlmw$-NBbU~(^lc^|otgUQ}I1VOmL#_V|zQPAS_B3%UHpQt}T z&oQ1mg-l@}N0KQB7(C0}RqA@&JM~mB0Zi_^S03 z)&-FgsZco{3C1v@DAw-AzL~ZXDtxSJ!U3vP5i*-SiS$Uwr_5 z!MOlz5oeN_Yzdqx|8P!&ixrk~Hk%x4ud2GO|$mRyb z^2D0{PsE!3RU|n_d0i{by=k2is8#UAYtw{A>#Ecms_M9-7+G%%=|N*j3w8x*eae{p zd~k_cO>#oQbE}#}0~$Zluz)OJKsS*E z2xS9qWDU+JwhhP{oXG?RR{Fjnh1nfZdvOg-5XOh}g0MgX9`6Tpi$fMfB9Qv;3`U@n_CEWupXlSjqC zpdq&_0RZNuN&xU}JQy_Mu5tqa6n%3t9srG5v>DozyY|Q+8F{yC$qh0DFc3-^r$?VdX&~^|@FIwW%ZlwG*Ae5}1Gv)p18eL^042Y?_Di08JkHCEE#bEKY9?qSy-7T90WgHs5)0zm8W4d$vGrl<0C(En1_`u zLckCU0%(KB;};QGewULatUNCR?%0tv3#&m%b&NL1)N)}}o*uc9NFEqqe&j5S5vO}r zo6DkwaKH=HtRcjL!Vb;HNH>>l25|fsz%3z!OO3xQm4_=iE_dMDNe&kW9|IQR!&F{8 zn@f_}Gko!lN`N~?h=mjGXkkqX7gnN$WFcKQvXaR{v~DaSi|loSqm}IWF?6Pti%k&6 zEHv~b)v&q}c^h#z4h(Yr-i0V-@x!DuNthvtR3B?|=f*1}Za(zyk)r6hQPCv;26 zD`y6w7n8+|(CK6`BJ>in#GBA9rGSfi^UTx(~Z9=-RKWF_l8 zi>ySwuObkaugAkf@DDK0TtTtGwwCMz*=b=UE8CW{UtL|VCaYQ3MaXK@^%}B9@0!IO z!KkTu_dtFY!ChYzGqxxTT{Qh&?;34aXw02EU4+_(+Z@=NhBkO(4&L{y3-oc(%@gS8 ztP=FEAPY`#JvUEnwE*Y}(|9#5l;b z<|hS11eBVUBqlBv9xrDS5`%XkBhbhRGpaeElYdHmL^WWA*loh>A0 zciAN=&aUb%3A`b0SmgQ6ADRWc6U7b*`VCNm) zee*|@S4gh+@S3D=Sb0E50=lQ_VoP5oPJH8;T30&4(6hSQlc9Y+ydxw?_ z%o-puxq0<9Al{pa>HyifJANr0YL*pKkK0TDp;w5jF1Kva{$AG-4aWri0BOi0c}yDm zk~}00o5&_z8fe@F=Rv(jGSMI{1a)_$r-JP2X>yF+0(_XU4VhEzyKOWJmORN$dMc`o zjO=S9YMQB1>H>rDnZ6}%2-nK)uW;LmYbD);#?!$c6LpYqcoYElYUm98E6AEGI6NATk9#5F z49-ocB7m(0cK|U$vUyBXoPj$dWOo?g^qP;v7$LbF4W>2NQNJ3x$r(;GLXts;_o9rD zgqmA@Fd209A&XUUb|OCBXPrR2QWp0#6N*>Lx=$KWGBQbMNAVk#5n*MCuqwmC^R#uO zcA2mh-@!=jQejPctN+g85dm*C8;{n zL4{k>Y?S1Dis$xCl7kAMgX8w`D483d^X!b0#t*^u@usI^w@Sc^K9hd0|Uf6Dy=m=i0ZkMS zr0rz8ZX`L9sjH*ocDddhR(=(to7TXZfg`-Gxf>TjcaR+nxn*PrLT)G7$&fRytY)p! zZ~A7fHWpVnUugl9c-Op6x{K^$P}Y)N2+A|$84k*muIr>@@mfi5kwcW5f!J=cn}OUw zb|a8`$Q};lW*5kD_~s-9nN`~a`7C*sfy^h*B9PCK=QxlBE|B9L^vlm`WP;pF_A-z= z$X*2UdGb655(4DmUOK*fJ;C9~$zD!=`K)&h%ISFG)?^#vuP~6WlUESPePka8a=#1Yt&Tg%EWF7; z^HuUHgZU156~TOsyvD(VuxNV8Kg)hJCD00#B}7RXU|uJ$GcX6q>j=yn`f_9KvQ zleamL$6X-raBvn6_8syLgL#6ygJ2#Y2RN9gjKO|y8^>*_GavqdbXjyRLtF{ys&~n| z4BU_8T?Fnu@*V<5>%C9jXZ3z2@1uGjkPlcr*PZ#hBwUY@C_KfTL5VFByHiqya`H;fP_K^<}ypPC72p%26$K+#HFM)iF>U}~!;f4^& zwsRE)E`}9gw#m&;$)~J+GWis>KSbb?uYK7+JI%$-d$Bh0xIzzaKH0AY8e3q9&akxk zeQZBw2B$TTWZS8N0-f}UVC}wOC#b(fkR66q*4#NovW*BscnBu|H_U?BdD%tmo~q_& zll<)BR)-JZYdj{x9$nCAI=c%aCkpMtc*e`oM|tQYl3l@;NaKAjv|ZCr2GRRr7EaT- z*e`qUHr??GUA7SjNB3@UY7{I-{|d6JVw=N$CP$s&^$MNGP-~*0;@6R~;lT$zolCYE z@C1U|OURYRA~?+~IL&PW8E2?=7=2F!>B#Bl{t8GcbLwGvT^*#a{r0j0k z{+kycqz_xxmNXoW>fFZoF7;IONJwU*=$6~zjD4uA7S4vgqE2Y`j*a%$* z$UyvYa7IBkJk8-LN1m^gBBF>9TEvCL*c4jCd2@h5gKdPa2V~%cIk=}FoBE+VUe{I^ zV^hZz?6?qG!bNS`2rc2x?*Snr84YFGP*C#q=wCthNC84iu{0J6p=BH;Y=o9M{94&J z*%X4L0KwD}*i|2=4&0*)`*?X3=cdd(8^VYaI=6gF2dS*N5$t->k zigXeI?PdUt%D#QvY2h2?u%nL9~x~Bto&1d8@>TbyyOFl#H zmc!(*>8_zk&mmWiee&chE{W8$caTu6BzVychl=s6;pgOY*4udUIqK~T@`c?SyOart zeM!D#RVR`!QPm^lh*_0pVTF2Mk*`?2Tgg|b-q+-7yB87-Gp3v&XBZMo$QgvhujE&gFmx*CEMs+lBfqh_E68uC?(gJxvo1fW%Sp0)@kA^q zjd!sKP|iUBDpY@vKNwD{$R7x&v*avC#1sogd3?tU77N{EnBujJa{EsGUD6yg#~aXZ z45i85TvgdiRE6R26#ZslkpaJ{P@RTi)z-|j1#Ks= zw(x&6WSr4C0R{$%DZzwznSSF2od_j~=Acs+LG*AW$IN&Iz$Tf%=yiMsED0JUF<`IK zZyc~>C`onzdrfy!(NW!I0?R34084=eDGb;f^cx2(6-rVOu+VproHq?%AVjyDz#vv6 z=N%x4y;|Bab~$ils@qs8i;OM<05-t7jM8shmuXOv=IHXE-Q}IEOLj3J=Oa+z=KHxh zpV+aMFy#-yff5A&IkWNlYG~ty3Xgk-6>5sra?a>n)59-hU&;8!-UMN6Zg>TNU|4LLr;5OD+-bWJmZlQr*aCsl%GZu&RIIa z$?rOn6s#`lB$PsT(JCG)A2aOr5%tL{}cv;bo_;GJ`Q4syl2_=8^U$y)h3p>1kO@sTMZgZ9+QQTKeG{A%QHcVW-3D9N^4@JNYMrm;=4u^#0Bh#Ur<8e=&e_##kJ z#00Nz;Q*ncP+F9=pq5Ngt_6V-Vz=NBl15_VyNtfZk|z5iOrMZ5~KuH<9U!z4?C@srcP=ll_*PIOiN)xAKIaA*Fno9g9T;wg(6yGD)_uwGrqeD@7%p%z`Ku7aP1IWsHQQGYM?$>Bh14?VK7If;@;9As#lA3l4jA?V065HFk zh%jyLoL@942%~8&fL)9AOXqzpuHV{FQd{qrdPuAlqlNPfDD;y7tq!!P!=O=}P=|w7 z7fR}SKx>K5>Zzwa^a=c#l&lA>>M@9Pw%6kz)`yb%CPdh9c%^6ZwUXV)g;ms6tgU2O z7i>>sE9WX5dIia71Hh>P!9fd|S)z{c-rVj*s`P@ks`oPp=x>Y6SQ+ zVz^R`(1^peF_bhmaYekp2ul(>tD~!YF4WFtkf^4}9pq|>HS5~rLw^feb7;|=L8JPtIR~u;l(ev+>84ySaKk;oToTR% zCB6=lT{=rp;=JQ;Kd@pN#%Y}}MLS3~2khFcHMkB~30Q+`2?J=!21k`d#`@y8vA)<7>(+o-YlbydpshKqFM^Vb5Z0E;vMABf z6J{G|*M`BQYP1apvn`agMKGDg-V13%@GgO0#<}O|6zl4{g;FO^Ic^8twqqz!J=~5% zsXdgmw^5=ZrXhoG#4~|xsLdm5z zPpjq^xhM~uL@AVDy5q-U?xhl%rCAAWe3yF4Ku_qWC&PiNfu0-=mqE#891iWRPJ4Pn z>jf=(F=%ua_2QuQhLYYk_d%lQ9Kcd~nJ3K4q21*SCY?o>b1?frNgo@gmqhiJSUJ3m z^p0UgaB1Ovy(POSC)_%c)!u1E^p-fVop4)8;98vO?Ws@t0_FNLs#5LIm!s+xP;v$4 zJF+4!_p~CegcesaXjE@p$wBJ}CH-t@F|CL`f3_9TC+_vQkLNVK3I=c$8yr<&S8;>8 z8cMEq4K7YA0#3E)8sKe3^o<+qzMfe32h932tf?yL&tW|PN(LaT-B!dEo-nV0cGobN zR5e_~!Mqkqu0=38D*{GoC$WNmc-a$I#%)hr=_%0z0fB)GIjU&}a>xyWl0i0dUiL&k zi55lnL_be^;yQqT9qXHFr0cl82SdqVyKfl1b9KJTQ}105?XG7q>3qDNgE<6BhHx;c zE(OpY_Qchm)EWv9hBD}Meh%fJ4}+3n9CRnf{XH?h0ovWbVA5%E0|)a)D7jIGDX=F7 zc#7O`Xg8d}q(VEKgE<09MsP5lE6O#VFh@eWkqjmk+L0X0o1o+-Da>m?T6VL>)WoxME8d^L9;wA11LzwD}~i z(XCK&t2HG0tRRhtC^88m9E|S_#BEURHU@%y<3LP?-zJ;=ve*c`i9c7`AO#^HAd{C0;qM7Ak#PPk#7>hVr!cPE3%zHu<`g5U14 zVH#N64W)Op7VI0>;vV?z9*f*}lL-5-}b_+~`5ixd{Y;w(m_VXBQ z_Kkx*AAXxJf*qHoKf-y4%=%maa2K#X**C7whvBz}%|0!fJp!eVuomna*Wyw5?NPIZ zMYG4C^fA_gedAg@4!=Eax4<+TDG4S-vM>_I=Ue`kg#dRU>yv%s`dkFREi(JG#>G#0 z3ixe>g#`Q-p{+h8R}FuRu7*I8q1{$?+(s zP79_O87_cjPXN+SumQ1e+0l`&2SM9wlB_+|jGnE~HTzj45~K*<&k_+A(Au~>s zz9akYK(uI?qIHVa4@60I(www{&)H-dSs92HTUhL=VtIjR@g~JP7w;B`mgrsL`Vzwe z(URjz-d}PWe4Z_(m5KzSrMi^5s?-4Ze6-XPrJf2zOV=rVQR#MpXqjPUCY6~CpDW7b zm)RDGmi@ZynXr(C#_&ikZRJk7m(efGPOP4PPpUcW`F8?%qzE%FS@?Qj^ z75Y{fR$(}NZmsZgg;(M8(+Vdm{1Av%OsiPDVk!7MRmoo|DG;qxtWvc~H3HGfqbuK2 zc?x`fQ2A)(ZvxROYL(0?+3>lp%8n|}1fo@ws}`+#K_FW5#+tX)ydw~;RlZjJT8-fI z@>)Y`-2k7%&@~z~}e16KW?1qIF8tsZpnPAX;y4y#w{$htK2ney^_t zqV=2C?^eGDe16+NY2Xh;8@$rsV1thX(T2MkzR_@hAli6n9k89zjQHtethY-m!1kl zdv@%3MbE3?^QE32^!zXoz3igPdS2E$5bf2iSN~qu!slJR=JuK&i1z=e|B3!51JQxe zfyV}(2t=>@>bhU9`z;V1k~XCH5a7?S8pB!)YaNK*c-f8D-8du=9W!Cf)G^Zo(XmCw zRvudoKKqRwKK3T~+%R^}*u8=1IRCh;aYf*>*SNvshQjBXaRuXc!sl<}gX6V8bbPn* z{l`Q95yn69>xpM3f*ei?Pbxd90(^F#bj_qef#`#~AAIY9eOl6o}54HskRbK&P26&HP{{&}HU#v(#A$f#|HFv#QLx5I%35 zb=xeEt69&^dVAKpf#~e!v%Agi0iXM4AD;bXAUdb_oa^Vn{F!stoVjxV&$&hBR-OxV znEUYDC+BVqL?23jsLVs<1JQZvyqtL;C-b__yLR4n@cHPx4f8eyqVq%Z%gqNq&;Mfn znfZYKf(sVZT2L20w=H;m!CQgo!eR?+E(Crr?7VQm!h!I)ZsG2Q&%x(M3r{VCdA4Zq zqDhM;!{=vcwO-bHSzq`Zz3l#F)8O;?a&QOY<)}kd}95c^#FJMnI|)z%nU@I?E2)jPXZmETK?3wr*^>SsSU{+0)gm; zH#U5};Yc95@t%#3Y}w&Z-vhvwxn)J4@9>t+p=}bcKAH7<>(fe*ZIl$#q(i4 zcaV*NrHKuOLDTEjoiDk+Q)$=Yw{%m9mjdN=Ba3IWJ}3dH2VhX6DXJ*y=Dxj;=x z419i8xk|a<&%_tw{HFs(cx%rpCH`!@P2AfjQ^MS!l=^RUz;)tVt(5t1bz*izrRTre z3F3{`=4~iv#!$rVtjav|FaHxR;qKA zD*vmYn!{x}MU5!c{+Au|oflQA|F1hY5uiHg|D|&z;L2@E&Hs<_V;cOwA&|yK4!Z1~ zsSW8r=yKOqsr~;&9ZaGBS0v)0Qr-VgDq%|fzatWxTGN&K|KHTY z6#GjcV=YCC=(JO7!3_Kpw+nQ*=lW-EHU2UP(aj!gpp(;D&>b>WS~tUgfF`J`+DhZU z3f-`X{iTpfH0Vc(r%PKCrO983YM63=ImEE(&{1jjSEC!I-Cq(pOVR1ur^l2Qe?<~v zGX7-|jJ?uUP+I*}sf#K6mqt=T<-q4`(V41T^jD@bru1JPQ3^F$exuNMpmUl+x+%L~l&R1heID zKM-A|0I@S zw*BJ!WViF`J{67KarQ1pa0ka zntBxum?Km0oVtb`ziQ9|!`in{ z8TN1DJ?8(vZs0!iII5I#2EefrnxflyvW!hgV&(*gh66M3F8u- zThEIQFFII!&J)KWKAs{p`Me0ZMF@-0c>;Nek%uTXQ|>q~O5UP`#pyhe1mZ-A#5UxX zEq&K{c@oPLmZ|fElE{>&s=DXAXvswji`RK##SkyI>bmc|e8rY8EMw;h7Ke;^sIrdA z{pUq3K2gKscAjW)iW?qq5x-{7sSE~A{NH;1Uv^}bST86UzJ(sf8sX8|A8(Y5iJOR~vk zv&kkaB*7)P6^9^2gS)r5LveR2?(XjHu5GCsr4&jFv`~RUOADn6l<#>G7M7d4@5e&$ zX@5L3^S`rac4y8xbMNlmji+y_$$UuP)cfahF;n^H!~3VFkE#hx1Rv#uy6-x<{nu+M ziP_3k6US9O-BnF$BDpIk)%}Iv_}@yw*Ma;}h`GvZ6VGcsJy%U^B6=<-*7Iu#<;8sE zw~6bwp1!LlH<5i;=f9?$0v{bE-XF1~dG#-T4%~Ows|kKYPT`SG^8n zAEW1U;_v<8&O1M)y

t;|&1MAW)P4n1g_mZ;A8xLjm!p`uwBix*s49sxCIFsCfKQ z;Taif0iHl)Z~@#G!goI0PjXFcR?+ZeqQNsF)FM2gh~Of`e^?dwSAjHtu~o&xlZyw> zm{1Gx1Y?2=aqmS1&ZuxVm=-0rs{nbj0pb}XYB8R0ko=E8*{K5M$p?yOu&4!j3WCLJ zz@!wrRlqzQ0pl4oYEhn&pz#(s=Hz0p3Y@1Wa6E%YEzDCCJX{#R+kfZg_BXPoiTx^c zp03dG3?H>PPg(fHhfw@q)4u&yg*qYB~;6-Q5J z9C^l)TBN5mmJ-I(TPmKO-gxqiDYZ~faZDwQtG88LJ>7BT8Cz$I_Eo`V z_*li=vlVxqv8NXA8H+tGp8Mxw?);(RPgMv$dm-obS+EGtf^ z5PkMT)H6)gf<6af$_4em=kNaFd(-&yZYNc=J|EHQ8L?_npOc8?q8k3S?vmnb6}Zn& z;CcqHTG;0(c)75;GvrutT7~ZO6}q0`s}}b;3*Y!XIR4v(A}3FwZ+}fUmH0*l^79wS zp24gZ_&E$_E^t6xH6`)=GS* z;`#ZFXLn4;#wG8T;s>?Z&-Y^AyWnZXd9~or|AM<0{gwz&3(m=S5&k6!2rkY)m=OOl z)lVwUUyNnFG#F&(fw}6d;FVgQWKX{$iFxt?+*L86M30O{Gt~B#ajG(902#F z{Hh$_#d83+6YxY|Ilv3F`E%jj|1_Qm#GmzFQAyzLytwD*MJZ)K&{s?Z$_YGz<3(9~ z_v?&%7vT2i?UlsuDj&SK`G79r&I!s9Uf`TSN4PgH{Gsx~i=7udbAxh*7d$uU^FufB zm&y++KX~Q{m2FCP30WQ zIXs=i?I3*jQaOh@)421|hTBQ*J?pro@`uVFZrAWE`EFLtnq^EElA|au($*dI!C}+`6jA|zgp7@M%h67#jV zGmdf^m2vJX76c+zZZ=kveGHvHj0)`m8Mjh(tC`rZFj0t&ZJV+L!~M@ zo>`x$Zl|vJ-{hzvld6oRGM29y%Ba6PYbl3PS?i&*R-A+BGuQ3k4T+YKDrc#jWt4*+ zgt_yUaw?U#5+`qA9ur(7B6ro;olGlSKssHsjcLGxmrV?19 zCono5{tDimOUU*zjml#xkNvNF^f1TVxlB2k%4Lrvm(e*rPRi1$WTukY!z8T#@3HQL zrW{Qrv`3cE=!BjpY5&M*mDf~W`~Py&!yb3%Hsx$8w>{F_rq6HDGNa0GD!)B!{<@#X zyK|g!IF;ibd5)t)`rUrB?e>SB(`9Cr>Qt(`pH!6?ecb6zIh{&(k0ssFX+7SL%c_!{ zN_L5n$nMvMJK-tEQwi^}B|JK(=lgZ-WpHI^K%8`{LKThXM z?6K}Rv*;yjD`!^DoY)Tbxb59_=n}H7a%knykJ|ws#dvp}I#$+KPOY5!Q8?L?uzAO^ zWqJ9Ea%|<;Pr?Zw)tGmj8@E$7RL-rO`%yXCld^l)!H>ws%E6U`KPg9il;hrY^3}4b za&qP5kJ8zmr0u(o9xIzGM^}#iB%Se5kA2tKC&`w|*_E?DYKME$_U}4;C)rv#ymI&_ z?U0XS{JTycE88lkS5E&pobG8D3mPqSe}82~y#mtjg6Zb};f;MZ~c#bnt}?H5lbH$7=LP~#h~``s(< zOmct<4&zBu&hz5Vi~FQX1&2DRQYTe9ABa1-3dXeHVm!Ybr1p;&H&3cP()-%G_Yf5z zy8NM>#=FzJP;QNVU1Vm_DFl-?w#lZ!jug z)CQwITDcD&@Vu9$svNEM8Q=1a%Fi$Ee7W};6*TveXH=lPxPjui_MZDqv>dDU8|4?_C5QzZ`-h)&CsXa(t0zH5a=q`n($jNFedbpINQu>QKf835L z-u~&BsVb0OTt`qz;NjQaeQu`$=>hh2<$w?GfX~YudfYoYU2RIrFP;~_ep0W)y)mg^ zQX7+c)8Qd~!1Imps&b~Jr1JXnG_HG(QUUdl`RRFb3iVnZJh^)AQ?2D}wNE|x zR4O-AbLZ&;p6{B^Re`0P!_zrb{o(wlSCp%&b1dZpcYMI}osGrn zB=N<}wQ7%j^y}_ESyVx$vhSVjs{$`^1JC0fkELoeOWe6tzN+Ta(+AuenhG?vp{Wh+ z5&D4VJ0X4Ka<#9iJnWf=RXy?j!M(SsV0(mlO*wAjJMObMr=I&;v|Oq7H{}-3q8mSJ z&)L1lsen^^oVtE{3_hUyA)BRgwc6^`R`;xJ8_(+Vb#Hen=pI9=dsdx9J@3R#$oF2_ ztyTM7;!dw}S2dsi%Lm+ho(ep*=c!A)$LIsPOTEK#z1sRzs{UW8S{+M#|8Q@AD)=5_ z>Qj!K_>TN6&S?^UODA+>+)oWxlN;6kr`+OMbmM3JIlK2j6@Y3FRF{BHzz00{!SZsm z+6UD>_^j_K&+7B%UKszkFEYukDiBp5KC6!WJUnmriKz<2CvZ~oJUEbg4T+P$<36Lm z^G3~fwJ#>lv?}*h^Z1}X;NBZmFsi*#y=n7=eE@IZd>#Km#&uCLDyI+@O*teVmk1(6ciV>zsC>My4 zrcY5G6QcrB3Ufd zG4Lmpm&GJ=4q-MI5tGdwP<9nl%v(?%5>w4rQ2r^V1(g!!pz30J&`^{k#f+e1D9?$R z7P~N8BE&39J(R7)Y|BiP^TZs>2PjXAxxtwC;LKuPa9xz`#Qfl$DBl(fg8xK$T`aWb z7iMdDvB)|a2Fj z+7V@cu_|;u$}M7b=r6)-6Jm|60?K+~tqpc<6T~{(NtEZs`mhLL4oe|6gyH#ywHF)1 zR-oK1Hicai=I{WqIlLsw+G0yM<}ZAc*cy)c3;$7Uv!@YedtR~K-U;PUvBUlm%CE#u zheenjHnGbAe{wVuyB+W!$2zgcaUSI#VxO~}*zf#Cn4RB?gDxq|E{8bef)Bb%i#J`9 zQ7#m3xsHg#NrT1PNy`ay(#qo9q$5zy7Vjl}7v)#t{RsF_L^AO~WFKLU949`E!W=~1 z6dxzcC(Ox8iBFO>K-pLvO|}N*F7bIXtZA~#;#l&G!kj#xIG%jAIFbA#@n!N`;$(_K z;&_Vc;_DRK#i<}#n&mZ?kT&9Z&QAT@_TVMRcUc9)fDkvs!PJ0>Ob*) zYS>P_U;L2zvM{HS;>R?24QWb?^J!y+Ic)=RA?-Mn3&l@qkD&ZcTuirBnA5!@E~TF% zevYm#eu-Wv%+XuKcHI$)+ z5XXhKOIsnVL7^KmtZ*)2E?i267w&~}jIn{9}bi||*=9u)-83R9zX(e4TyHOsH zNn@@GgrSTmQddS6StFy0N|~%^EnzNNUnVaKe<`|5rYL#}<*zbju`I$|tf)*?3^}CO zSed%mK9q0BG{tTRbMa7_ws-}U4Q0CG$RWiS$n?eGv&FxW(J!Bo8A@1WbcuW@56O%r zQ_Bn`E23N~%q4foOr`!6=F)*ObJ=FXT(-5$QWk4hc8km!i`Nu;LuM<7amy8z*~_&@ zIZ);(hu2i@Bbl?@O<^vt$z0_zujQ-Bm&y-8IYQ622+ylgep$Cs7nHBddW{aEJSyuq z7Q)=vE*muICtqn=Q#NQiP?(!emajI&dN%z=Hf+{Sn467|jhdlBAc|V zA)B_EB%8GQT$o#ZDVw!EAe*<@E1R{sAk1y9%NA|V%9icU$QJD_!rVTkZ2g)^w&~z6 zTX)DU%pJVTonDjeJHZb+ZIQ2a`T^zNvO{OAW9PE6W9MG7Q|ErN zQ=v0OL=d3MMRxoBv1VIG=WE*?4v z<#@SdC}M5sTXN|zn=lVcDVGhain5kmF|0evk#fzjV<^wbwXfUdy4P#S^{-Es8(u#m zHw_P!+lE(>+lP;rdxoEtdq<>}`$jaD`$ue*2Sx_SgCk#&hemFcZ;ZSw-yBs^zBOuw zJUr?P`S$3{^4-y;wBXS*HS6f(TF96zTIiU8nr+NcEo^L<7CyF`W*<9IbBz5&bB;?Z z%;Pd@Nyp)}j%%kyj60x3jt|$O#<$Xvjo+gspO8sQF>!>Ja?+bx>M0*-X{O>eOm%8$ zry{ASSd)6b&3re&Ft zLzrij(6Y|xhjN0JZN_^jzt*zPj1lIURkR#4ac(s8w3c($W-Zt3ceR)1%+hkt-J#`~ zcTCGWKeLu=ehDq#{1ICI`5$V979Q6MFB+`HEY6}8Su##5x-`F5Z0WaJ@nt!*mzVX| zN-WQ&m0W&GE43nvR(53_Eq3KHt=y_1TKUxlv%SoHh-qQx}~GmaLYxl z(bi&GZuw;HYz&-t9@uHH49Tm4 zodL_7yb#zoV3|_12m2N*Yl_-nXTh?h90zs|EPKkHVBdjdOJxW99xP|dn_xeH_@PdQdI;y50)#{Mz9NDc~Z>=`w1*}>at)L!Sbcf1$GH6Z<;}1KZ6xW(-!O(u>5Iu zgIxwIlx8W|uV4k!-URy%EGF%Fuq$AN(^Uhz3RW~-VX)u9ilqAk>>60{bl-se0ah%1 zIwhtCRU4 zSO{4C%&Wmd!Rlp624(|$C5t~;7+8axL%_np8s=;dW(RvUS3xibSmRu2!JJ@?a=ixT z0&AM94p>sKCb=+w5fNa`b7B4>BEg#F`UWfttYxnE!IFWscqsrZIauplSHMz$waWbx zSW2+Axs!pV0&A1^OR&^n?eo3~mIka{J^_{%tV7<5VCleK%U1&|Jy@rFMZluLI_6IT zmI16wzF)vHf_2V+1}qa;xBTycWd`e7;3!xYupR~Wfn^2jUT7d#Hn3iW+JI#T>sg5X za)9+I#C|!!dKZoa%LUf2a1yYW!1@+$0+t(WK;a5tdBFM?UI~^LY*69JVEMoX7UsI; z2OCnD>sA14a17!%q9E9?7{qTxA+Vt_Bf$!T4Ug#p76bNr%-3K=z(&Tr4OSFvL=pN+ zF|g4^=r6^=MiuD|_A=PmBF(@`fQ>1_IV}k`z6j^E6xg_;X~9Z^O)MG=Rt9WB=?q|H z!6uisfyIJNDqRJv9N5&-1;NULO(}!i6j1?edKu)Vh>Bp-VsnF40-G6|9IP_fj99*o zDqyo?`8ukC&8oN_tQy$diZj8ggUzXU3#`8z*s40s!J2@rty3ASDcG7iGr^jHt*j<{HLrt(wV7q!w0P764w`Xs#E?|3lWd-XBw!fDXtQ*+AUR}VtgB|Sk3Rn-Y1HBG| z^#psP*9Nd&V264q1?vs=R&NQ`2kgz>?ZEniz1_POSU<4Cz4wFl2Ya{oO0WT7@AR>O z4Fr3?_rG9+z~1Y_`5O%OVIR)l5U>yW>;xMM_Hm!ZV8g&Z>hmAi>tLVuxd1jC?32FO z8zV-5ebyIyW5h_XBYipdqrg7z%efy7cC_y$urXj?^!);CEZDJrg~7&wo#>YyY&_WU ze$BupfSv4D32Y+Rm;LB}lfb_2NB^4)_EkUZDG^h^PWQu}5-}C*RR3tOX<*;<4+WbJ zcBX$zuo+-y`&R{<3HI&yV_>tuz8il4Y&O`r30%uLU_VUYTFwRgenJPZd0^)!)CHRl z_Tz-uwpj#6?6CMrIc>Vg8nIIplVW;$uw7tDrU!!U2GeFlg6#qGpMDc;FPPtqR$%+U z0%lYI+Ye@%u@&q9n0dxbu!CTMGc$l40<+8v0(%22Xy#3@H^Hnk&w{-L7Cb8t*kQ2H zSxLd(1`C<>8Q42uVY9Y_y$fbrkQ?khF#7@**!y7N3tE7E0Onj!4(vlP$AY)OJ_1X+ zU?tecV6Fv!fPDfMx!@$&r(h8a2Z0>{OSZ5%*k@o-3;zW>3YKEw8L-d6k}t{zb_^`l zA{*EjU?~?Z13M0uX3;3H6JV(q9S8doEZw3#U?;)SELO5MY{qaYD=L8f1{Sj-2iO&`!Yg}%T?H$;vH{rdU`19Q2D=7U zeB~;zKfsEuS`79lScz4`!Tth!d39Z|zrjkajsg1ztmNvoVE=-ZSv?W#I#}s7#lUWW z#jZ&Ub`z}Znmu6uft6pg0PGf6xwV+*NC8%H4dyvgf>l`i8kh!Fd2KbYBw&@+9s=_N ztGad>m_JyRbq+8SSoO7kg9U(9TmLdxAXv@y>A}okHP+*`MFxS@UXRxnX#uOXAsj3i ztnT_h!K`3)HXvpqL%`~9K+Hsjg4Nr&56lMk%Em=tVPFk51%ZWwHQaa^%ntVIrt@G9 zu*RD{1apEl+B_f31=e))5U`|RO*UTvivVlB`3tZ}ux48(fJK3|+|m^+8CZ+093wec z>n$831z4-CjlfcZwcT10EEQOrt$co|!P;--^GgHPZkr7(Em()G*TB+&y|%3dSbDHd z+sc7OgLT}t3oHXzmu>UFGJIFAST?X; z+dl)#4%Tx=DzF@2eRd=P%L&$d$9}L}VEuM1273vt?~bcrxxohPI1ZKvtpCn@V0pm? z?TiG=2R3l$7hw6phV0x8Rsd}9uFPNs!G`U!f)xTAy6Y9N!eGO96$gs}dwthK?>uWO=YDdysP?D}YVkgPapt5p3Gt z++dZ!X6|)?RR)`}cLi7#u-SXZfK>&Xwf9S~YG8Bs?gOh1HfJAwqXyXgz4VQmVDt73 z1gizMa9=a9+F%RzAzww-0b9He`6{w5*rI*NSCRF=mhMBoimVT|WPcm524KtgR|0zl zY}x)fV6TF$+&>VkA=ruo$R&}Dz*ZkXE{SXmw(3BCuqI$@4>SR53by88Ww2&o>kqyJ z)*Niz!8Kqlz&0M70M-(013o?rj%)?C`5^LDWNWZZhv)}wz_uQuAG8JAa%c)zJFxAC zdV;kF+a|=J%ciuZba?+EGH`w12BAe|$ER`SL=yauPvx>?&9=+-*{;YQo6yqud7Ur` z3GK-DEJW(~UO_YQ2>uroGz&Ez4+@$c*%Oa=33Y1{bg z?iuLQI|CgN)r_uzsMoy$rO&`#?L~ z16iATA84pdELr1n>LlGb zVsP9zuJ}$t?hIs(%cL?clbW?4_;h?04Xf_e@)W)0A--8Y9d^dcbDpNRyw5kwKY6u0 zLvMM%Z6_)+UM;WETmITN%Z66 zvTTp^YI%#^vc)&c>AYIrrnemIo8_tYX@&|g$(|ohs)2ro=^p@kVv=W>ZcsUn! z4)JRF6TRj5ORM{~Ji@ExBYMm6*HZUwd8}8_86 zAJpw|dLIT>&}wdbNB`Z~1_4vvJs~DyJ@<7=;$FX}D7>6_*6y;}ZRZ}~0XEdS!w@@2hcFISr0=Gpso_iuX3UamB~S-$1< zIbYRT)_gn5b$P!!zNWWq^=Y16R5?el8crMH~Px7m2ptK|s2W#8U~a9#6i zIZAIipKs6kAFq~^>n-Q^$#T+GUM;88TW;Z-<@R1Jr`B6;>5Ju{s5DV&g^c_7+l~)> z`5|^dmOW8fMO@y;=iX7df!YbH2oW|BhHszRg){D3s}U$8(N2akIm(nMQ=v?Q65qHG z=}|_b%qTL8Ea-{*gg1gTf?uQy4Yw2$p zWEp&i4UT`TWx7GX*#`YK8uZ(0(C?5zzrzXpSz9FRXKiE9uctx3z6Sk981x%s&~K(e zzqtneb{X{BXVC9MgMObH^!v)7-x*y$Q|K$9jqtB=-1;66Y`4?3JL=k9b?u(Ic3)k4 zphr7U9tfQnI?3%5sKHOb<{GfY25hAPTOYdNj=c@H?QJt)dkoki19sAYoi`ZwTEc!d zKLh44VCfUuvz0JlHT91TTo+a$tdjfnq81mUVYLicqp-$z`Zd1Yud4y;A2#4lzX7-V z4K-jR4cIsXHras9Fc^2P0b6A7*i{DoHW>8VVbE`{LBBT)`n_YoJ~d#+4cOU)aTfN2 zF3ylg!+tjC_nSe#KlJ_Z*y{;dxJ>w5!c7MKEIMWiPZpjsJlUO?$8!tMVqhz`?s3TH z;rSCj-|!fNe#H&?l{V;C&Y)jqgMQTw`qeY&_o_j^rUw058uV*t(66IGzitNodKvV) zm9U>ZNy2{ieH16JOERWo394Ol}1*1~|bGhjUo z*dRR%TxU1PLH3ym&qeI>4A@!&wkKhJupcyFhYi>X1AC|KXWY3IwYYO2`#Jp@;dT6I z(C?x_zsm;wt{U|F+o0c#g#8?;6UMp2!0#Ofe(&g>(El904aOLlaIPFf6Fxu32!qFt zG3YnJpx+dOelraE%`xb=K;KUuaIDbpvtS126^^Y2{dOC$g9hwv1NNcb$1(0_2JD0Z zJ7Zw)2LpD=fL$@LcOxNl2I*PgI;Sn6-#b$qu*?a4&6y*i4>@z|*8^h|H0W2vpkGOY zF=7oKThZXLO%nR4v!#K(b_T4I0qbtS`skkvUfUppeyly6rHn4ZVpx>JY{oXa`_n|?*BL@AB8T31;?}yiMM$hn^ z4RW^gyg|Q924noD?}srGoYP^9e{_$fK6-(}K04(D$!{W%_)&ET;P zgU2Q_=$Fc%UwVUnnGE`6*Z0G7NpLO+R=}WN5d&62&oBpN492Zs(65R?zghKXKF zXwa{zLBCc8{n{Dy>!iO%!|Ukg>h3;YKrQZC&Nb3C>JA$fe-7aqXVA~!e8#mkVIFqv zF<=J`*xLr|^Mw1S>x98;`^(_5Hxja>Z4FpQJ(CBLZq)BPVA~DY{)Bs5(ziTWR3>p1 z?_hn0cc%v7ou}EBjh3yJLzctV7S=Y_p4PtB5!Nx*nbx`1UDkcp53QeCzp|deJ0k5u zJBD@*?HSrPbRgcZm>aq{bYyjEDFu&!bK z!-j^93>z0VIc!GQ+^|JqtHL&f?Fic&_D0w{VV{N_4?7$7L)gz@zlHq~c0F8%o5C%) z3d<6nJ3N1QOnC9|(&6R8D~DGPuNVGmc+>Ef;qAgZhIb3^6@JT}#Gc%q+MdCl#h%9= zV=rkhZ?9&rYj0?8VQ**eVIO24X`gAIXJ2dIV?Ss=Y(HT?Z9j+e%Zv8Q_N(^4?Kd2$ z9qk+)9o-$h9RnRh9U~lL91|Q<95Wnq919#P9P1rh9lIR|9dA26bbRJG;W*>?!EwoP z#c{(K>-^Ap#Cgnl(s{;t&UxN>$@!b}59dG5n=Z{|a#>t9m&29J zmCBXgmC2RemD^RoRm4@oRmN4pRmD}yRnOJX)zsC>)y~xkQ8E${u-3KJwa0bP^|tGC z*9q5Ot{X|)CheGXW76$O_a}WTD!&k}Po?miXia0uDxJ7JOIkCVVx%=2o4BV+T643R zpH18dC9N@RHenNYFG*`DOKr)oj7V!k9I!RO0T(``lD1daPXv#WyiZM9!&t+8Wir@_ zF1#002D{M3@*kSvxam^{hoc!B$)mWRQU*t{855jR2Ir+zrj(`hpfrXAO%IwO`7J3K z^l{K9l3!zzmcXDBlDFc^pyRCJc7JJ^45PelTv|rq>GI1#(lUXAx8ebOVlFMUcmQ8d zl9uK;V4H-en-p(tOWVNU8PYbGYyg-GA1g>(f7bBPg|ziy4Ik-9OPDE}w4|{(q;(KF zTc^UZ6L&O8>p0F3z8NB|lXzeo58#F*X`Rml_$G(6Zsvh^c>wnlN$UX~z&9_X^)L=t z(!#R!IFI6mEen~_#dG4FGcA^zwR z638ZQPLY;$c)B4LvJf)dRw6@^vKhrD?gfz{sn|@*CT`%6A(>gj4Hq&b7Z2oN6L(3- zkiuwM(&L$i6eYv`4Kkz}YnZB0DA{R_b`A%4V}T6i425zwcsGCyy~Hkm zv&$_qe2y82J zR*(nEu!)bBrL78U_$XLfGSO%Sn&L#*n(_cXZ{E#tl>i?X&Z|g zKLnDt$p{?V6w37A&!i;_MhnCDOQdZHo8d5TVTfBQ@c_OaA}!U~oXZ1i!T2Q!X_*Hn zwBWmM(z1$2_wfKH+p?Vp@Le@&+06rXEU4ujF6~ho_?-PXYZk7Ng=@sG8A!`_Z2rh* z2{zBOd67-r&@U~Q*i1%Km&t0A{YrL~P24{(Ex)t*C!4sHS6cpJ^ItY`r@FLUM>9AD z^F|$rB{@t5cVM$Or5|Mk8N=Sra1Nf#<`l|Q$}}Fp?Z+~BI`V-f1=%bbor`AheAX7R zwuH6itgU2i4PJEcUUuHchoxlg01v#uE^o2+4r}kR_91H@vvv$i5qy$zii?tpR=#2L z9OVZ--3yc}Y+j?>MAMoY{jB*Y#W0X1u34PTmnkJEB`NJFT`@VlO;lRjGoj$ic+%Pl zgIkC40PgaX*8V(zFVD%~e>oagpEpX&;4OTT+u6k3%`$iwo0s`C{$jsNlp}18(BmqjabA;L)C}^`i8q^r7^n^rQ4=mjSE|qzs}ArVQbMp_E~4zD^m=<_OkC za)w5+HkvYqGL|xq2gXw-P$p6)Q6^KSP^MC*vGa7w49ZN(EXr)k9LikEJa(Q>SwLAx zSwvY(SwdM#S;o%GDJv)|DXS=}DQhTeDeEAn^jzBYd@&oyHc~cGHdD4xwo{5cuF%$E6QO?Z<_jzwSKI1V68u8D4T6q>&RM5)>=@yQCd@WQTpO2PRY<^ z2jw7TJEbv?cB0&Z$UureC4gdri0+iPDSh~eJ(K~I8f48O(vP*zD1#_Ncyu7837a)p z8%+6-v+*wFBg#ZddmcE;T3gCFHoLI)8l@|nZ?JZfa*8sUtOBJ9r4pqw#FXEZO_*>3 zBurUZ%MB5KbDsaDyvfJDLz%!wjHIlh93q=d8OP>o%6iHg%38_>%3OBo!P+LuM#>7x zd>&XtSxnhNHjlNiQ*XJv$LmA7?V<^Mf97cJS)82s6key$l)S^tG)TT_OOrp%hV@(T83x#R1X^99p zLHUw$lJZr+*COB)Yo{q^DBn=NrJUu_bF6*G+V`yeK>3k!o^pZm6Xhc168rs3`Gs!hbD*SXlq3{Cia*6f z37`aG@IW&qh+?4xQ>?TS!dfWBMhT;YQ|uH6#Yu6ob5hnKD3O#XN-9b+N^(jHN=iy< zN*YR9N;*n-pxDVmSqq_9*$k$Hu^En1e z-%@^{e86XjFNHL|0WA1Pl@u2N1=-eZ@2lq-~-WCtkw+5DdJ2}JId`-Bz( zNm80po>GNUol=WZm(qaJn9_{W5~A5zYeQ*I=}759=}zfI=}Q?v8A=%r(Oj&JqKu_X zpiHLBpvp)7}J$yi%OSxebK*-Y6+*-6<$*-tq{c?+VYWbGZw`;?C;pHhxe zzMyTuau%YcW9@s&dCEn~FO=UXzf=CC{6o1xxdqWOK>Rd{KP8Z2p@dMvC=N;mY`NWwgSqae4esQ_fL-pm06>xE_92DSuG@rNpg5 zQPlkXC}s-h-k;9uA3>ob`KP9Ek^MQ@{@Eeg%dGJQ`t#}fbDsT6P|8v`vHsO4wJG%| zano6fwPuvoly;O(6o!F+AId<=Fbb#6e>_BsGdhLMnUr~yg_I?fm6UZ9&Y%Al%1(&J z2=(7X*-v?s@-F2A3g^%N2<3Ciamq=ER*5ybw?Ez6pPubc&-VYB@+*Zi>HimnGwFX5 zqE&_9=Z_#sC?*Q$)WkV8(VtE9XH!y${2ijzpm2sX&X5*2L!2C~C8Z68zNU4gbb-jf zS>s%3y(oPt11Li&bUkehC2rQ}aN2Z;yw2Jz%3R6<%3{hg3g=H-Ls?JRMA=H=i_vya zc2o9I4pKOi+S?R*qV^$$GpTVVHNJd})2YQx=PA~{p`4@8C$$TdOO&`sxx!i?ZTgPG|Dtu bn#SP~Vj6di>696i@{p(kxIZ_EzYzZiYX