diff --git a/.idea/modules.xml b/.idea/modules.xml index 4f1654a6..bd3d2d8e 100644 --- a/.idea/modules.xml +++ b/.idea/modules.xml @@ -4,6 +4,7 @@ + \ No newline at end of file diff --git a/el2_exu_div_existing_1bit_cheapshortq.anno.json b/el2_exu_div_existing_1bit_cheapshortq.anno.json new file mode 100644 index 00000000..1c937039 --- /dev/null +++ b/el2_exu_div_existing_1bit_cheapshortq.anno.json @@ -0,0 +1,30 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_exu_div_existing_1bit_cheapshortq|el2_exu_div_existing_1bit_cheapshortq>io_valid_out", + "sources":[ + "~el2_exu_div_existing_1bit_cheapshortq|el2_exu_div_existing_1bit_cheapshortq>io_cancel" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"el2_exu_div_existing_1bit_cheapshortq.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"el2_exu_div_existing_1bit_cheapshortq" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/el2_exu_div_existing_1bit_cheapshortq.fir b/el2_exu_div_existing_1bit_cheapshortq.fir new file mode 100644 index 00000000..851b923d --- /dev/null +++ b/el2_exu_div_existing_1bit_cheapshortq.fir @@ -0,0 +1,2244 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit el2_exu_div_existing_1bit_cheapshortq : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module el2_exu_div_existing_1bit_cheapshortq : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip cancel : UInt<1>, flip valid_in : UInt<1>, flip signed_in : UInt<1>, flip rem_in : UInt<1>, flip dividend_in : UInt<32>, flip divisor_in : UInt<32>, data_out : UInt<32>, valid_out : UInt<1>} + + wire run_state : UInt<1> + run_state <= UInt<1>("h00") + wire count : UInt<6> + count <= UInt<6>("h00") + wire m_ff : UInt<33> + m_ff <= UInt<33>("h00") + wire q_in : UInt<33> + q_in <= UInt<33>("h00") + wire q_ff : UInt<33> + q_ff <= UInt<33>("h00") + wire a_in : UInt<33> + a_in <= UInt<33>("h00") + wire a_ff : UInt<33> + a_ff <= UInt<33>("h00") + wire m_eff : UInt<33> + m_eff <= UInt<33>("h00") + wire dividend_neg_ff : UInt<1> + dividend_neg_ff <= UInt<1>("h00") + wire divisor_neg_ff : UInt<1> + divisor_neg_ff <= UInt<1>("h00") + wire dividend_comp : UInt<32> + dividend_comp <= UInt<32>("h00") + wire q_ff_comp : UInt<32> + q_ff_comp <= UInt<32>("h00") + wire a_ff_comp : UInt<32> + a_ff_comp <= UInt<32>("h00") + wire sign_ff : UInt<1> + sign_ff <= UInt<1>("h00") + wire rem_ff : UInt<1> + rem_ff <= UInt<1>("h00") + wire add : UInt<1> + add <= UInt<1>("h00") + wire a_eff : UInt<33> + a_eff <= UInt<33>("h00") + wire a_eff_shift : UInt<65> + a_eff_shift <= UInt<65>("h00") + wire rem_correct : UInt<1> + rem_correct <= UInt<1>("h00") + wire valid_ff_x : UInt<1> + valid_ff_x <= UInt<1>("h00") + wire finish_ff : UInt<1> + finish_ff <= UInt<1>("h00") + wire smallnum_case_ff : UInt<1> + smallnum_case_ff <= UInt<1>("h00") + wire smallnum_ff : UInt<4> + smallnum_ff <= UInt<4>("h00") + wire smallnum_case : UInt<1> + smallnum_case <= UInt<1>("h00") + wire count_in : UInt<6> + count_in <= UInt<6>("h00") + wire dividend_eff : UInt<32> + dividend_eff <= UInt<32>("h00") + wire a_shift : UInt<33> + a_shift <= UInt<33>("h00") + wire shortq : UInt<6> + shortq <= UInt<6>("h00") + node _T = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 127:30] + node valid_x = and(valid_ff_x, _T) @[exu_div_ctl.scala 127:28] + node _T_1 = bits(q_ff, 31, 4) @[exu_div_ctl.scala 133:27] + node _T_2 = eq(_T_1, UInt<1>("h00")) @[exu_div_ctl.scala 133:34] + node _T_3 = bits(m_ff, 31, 4) @[exu_div_ctl.scala 133:50] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[exu_div_ctl.scala 133:57] + node _T_5 = and(_T_2, _T_4) @[exu_div_ctl.scala 133:43] + node _T_6 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 133:73] + node _T_7 = neq(_T_6, UInt<1>("h00")) @[exu_div_ctl.scala 133:80] + node _T_8 = and(_T_5, _T_7) @[exu_div_ctl.scala 133:66] + node _T_9 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 133:91] + node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 133:89] + node _T_11 = and(_T_10, valid_x) @[exu_div_ctl.scala 133:99] + node _T_12 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 134:11] + node _T_13 = eq(_T_12, UInt<1>("h00")) @[exu_div_ctl.scala 134:18] + node _T_14 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 134:34] + node _T_15 = neq(_T_14, UInt<1>("h00")) @[exu_div_ctl.scala 134:41] + node _T_16 = and(_T_13, _T_15) @[exu_div_ctl.scala 134:27] + node _T_17 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 134:52] + node _T_18 = and(_T_16, _T_17) @[exu_div_ctl.scala 134:50] + node _T_19 = and(_T_18, valid_x) @[exu_div_ctl.scala 134:60] + node _T_20 = or(_T_11, _T_19) @[exu_div_ctl.scala 133:110] + smallnum_case <= _T_20 @[exu_div_ctl.scala 133:17] + node _T_21 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_22 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_24 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_25 = eq(_T_24, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_26 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_27 = eq(_T_26, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_28 = and(_T_23, _T_25) @[exu_div_ctl.scala 138:94] + node _T_29 = and(_T_28, _T_27) @[exu_div_ctl.scala 138:94] + node _T_30 = and(_T_21, _T_29) @[exu_div_ctl.scala 139:10] + node _T_31 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_32 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_34 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_36 = and(_T_33, _T_35) @[exu_div_ctl.scala 138:94] + node _T_37 = and(_T_31, _T_36) @[exu_div_ctl.scala 139:10] + node _T_38 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 145:37] + node _T_39 = eq(_T_38, UInt<1>("h00")) @[exu_div_ctl.scala 145:32] + node _T_40 = and(_T_37, _T_39) @[exu_div_ctl.scala 145:30] + node _T_41 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_42 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_43 = eq(_T_42, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_44 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_45 = eq(_T_44, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_46 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_47 = eq(_T_46, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_48 = and(_T_43, _T_45) @[exu_div_ctl.scala 138:94] + node _T_49 = and(_T_48, _T_47) @[exu_div_ctl.scala 138:94] + node _T_50 = and(_T_41, _T_49) @[exu_div_ctl.scala 139:10] + node _T_51 = or(_T_40, _T_50) @[exu_div_ctl.scala 145:41] + node _T_52 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_53 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_54 = and(_T_52, _T_53) @[exu_div_ctl.scala 137:94] + node _T_55 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_57 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_58 = eq(_T_57, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_59 = and(_T_56, _T_58) @[exu_div_ctl.scala 138:94] + node _T_60 = and(_T_54, _T_59) @[exu_div_ctl.scala 139:10] + node _T_61 = or(_T_51, _T_60) @[exu_div_ctl.scala 145:73] + node _T_62 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_63 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_65 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_67 = and(_T_64, _T_66) @[exu_div_ctl.scala 138:94] + node _T_68 = and(_T_62, _T_67) @[exu_div_ctl.scala 139:10] + node _T_69 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 147:37] + node _T_70 = eq(_T_69, UInt<1>("h00")) @[exu_div_ctl.scala 147:32] + node _T_71 = and(_T_68, _T_70) @[exu_div_ctl.scala 147:30] + node _T_72 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_73 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_74 = eq(_T_73, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_75 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_76 = eq(_T_75, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_77 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_79 = and(_T_74, _T_76) @[exu_div_ctl.scala 138:94] + node _T_80 = and(_T_79, _T_78) @[exu_div_ctl.scala 138:94] + node _T_81 = and(_T_72, _T_80) @[exu_div_ctl.scala 139:10] + node _T_82 = or(_T_71, _T_81) @[exu_div_ctl.scala 147:41] + node _T_83 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_84 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_86 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_87 = eq(_T_86, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_88 = and(_T_85, _T_87) @[exu_div_ctl.scala 138:94] + node _T_89 = and(_T_83, _T_88) @[exu_div_ctl.scala 139:10] + node _T_90 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 147:110] + node _T_91 = eq(_T_90, UInt<1>("h00")) @[exu_div_ctl.scala 147:105] + node _T_92 = and(_T_89, _T_91) @[exu_div_ctl.scala 147:103] + node _T_93 = or(_T_82, _T_92) @[exu_div_ctl.scala 147:76] + node _T_94 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_95 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_96 = eq(_T_95, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_97 = and(_T_94, _T_96) @[exu_div_ctl.scala 137:94] + node _T_98 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_99 = eq(_T_98, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_100 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_101 = eq(_T_100, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_102 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_103 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_104 = and(_T_99, _T_101) @[exu_div_ctl.scala 138:94] + node _T_105 = and(_T_104, _T_102) @[exu_div_ctl.scala 138:94] + node _T_106 = and(_T_105, _T_103) @[exu_div_ctl.scala 138:94] + node _T_107 = and(_T_97, _T_106) @[exu_div_ctl.scala 139:10] + node _T_108 = or(_T_93, _T_107) @[exu_div_ctl.scala 147:114] + node _T_109 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_111 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_112 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_113 = and(_T_110, _T_111) @[exu_div_ctl.scala 137:94] + node _T_114 = and(_T_113, _T_112) @[exu_div_ctl.scala 137:94] + node _T_115 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_117 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_119 = and(_T_116, _T_118) @[exu_div_ctl.scala 138:94] + node _T_120 = and(_T_114, _T_119) @[exu_div_ctl.scala 139:10] + node _T_121 = or(_T_108, _T_120) @[exu_div_ctl.scala 148:43] + node _T_122 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_123 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_124 = and(_T_122, _T_123) @[exu_div_ctl.scala 137:94] + node _T_125 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_127 = and(_T_124, _T_126) @[exu_div_ctl.scala 139:10] + node _T_128 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 148:111] + node _T_129 = eq(_T_128, UInt<1>("h00")) @[exu_div_ctl.scala 148:106] + node _T_130 = and(_T_127, _T_129) @[exu_div_ctl.scala 148:104] + node _T_131 = or(_T_121, _T_130) @[exu_div_ctl.scala 148:78] + node _T_132 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_133 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_134 = and(_T_132, _T_133) @[exu_div_ctl.scala 137:94] + node _T_135 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_136 = eq(_T_135, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_137 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_138 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_139 = eq(_T_138, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_140 = and(_T_136, _T_137) @[exu_div_ctl.scala 138:94] + node _T_141 = and(_T_140, _T_139) @[exu_div_ctl.scala 138:94] + node _T_142 = and(_T_134, _T_141) @[exu_div_ctl.scala 139:10] + node _T_143 = or(_T_131, _T_142) @[exu_div_ctl.scala 148:116] + node _T_144 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_145 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_146 = and(_T_144, _T_145) @[exu_div_ctl.scala 137:94] + node _T_147 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_148 = eq(_T_147, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_149 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_151 = and(_T_148, _T_150) @[exu_div_ctl.scala 138:94] + node _T_152 = and(_T_146, _T_151) @[exu_div_ctl.scala 139:10] + node _T_153 = or(_T_143, _T_152) @[exu_div_ctl.scala 149:43] + node _T_154 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_155 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_156 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_157 = and(_T_154, _T_155) @[exu_div_ctl.scala 137:94] + node _T_158 = and(_T_157, _T_156) @[exu_div_ctl.scala 137:94] + node _T_159 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_160 = eq(_T_159, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_161 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_162 = and(_T_160, _T_161) @[exu_div_ctl.scala 138:94] + node _T_163 = and(_T_158, _T_162) @[exu_div_ctl.scala 139:10] + node _T_164 = or(_T_153, _T_163) @[exu_div_ctl.scala 149:77] + node _T_165 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_166 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_167 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_168 = and(_T_165, _T_166) @[exu_div_ctl.scala 137:94] + node _T_169 = and(_T_168, _T_167) @[exu_div_ctl.scala 137:94] + node _T_170 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_171 = eq(_T_170, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_172 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_173 = eq(_T_172, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_174 = and(_T_171, _T_173) @[exu_div_ctl.scala 138:94] + node _T_175 = and(_T_169, _T_174) @[exu_div_ctl.scala 139:10] + node _T_176 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_177 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_178 = eq(_T_177, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_179 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_180 = and(_T_176, _T_178) @[exu_div_ctl.scala 137:94] + node _T_181 = and(_T_180, _T_179) @[exu_div_ctl.scala 137:94] + node _T_182 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_183 = eq(_T_182, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_184 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_185 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_186 = and(_T_183, _T_184) @[exu_div_ctl.scala 138:94] + node _T_187 = and(_T_186, _T_185) @[exu_div_ctl.scala 138:94] + node _T_188 = and(_T_181, _T_187) @[exu_div_ctl.scala 139:10] + node _T_189 = or(_T_175, _T_188) @[exu_div_ctl.scala 151:44] + node _T_190 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_191 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_192 = eq(_T_191, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_193 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_194 = eq(_T_193, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_195 = and(_T_192, _T_194) @[exu_div_ctl.scala 138:94] + node _T_196 = and(_T_190, _T_195) @[exu_div_ctl.scala 139:10] + node _T_197 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 151:118] + node _T_198 = eq(_T_197, UInt<1>("h00")) @[exu_div_ctl.scala 151:113] + node _T_199 = and(_T_196, _T_198) @[exu_div_ctl.scala 151:111] + node _T_200 = or(_T_189, _T_199) @[exu_div_ctl.scala 151:84] + node _T_201 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_202 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_204 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_206 = and(_T_203, _T_205) @[exu_div_ctl.scala 138:94] + node _T_207 = and(_T_201, _T_206) @[exu_div_ctl.scala 139:10] + node _T_208 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 152:39] + node _T_209 = eq(_T_208, UInt<1>("h00")) @[exu_div_ctl.scala 152:34] + node _T_210 = and(_T_207, _T_209) @[exu_div_ctl.scala 152:32] + node _T_211 = or(_T_200, _T_210) @[exu_div_ctl.scala 151:126] + node _T_212 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_213 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_215 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_216 = eq(_T_215, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_217 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_218 = eq(_T_217, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_219 = and(_T_214, _T_216) @[exu_div_ctl.scala 138:94] + node _T_220 = and(_T_219, _T_218) @[exu_div_ctl.scala 138:94] + node _T_221 = and(_T_212, _T_220) @[exu_div_ctl.scala 139:10] + node _T_222 = or(_T_211, _T_221) @[exu_div_ctl.scala 152:46] + node _T_223 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_224 = eq(_T_223, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_225 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_226 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:74] + node _T_227 = eq(_T_226, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_228 = and(_T_224, _T_225) @[exu_div_ctl.scala 137:94] + node _T_229 = and(_T_228, _T_227) @[exu_div_ctl.scala 137:94] + node _T_230 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_231 = eq(_T_230, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_232 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_234 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_235 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_236 = and(_T_231, _T_233) @[exu_div_ctl.scala 138:94] + node _T_237 = and(_T_236, _T_234) @[exu_div_ctl.scala 138:94] + node _T_238 = and(_T_237, _T_235) @[exu_div_ctl.scala 138:94] + node _T_239 = and(_T_229, _T_238) @[exu_div_ctl.scala 139:10] + node _T_240 = or(_T_222, _T_239) @[exu_div_ctl.scala 152:86] + node _T_241 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_242 = eq(_T_241, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_243 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_244 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_245 = and(_T_242, _T_243) @[exu_div_ctl.scala 137:94] + node _T_246 = and(_T_245, _T_244) @[exu_div_ctl.scala 137:94] + node _T_247 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_248 = eq(_T_247, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_249 = and(_T_246, _T_248) @[exu_div_ctl.scala 139:10] + node _T_250 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 153:42] + node _T_251 = eq(_T_250, UInt<1>("h00")) @[exu_div_ctl.scala 153:37] + node _T_252 = and(_T_249, _T_251) @[exu_div_ctl.scala 153:35] + node _T_253 = or(_T_240, _T_252) @[exu_div_ctl.scala 152:128] + node _T_254 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_255 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_256 = eq(_T_255, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_257 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_258 = eq(_T_257, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_259 = and(_T_256, _T_258) @[exu_div_ctl.scala 138:94] + node _T_260 = and(_T_254, _T_259) @[exu_div_ctl.scala 139:10] + node _T_261 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 153:81] + node _T_262 = eq(_T_261, UInt<1>("h00")) @[exu_div_ctl.scala 153:76] + node _T_263 = and(_T_260, _T_262) @[exu_div_ctl.scala 153:74] + node _T_264 = or(_T_253, _T_263) @[exu_div_ctl.scala 153:46] + node _T_265 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_266 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_267 = eq(_T_266, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_268 = and(_T_265, _T_267) @[exu_div_ctl.scala 137:94] + node _T_269 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_270 = eq(_T_269, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_271 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_272 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_273 = and(_T_270, _T_271) @[exu_div_ctl.scala 138:94] + node _T_274 = and(_T_273, _T_272) @[exu_div_ctl.scala 138:94] + node _T_275 = and(_T_268, _T_274) @[exu_div_ctl.scala 139:10] + node _T_276 = or(_T_264, _T_275) @[exu_div_ctl.scala 153:86] + node _T_277 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_278 = eq(_T_277, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_279 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_280 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_281 = and(_T_278, _T_279) @[exu_div_ctl.scala 137:94] + node _T_282 = and(_T_281, _T_280) @[exu_div_ctl.scala 137:94] + node _T_283 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_285 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_286 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_288 = and(_T_284, _T_285) @[exu_div_ctl.scala 138:94] + node _T_289 = and(_T_288, _T_287) @[exu_div_ctl.scala 138:94] + node _T_290 = and(_T_282, _T_289) @[exu_div_ctl.scala 139:10] + node _T_291 = or(_T_276, _T_290) @[exu_div_ctl.scala 153:128] + node _T_292 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_293 = eq(_T_292, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_294 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_295 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_296 = and(_T_293, _T_294) @[exu_div_ctl.scala 137:94] + node _T_297 = and(_T_296, _T_295) @[exu_div_ctl.scala 137:94] + node _T_298 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_299 = eq(_T_298, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_300 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_301 = eq(_T_300, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_302 = and(_T_299, _T_301) @[exu_div_ctl.scala 138:94] + node _T_303 = and(_T_297, _T_302) @[exu_div_ctl.scala 139:10] + node _T_304 = or(_T_291, _T_303) @[exu_div_ctl.scala 154:46] + node _T_305 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_306 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_307 = eq(_T_306, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_308 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:74] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_310 = and(_T_305, _T_307) @[exu_div_ctl.scala 137:94] + node _T_311 = and(_T_310, _T_309) @[exu_div_ctl.scala 137:94] + node _T_312 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_314 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_315 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_316 = and(_T_313, _T_314) @[exu_div_ctl.scala 138:94] + node _T_317 = and(_T_316, _T_315) @[exu_div_ctl.scala 138:94] + node _T_318 = and(_T_311, _T_317) @[exu_div_ctl.scala 139:10] + node _T_319 = or(_T_304, _T_318) @[exu_div_ctl.scala 154:86] + node _T_320 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_321 = eq(_T_320, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_322 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_323 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_324 = and(_T_321, _T_322) @[exu_div_ctl.scala 137:94] + node _T_325 = and(_T_324, _T_323) @[exu_div_ctl.scala 137:94] + node _T_326 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_328 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_330 = and(_T_327, _T_329) @[exu_div_ctl.scala 138:94] + node _T_331 = and(_T_325, _T_330) @[exu_div_ctl.scala 139:10] + node _T_332 = or(_T_319, _T_331) @[exu_div_ctl.scala 154:128] + node _T_333 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_334 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_335 = and(_T_333, _T_334) @[exu_div_ctl.scala 137:94] + node _T_336 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_338 = and(_T_335, _T_337) @[exu_div_ctl.scala 139:10] + node _T_339 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 155:80] + node _T_340 = eq(_T_339, UInt<1>("h00")) @[exu_div_ctl.scala 155:75] + node _T_341 = and(_T_338, _T_340) @[exu_div_ctl.scala 155:73] + node _T_342 = or(_T_332, _T_341) @[exu_div_ctl.scala 155:46] + node _T_343 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_344 = eq(_T_343, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_345 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_346 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_347 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_348 = and(_T_344, _T_345) @[exu_div_ctl.scala 137:94] + node _T_349 = and(_T_348, _T_346) @[exu_div_ctl.scala 137:94] + node _T_350 = and(_T_349, _T_347) @[exu_div_ctl.scala 137:94] + node _T_351 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_352 = eq(_T_351, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_353 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_354 = and(_T_352, _T_353) @[exu_div_ctl.scala 138:94] + node _T_355 = and(_T_350, _T_354) @[exu_div_ctl.scala 139:10] + node _T_356 = or(_T_342, _T_355) @[exu_div_ctl.scala 155:86] + node _T_357 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_358 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_359 = and(_T_357, _T_358) @[exu_div_ctl.scala 137:94] + node _T_360 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_361 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_363 = and(_T_360, _T_362) @[exu_div_ctl.scala 138:94] + node _T_364 = and(_T_359, _T_363) @[exu_div_ctl.scala 139:10] + node _T_365 = or(_T_356, _T_364) @[exu_div_ctl.scala 155:128] + node _T_366 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_367 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_368 = and(_T_366, _T_367) @[exu_div_ctl.scala 137:94] + node _T_369 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_370 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_371 = eq(_T_370, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_372 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_374 = and(_T_369, _T_371) @[exu_div_ctl.scala 138:94] + node _T_375 = and(_T_374, _T_373) @[exu_div_ctl.scala 138:94] + node _T_376 = and(_T_368, _T_375) @[exu_div_ctl.scala 139:10] + node _T_377 = or(_T_365, _T_376) @[exu_div_ctl.scala 156:46] + node _T_378 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_379 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_380 = and(_T_378, _T_379) @[exu_div_ctl.scala 137:94] + node _T_381 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_382 = eq(_T_381, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_383 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_385 = and(_T_382, _T_384) @[exu_div_ctl.scala 138:94] + node _T_386 = and(_T_380, _T_385) @[exu_div_ctl.scala 139:10] + node _T_387 = or(_T_377, _T_386) @[exu_div_ctl.scala 156:86] + node _T_388 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_389 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:74] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_391 = and(_T_388, _T_390) @[exu_div_ctl.scala 137:94] + node _T_392 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_393 = eq(_T_392, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_394 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_395 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_396 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_397 = and(_T_393, _T_394) @[exu_div_ctl.scala 138:94] + node _T_398 = and(_T_397, _T_395) @[exu_div_ctl.scala 138:94] + node _T_399 = and(_T_398, _T_396) @[exu_div_ctl.scala 138:94] + node _T_400 = and(_T_391, _T_399) @[exu_div_ctl.scala 139:10] + node _T_401 = or(_T_387, _T_400) @[exu_div_ctl.scala 156:128] + node _T_402 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_403 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_404 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_405 = and(_T_402, _T_403) @[exu_div_ctl.scala 137:94] + node _T_406 = and(_T_405, _T_404) @[exu_div_ctl.scala 137:94] + node _T_407 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_408 = and(_T_406, _T_407) @[exu_div_ctl.scala 139:10] + node _T_409 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 157:82] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[exu_div_ctl.scala 157:77] + node _T_411 = and(_T_408, _T_410) @[exu_div_ctl.scala 157:75] + node _T_412 = or(_T_401, _T_411) @[exu_div_ctl.scala 157:46] + node _T_413 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_414 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_415 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_416 = and(_T_413, _T_414) @[exu_div_ctl.scala 137:94] + node _T_417 = and(_T_416, _T_415) @[exu_div_ctl.scala 137:94] + node _T_418 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_419 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_421 = and(_T_418, _T_420) @[exu_div_ctl.scala 138:94] + node _T_422 = and(_T_417, _T_421) @[exu_div_ctl.scala 139:10] + node _T_423 = or(_T_412, _T_422) @[exu_div_ctl.scala 157:86] + node _T_424 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_425 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_426 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_427 = and(_T_424, _T_425) @[exu_div_ctl.scala 137:94] + node _T_428 = and(_T_427, _T_426) @[exu_div_ctl.scala 137:94] + node _T_429 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_430 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_431 = eq(_T_430, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_432 = and(_T_429, _T_431) @[exu_div_ctl.scala 138:94] + node _T_433 = and(_T_428, _T_432) @[exu_div_ctl.scala 139:10] + node _T_434 = or(_T_423, _T_433) @[exu_div_ctl.scala 157:128] + node _T_435 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_436 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_438 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_439 = and(_T_435, _T_437) @[exu_div_ctl.scala 137:94] + node _T_440 = and(_T_439, _T_438) @[exu_div_ctl.scala 137:94] + node _T_441 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_443 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_444 = and(_T_442, _T_443) @[exu_div_ctl.scala 138:94] + node _T_445 = and(_T_440, _T_444) @[exu_div_ctl.scala 139:10] + node _T_446 = or(_T_434, _T_445) @[exu_div_ctl.scala 158:46] + node _T_447 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_448 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_449 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_450 = and(_T_447, _T_448) @[exu_div_ctl.scala 137:94] + node _T_451 = and(_T_450, _T_449) @[exu_div_ctl.scala 137:94] + node _T_452 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_454 = and(_T_451, _T_453) @[exu_div_ctl.scala 139:10] + node _T_455 = or(_T_446, _T_454) @[exu_div_ctl.scala 158:86] + node _T_456 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_457 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_458 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_459 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_460 = and(_T_456, _T_457) @[exu_div_ctl.scala 137:94] + node _T_461 = and(_T_460, _T_458) @[exu_div_ctl.scala 137:94] + node _T_462 = and(_T_461, _T_459) @[exu_div_ctl.scala 137:94] + node _T_463 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_464 = and(_T_462, _T_463) @[exu_div_ctl.scala 139:10] + node _T_465 = or(_T_455, _T_464) @[exu_div_ctl.scala 158:128] + node _T_466 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_467 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_468 = and(_T_466, _T_467) @[exu_div_ctl.scala 137:94] + node _T_469 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_471 = and(_T_468, _T_470) @[exu_div_ctl.scala 139:10] + node _T_472 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 159:79] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[exu_div_ctl.scala 159:74] + node _T_474 = and(_T_471, _T_473) @[exu_div_ctl.scala 159:72] + node _T_475 = or(_T_465, _T_474) @[exu_div_ctl.scala 159:46] + node _T_476 = cat(_T_164, _T_475) @[Cat.scala 29:58] + node _T_477 = cat(_T_30, _T_61) @[Cat.scala 29:58] + node smallnum = cat(_T_477, _T_476) @[Cat.scala 29:58] + wire shortq_enable_ff : UInt<1> + shortq_enable_ff <= UInt<1>("h00") + wire short_dividend : UInt<33> + short_dividend <= UInt<33>("h00") + wire shortq_shift_xx : UInt<4> + shortq_shift_xx <= UInt<4>("h00") + node _T_478 = bits(q_ff, 31, 31) @[exu_div_ctl.scala 168:40] + node _T_479 = and(sign_ff, _T_478) @[exu_div_ctl.scala 168:34] + node _T_480 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 168:49] + node _T_481 = cat(_T_479, _T_480) @[Cat.scala 29:58] + short_dividend <= _T_481 @[exu_div_ctl.scala 168:18] + node _T_482 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 173:22] + node _T_483 = bits(_T_482, 0, 0) @[exu_div_ctl.scala 173:27] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[exu_div_ctl.scala 173:7] + node _T_485 = bits(short_dividend, 31, 24) @[exu_div_ctl.scala 173:52] + node _T_486 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_487 = neq(_T_485, _T_486) @[exu_div_ctl.scala 173:60] + node _T_488 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 174:21] + node _T_489 = bits(_T_488, 0, 0) @[exu_div_ctl.scala 174:26] + node _T_490 = bits(short_dividend, 31, 23) @[exu_div_ctl.scala 174:51] + node _T_491 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_492 = neq(_T_490, _T_491) @[exu_div_ctl.scala 174:59] + node _T_493 = mux(_T_484, _T_487, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_494 = mux(_T_489, _T_492, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_495 = or(_T_493, _T_494) @[Mux.scala 27:72] + wire _T_496 : UInt<1> @[Mux.scala 27:72] + _T_496 <= _T_495 @[Mux.scala 27:72] + node _T_497 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 177:22] + node _T_498 = bits(_T_497, 0, 0) @[exu_div_ctl.scala 177:27] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[exu_div_ctl.scala 177:7] + node _T_500 = bits(short_dividend, 23, 16) @[exu_div_ctl.scala 177:52] + node _T_501 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_502 = neq(_T_500, _T_501) @[exu_div_ctl.scala 177:60] + node _T_503 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 178:21] + node _T_504 = bits(_T_503, 0, 0) @[exu_div_ctl.scala 178:26] + node _T_505 = bits(short_dividend, 22, 15) @[exu_div_ctl.scala 178:51] + node _T_506 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_507 = neq(_T_505, _T_506) @[exu_div_ctl.scala 178:59] + node _T_508 = mux(_T_499, _T_502, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_509 = mux(_T_504, _T_507, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_510 = or(_T_508, _T_509) @[Mux.scala 27:72] + wire _T_511 : UInt<1> @[Mux.scala 27:72] + _T_511 <= _T_510 @[Mux.scala 27:72] + node _T_512 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 181:22] + node _T_513 = bits(_T_512, 0, 0) @[exu_div_ctl.scala 181:27] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[exu_div_ctl.scala 181:7] + node _T_515 = bits(short_dividend, 15, 8) @[exu_div_ctl.scala 181:52] + node _T_516 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_517 = neq(_T_515, _T_516) @[exu_div_ctl.scala 181:59] + node _T_518 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 182:21] + node _T_519 = bits(_T_518, 0, 0) @[exu_div_ctl.scala 182:26] + node _T_520 = bits(short_dividend, 14, 7) @[exu_div_ctl.scala 182:51] + node _T_521 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_522 = neq(_T_520, _T_521) @[exu_div_ctl.scala 182:58] + node _T_523 = mux(_T_514, _T_517, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_524 = mux(_T_519, _T_522, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_525 = or(_T_523, _T_524) @[Mux.scala 27:72] + wire _T_526 : UInt<1> @[Mux.scala 27:72] + _T_526 <= _T_525 @[Mux.scala 27:72] + node _T_527 = cat(_T_511, _T_526) @[Cat.scala 29:58] + node _T_528 = cat(UInt<2>("h00"), _T_496) @[Cat.scala 29:58] + node a_cls = cat(_T_528, _T_527) @[Cat.scala 29:58] + node _T_529 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 187:12] + node _T_530 = bits(_T_529, 0, 0) @[exu_div_ctl.scala 187:17] + node _T_531 = eq(_T_530, UInt<1>("h00")) @[exu_div_ctl.scala 187:7] + node _T_532 = bits(m_ff, 31, 24) @[exu_div_ctl.scala 187:32] + node _T_533 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = neq(_T_532, _T_533) @[exu_div_ctl.scala 187:40] + node _T_535 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 188:11] + node _T_536 = bits(_T_535, 0, 0) @[exu_div_ctl.scala 188:16] + node _T_537 = bits(m_ff, 31, 24) @[exu_div_ctl.scala 188:31] + node _T_538 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_539 = neq(_T_537, _T_538) @[exu_div_ctl.scala 188:39] + node _T_540 = mux(_T_531, _T_534, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_541 = mux(_T_536, _T_539, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_542 = or(_T_540, _T_541) @[Mux.scala 27:72] + wire _T_543 : UInt<1> @[Mux.scala 27:72] + _T_543 <= _T_542 @[Mux.scala 27:72] + node _T_544 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 191:12] + node _T_545 = bits(_T_544, 0, 0) @[exu_div_ctl.scala 191:17] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[exu_div_ctl.scala 191:7] + node _T_547 = bits(m_ff, 23, 16) @[exu_div_ctl.scala 191:32] + node _T_548 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_549 = neq(_T_547, _T_548) @[exu_div_ctl.scala 191:40] + node _T_550 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 192:11] + node _T_551 = bits(_T_550, 0, 0) @[exu_div_ctl.scala 192:16] + node _T_552 = bits(m_ff, 23, 16) @[exu_div_ctl.scala 192:31] + node _T_553 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = neq(_T_552, _T_553) @[exu_div_ctl.scala 192:39] + node _T_555 = mux(_T_546, _T_549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_556 = mux(_T_551, _T_554, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_557 = or(_T_555, _T_556) @[Mux.scala 27:72] + wire _T_558 : UInt<1> @[Mux.scala 27:72] + _T_558 <= _T_557 @[Mux.scala 27:72] + node _T_559 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 195:12] + node _T_560 = bits(_T_559, 0, 0) @[exu_div_ctl.scala 195:17] + node _T_561 = eq(_T_560, UInt<1>("h00")) @[exu_div_ctl.scala 195:7] + node _T_562 = bits(m_ff, 15, 8) @[exu_div_ctl.scala 195:32] + node _T_563 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = neq(_T_562, _T_563) @[exu_div_ctl.scala 195:39] + node _T_565 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 196:11] + node _T_566 = bits(_T_565, 0, 0) @[exu_div_ctl.scala 196:16] + node _T_567 = bits(m_ff, 15, 8) @[exu_div_ctl.scala 196:31] + node _T_568 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = neq(_T_567, _T_568) @[exu_div_ctl.scala 196:38] + node _T_570 = mux(_T_561, _T_564, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_571 = mux(_T_566, _T_569, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_572 = or(_T_570, _T_571) @[Mux.scala 27:72] + wire _T_573 : UInt<1> @[Mux.scala 27:72] + _T_573 <= _T_572 @[Mux.scala 27:72] + node _T_574 = cat(_T_558, _T_573) @[Cat.scala 29:58] + node _T_575 = cat(UInt<2>("h00"), _T_543) @[Cat.scala 29:58] + node b_cls = cat(_T_575, _T_574) @[Cat.scala 29:58] + node _T_576 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 200:13] + node _T_577 = eq(_T_576, UInt<1>("h01")) @[exu_div_ctl.scala 200:19] + node _T_578 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 200:42] + node _T_579 = eq(_T_578, UInt<1>("h01")) @[exu_div_ctl.scala 200:48] + node _T_580 = and(_T_577, _T_579) @[exu_div_ctl.scala 200:34] + node _T_581 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 201:15] + node _T_582 = eq(_T_581, UInt<1>("h01")) @[exu_div_ctl.scala 201:21] + node _T_583 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 201:44] + node _T_584 = eq(_T_583, UInt<1>("h01")) @[exu_div_ctl.scala 201:50] + node _T_585 = and(_T_582, _T_584) @[exu_div_ctl.scala 201:36] + node _T_586 = or(_T_580, _T_585) @[exu_div_ctl.scala 200:65] + node _T_587 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 202:15] + node _T_588 = eq(_T_587, UInt<1>("h00")) @[exu_div_ctl.scala 202:21] + node _T_589 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 202:44] + node _T_590 = eq(_T_589, UInt<1>("h01")) @[exu_div_ctl.scala 202:50] + node _T_591 = and(_T_588, _T_590) @[exu_div_ctl.scala 202:36] + node _T_592 = or(_T_586, _T_591) @[exu_div_ctl.scala 201:67] + node _T_593 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 203:15] + node _T_594 = eq(_T_593, UInt<1>("h01")) @[exu_div_ctl.scala 203:21] + node _T_595 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 203:44] + node _T_596 = eq(_T_595, UInt<1>("h01")) @[exu_div_ctl.scala 203:50] + node _T_597 = and(_T_594, _T_596) @[exu_div_ctl.scala 203:36] + node _T_598 = or(_T_592, _T_597) @[exu_div_ctl.scala 202:67] + node _T_599 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 204:15] + node _T_600 = eq(_T_599, UInt<1>("h00")) @[exu_div_ctl.scala 204:21] + node _T_601 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 204:44] + node _T_602 = eq(_T_601, UInt<1>("h01")) @[exu_div_ctl.scala 204:50] + node _T_603 = and(_T_600, _T_602) @[exu_div_ctl.scala 204:36] + node _T_604 = or(_T_598, _T_603) @[exu_div_ctl.scala 203:67] + node _T_605 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 205:15] + node _T_606 = eq(_T_605, UInt<1>("h00")) @[exu_div_ctl.scala 205:21] + node _T_607 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 205:44] + node _T_608 = eq(_T_607, UInt<1>("h01")) @[exu_div_ctl.scala 205:50] + node _T_609 = and(_T_606, _T_608) @[exu_div_ctl.scala 205:36] + node _T_610 = or(_T_604, _T_609) @[exu_div_ctl.scala 204:67] + node _T_611 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 207:13] + node _T_612 = eq(_T_611, UInt<1>("h01")) @[exu_div_ctl.scala 207:19] + node _T_613 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 207:42] + node _T_614 = eq(_T_613, UInt<1>("h01")) @[exu_div_ctl.scala 207:48] + node _T_615 = and(_T_612, _T_614) @[exu_div_ctl.scala 207:34] + node _T_616 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 208:15] + node _T_617 = eq(_T_616, UInt<1>("h01")) @[exu_div_ctl.scala 208:21] + node _T_618 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 208:44] + node _T_619 = eq(_T_618, UInt<1>("h01")) @[exu_div_ctl.scala 208:50] + node _T_620 = and(_T_617, _T_619) @[exu_div_ctl.scala 208:36] + node _T_621 = or(_T_615, _T_620) @[exu_div_ctl.scala 207:65] + node _T_622 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 209:15] + node _T_623 = eq(_T_622, UInt<1>("h01")) @[exu_div_ctl.scala 209:21] + node _T_624 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 209:44] + node _T_625 = eq(_T_624, UInt<1>("h01")) @[exu_div_ctl.scala 209:50] + node _T_626 = and(_T_623, _T_625) @[exu_div_ctl.scala 209:36] + node _T_627 = or(_T_621, _T_626) @[exu_div_ctl.scala 208:67] + node _T_628 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 210:15] + node _T_629 = eq(_T_628, UInt<1>("h00")) @[exu_div_ctl.scala 210:21] + node _T_630 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 210:44] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[exu_div_ctl.scala 210:50] + node _T_632 = and(_T_629, _T_631) @[exu_div_ctl.scala 210:36] + node _T_633 = or(_T_627, _T_632) @[exu_div_ctl.scala 209:67] + node _T_634 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 212:13] + node _T_635 = eq(_T_634, UInt<1>("h01")) @[exu_div_ctl.scala 212:19] + node _T_636 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 212:42] + node _T_637 = eq(_T_636, UInt<1>("h01")) @[exu_div_ctl.scala 212:48] + node _T_638 = and(_T_635, _T_637) @[exu_div_ctl.scala 212:34] + node _T_639 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 213:15] + node _T_640 = eq(_T_639, UInt<1>("h01")) @[exu_div_ctl.scala 213:21] + node _T_641 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 213:44] + node _T_642 = eq(_T_641, UInt<1>("h01")) @[exu_div_ctl.scala 213:50] + node _T_643 = and(_T_640, _T_642) @[exu_div_ctl.scala 213:36] + node _T_644 = or(_T_638, _T_643) @[exu_div_ctl.scala 212:65] + node _T_645 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 214:15] + node _T_646 = eq(_T_645, UInt<1>("h01")) @[exu_div_ctl.scala 214:21] + node _T_647 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 214:44] + node _T_648 = eq(_T_647, UInt<1>("h00")) @[exu_div_ctl.scala 214:50] + node _T_649 = and(_T_646, _T_648) @[exu_div_ctl.scala 214:36] + node _T_650 = or(_T_644, _T_649) @[exu_div_ctl.scala 213:67] + node _T_651 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 216:13] + node _T_652 = eq(_T_651, UInt<1>("h01")) @[exu_div_ctl.scala 216:19] + node _T_653 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 216:42] + node _T_654 = eq(_T_653, UInt<1>("h01")) @[exu_div_ctl.scala 216:48] + node _T_655 = and(_T_652, _T_654) @[exu_div_ctl.scala 216:34] + node _T_656 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 217:15] + node _T_657 = eq(_T_656, UInt<1>("h01")) @[exu_div_ctl.scala 217:21] + node _T_658 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 217:44] + node _T_659 = eq(_T_658, UInt<1>("h00")) @[exu_div_ctl.scala 217:50] + node _T_660 = and(_T_657, _T_659) @[exu_div_ctl.scala 217:36] + node _T_661 = or(_T_655, _T_660) @[exu_div_ctl.scala 216:65] + node _T_662 = cat(_T_650, _T_661) @[Cat.scala 29:58] + node _T_663 = cat(_T_610, _T_633) @[Cat.scala 29:58] + node shortq_raw = cat(_T_663, _T_662) @[Cat.scala 29:58] + node _T_664 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 220:42] + node _T_665 = neq(_T_664, UInt<32>("h00")) @[exu_div_ctl.scala 220:49] + node _T_666 = and(valid_ff_x, _T_665) @[exu_div_ctl.scala 220:35] + node _T_667 = neq(shortq_raw, UInt<4>("h00")) @[exu_div_ctl.scala 220:78] + node shortq_enable = and(_T_666, _T_667) @[exu_div_ctl.scala 220:64] + node _T_668 = bits(shortq_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_669 = mux(_T_668, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_670 = and(_T_669, shortq_raw) @[exu_div_ctl.scala 221:57] + node shortq_shift = cat(UInt<2>("h00"), _T_670) @[Cat.scala 29:58] + node _T_671 = bits(shortq_shift_xx, 3, 3) @[exu_div_ctl.scala 223:20] + node _T_672 = bits(_T_671, 0, 0) @[exu_div_ctl.scala 223:24] + node _T_673 = bits(shortq_shift_xx, 2, 2) @[exu_div_ctl.scala 224:20] + node _T_674 = bits(_T_673, 0, 0) @[exu_div_ctl.scala 224:24] + node _T_675 = bits(shortq_shift_xx, 1, 1) @[exu_div_ctl.scala 225:20] + node _T_676 = bits(_T_675, 0, 0) @[exu_div_ctl.scala 225:24] + node _T_677 = bits(shortq_shift_xx, 0, 0) @[exu_div_ctl.scala 226:20] + node _T_678 = bits(_T_677, 0, 0) @[exu_div_ctl.scala 226:24] + node _T_679 = mux(_T_672, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_680 = mux(_T_674, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_681 = mux(_T_676, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_682 = mux(_T_678, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_683 = or(_T_679, _T_680) @[Mux.scala 27:72] + node _T_684 = or(_T_683, _T_681) @[Mux.scala 27:72] + node _T_685 = or(_T_684, _T_682) @[Mux.scala 27:72] + wire _T_686 : UInt<5> @[Mux.scala 27:72] + _T_686 <= _T_685 @[Mux.scala 27:72] + node shortq_shift_ff = cat(UInt<1>("h00"), _T_686) @[Cat.scala 29:58] + node _T_687 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 230:40] + node _T_688 = eq(count, UInt<6>("h020")) @[exu_div_ctl.scala 230:55] + node _T_689 = eq(count, UInt<6>("h021")) @[exu_div_ctl.scala 230:76] + node _T_690 = mux(_T_687, _T_688, _T_689) @[exu_div_ctl.scala 230:39] + node finish = or(smallnum_case, _T_690) @[exu_div_ctl.scala 230:34] + node _T_691 = or(io.valid_in, run_state) @[exu_div_ctl.scala 231:32] + node _T_692 = or(_T_691, finish) @[exu_div_ctl.scala 231:44] + node div_clken = or(_T_692, finish_ff) @[exu_div_ctl.scala 231:53] + node _T_693 = or(io.valid_in, run_state) @[exu_div_ctl.scala 232:33] + node _T_694 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 232:48] + node _T_695 = and(_T_693, _T_694) @[exu_div_ctl.scala 232:46] + node _T_696 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 232:58] + node run_in = and(_T_695, _T_696) @[exu_div_ctl.scala 232:56] + node _T_697 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 233:37] + node _T_698 = and(run_state, _T_697) @[exu_div_ctl.scala 233:35] + node _T_699 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 233:47] + node _T_700 = and(_T_698, _T_699) @[exu_div_ctl.scala 233:45] + node _T_701 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 233:60] + node _T_702 = and(_T_700, _T_701) @[exu_div_ctl.scala 233:58] + node _T_703 = bits(_T_702, 0, 0) @[Bitwise.scala 72:15] + node _T_704 = mux(_T_703, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_705 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 233:111] + node _T_706 = cat(UInt<1>("h00"), _T_705) @[Cat.scala 29:58] + node _T_707 = add(count, _T_706) @[exu_div_ctl.scala 233:86] + node _T_708 = tail(_T_707, 1) @[exu_div_ctl.scala 233:86] + node _T_709 = add(_T_708, UInt<6>("h01")) @[exu_div_ctl.scala 233:118] + node _T_710 = tail(_T_709, 1) @[exu_div_ctl.scala 233:118] + node _T_711 = and(_T_704, _T_710) @[exu_div_ctl.scala 233:77] + count_in <= _T_711 @[exu_div_ctl.scala 233:14] + node _T_712 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 234:33] + node _T_713 = and(finish_ff, _T_712) @[exu_div_ctl.scala 234:31] + io.valid_out <= _T_713 @[exu_div_ctl.scala 234:17] + node _T_714 = neq(io.divisor_in, UInt<32>("h00")) @[exu_div_ctl.scala 235:50] + node sign_eff = and(io.signed_in, _T_714) @[exu_div_ctl.scala 235:33] + node _T_715 = eq(run_state, UInt<1>("h00")) @[exu_div_ctl.scala 238:6] + node _T_716 = bits(_T_715, 0, 0) @[exu_div_ctl.scala 238:18] + node _T_717 = cat(UInt<1>("h00"), io.dividend_in) @[Cat.scala 29:58] + node _T_718 = or(valid_ff_x, shortq_enable_ff) @[exu_div_ctl.scala 239:30] + node _T_719 = and(run_state, _T_718) @[exu_div_ctl.scala 239:16] + node _T_720 = bits(_T_719, 0, 0) @[exu_div_ctl.scala 239:51] + node _T_721 = bits(dividend_eff, 31, 0) @[exu_div_ctl.scala 239:78] + node _T_722 = bits(a_in, 32, 32) @[exu_div_ctl.scala 239:90] + node _T_723 = eq(_T_722, UInt<1>("h00")) @[exu_div_ctl.scala 239:85] + node _T_724 = cat(_T_721, _T_723) @[Cat.scala 29:58] + node _T_725 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 239:114] + node _T_726 = dshl(_T_724, _T_725) @[exu_div_ctl.scala 239:96] + node _T_727 = or(valid_ff_x, shortq_enable_ff) @[exu_div_ctl.scala 240:31] + node _T_728 = eq(_T_727, UInt<1>("h00")) @[exu_div_ctl.scala 240:18] + node _T_729 = and(run_state, _T_728) @[exu_div_ctl.scala 240:16] + node _T_730 = bits(_T_729, 0, 0) @[exu_div_ctl.scala 240:52] + node _T_731 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 240:70] + node _T_732 = bits(a_in, 32, 32) @[exu_div_ctl.scala 240:82] + node _T_733 = eq(_T_732, UInt<1>("h00")) @[exu_div_ctl.scala 240:77] + node _T_734 = cat(_T_731, _T_733) @[Cat.scala 29:58] + node _T_735 = mux(_T_716, _T_717, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_736 = mux(_T_720, _T_726, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_737 = mux(_T_730, _T_734, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_738 = or(_T_735, _T_736) @[Mux.scala 27:72] + node _T_739 = or(_T_738, _T_737) @[Mux.scala 27:72] + wire _T_740 : UInt<64> @[Mux.scala 27:72] + _T_740 <= _T_739 @[Mux.scala 27:72] + q_in <= _T_740 @[exu_div_ctl.scala 237:8] + node _T_741 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 242:50] + node _T_742 = and(run_state, _T_741) @[exu_div_ctl.scala 242:48] + node qff_enable = or(io.valid_in, _T_742) @[exu_div_ctl.scala 242:35] + node _T_743 = and(sign_ff, dividend_neg_ff) @[exu_div_ctl.scala 243:32] + node _T_744 = bits(_T_743, 0, 0) @[exu_div_ctl.scala 243:51] + node _T_745 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 243:74] + wire _T_746 : UInt<1>[31] @[lib.scala 426:20] + node _T_747 = bits(_T_745, 0, 0) @[lib.scala 428:27] + node _T_748 = orr(_T_747) @[lib.scala 428:35] + node _T_749 = bits(_T_745, 1, 1) @[lib.scala 428:44] + node _T_750 = not(_T_749) @[lib.scala 428:40] + node _T_751 = bits(_T_745, 1, 1) @[lib.scala 428:51] + node _T_752 = mux(_T_748, _T_750, _T_751) @[lib.scala 428:23] + _T_746[0] <= _T_752 @[lib.scala 428:17] + node _T_753 = bits(_T_745, 1, 0) @[lib.scala 428:27] + node _T_754 = orr(_T_753) @[lib.scala 428:35] + node _T_755 = bits(_T_745, 2, 2) @[lib.scala 428:44] + node _T_756 = not(_T_755) @[lib.scala 428:40] + node _T_757 = bits(_T_745, 2, 2) @[lib.scala 428:51] + node _T_758 = mux(_T_754, _T_756, _T_757) @[lib.scala 428:23] + _T_746[1] <= _T_758 @[lib.scala 428:17] + node _T_759 = bits(_T_745, 2, 0) @[lib.scala 428:27] + node _T_760 = orr(_T_759) @[lib.scala 428:35] + node _T_761 = bits(_T_745, 3, 3) @[lib.scala 428:44] + node _T_762 = not(_T_761) @[lib.scala 428:40] + node _T_763 = bits(_T_745, 3, 3) @[lib.scala 428:51] + node _T_764 = mux(_T_760, _T_762, _T_763) @[lib.scala 428:23] + _T_746[2] <= _T_764 @[lib.scala 428:17] + node _T_765 = bits(_T_745, 3, 0) @[lib.scala 428:27] + node _T_766 = orr(_T_765) @[lib.scala 428:35] + node _T_767 = bits(_T_745, 4, 4) @[lib.scala 428:44] + node _T_768 = not(_T_767) @[lib.scala 428:40] + node _T_769 = bits(_T_745, 4, 4) @[lib.scala 428:51] + node _T_770 = mux(_T_766, _T_768, _T_769) @[lib.scala 428:23] + _T_746[3] <= _T_770 @[lib.scala 428:17] + node _T_771 = bits(_T_745, 4, 0) @[lib.scala 428:27] + node _T_772 = orr(_T_771) @[lib.scala 428:35] + node _T_773 = bits(_T_745, 5, 5) @[lib.scala 428:44] + node _T_774 = not(_T_773) @[lib.scala 428:40] + node _T_775 = bits(_T_745, 5, 5) @[lib.scala 428:51] + node _T_776 = mux(_T_772, _T_774, _T_775) @[lib.scala 428:23] + _T_746[4] <= _T_776 @[lib.scala 428:17] + node _T_777 = bits(_T_745, 5, 0) @[lib.scala 428:27] + node _T_778 = orr(_T_777) @[lib.scala 428:35] + node _T_779 = bits(_T_745, 6, 6) @[lib.scala 428:44] + node _T_780 = not(_T_779) @[lib.scala 428:40] + node _T_781 = bits(_T_745, 6, 6) @[lib.scala 428:51] + node _T_782 = mux(_T_778, _T_780, _T_781) @[lib.scala 428:23] + _T_746[5] <= _T_782 @[lib.scala 428:17] + node _T_783 = bits(_T_745, 6, 0) @[lib.scala 428:27] + node _T_784 = orr(_T_783) @[lib.scala 428:35] + node _T_785 = bits(_T_745, 7, 7) @[lib.scala 428:44] + node _T_786 = not(_T_785) @[lib.scala 428:40] + node _T_787 = bits(_T_745, 7, 7) @[lib.scala 428:51] + node _T_788 = mux(_T_784, _T_786, _T_787) @[lib.scala 428:23] + _T_746[6] <= _T_788 @[lib.scala 428:17] + node _T_789 = bits(_T_745, 7, 0) @[lib.scala 428:27] + node _T_790 = orr(_T_789) @[lib.scala 428:35] + node _T_791 = bits(_T_745, 8, 8) @[lib.scala 428:44] + node _T_792 = not(_T_791) @[lib.scala 428:40] + node _T_793 = bits(_T_745, 8, 8) @[lib.scala 428:51] + node _T_794 = mux(_T_790, _T_792, _T_793) @[lib.scala 428:23] + _T_746[7] <= _T_794 @[lib.scala 428:17] + node _T_795 = bits(_T_745, 8, 0) @[lib.scala 428:27] + node _T_796 = orr(_T_795) @[lib.scala 428:35] + node _T_797 = bits(_T_745, 9, 9) @[lib.scala 428:44] + node _T_798 = not(_T_797) @[lib.scala 428:40] + node _T_799 = bits(_T_745, 9, 9) @[lib.scala 428:51] + node _T_800 = mux(_T_796, _T_798, _T_799) @[lib.scala 428:23] + _T_746[8] <= _T_800 @[lib.scala 428:17] + node _T_801 = bits(_T_745, 9, 0) @[lib.scala 428:27] + node _T_802 = orr(_T_801) @[lib.scala 428:35] + node _T_803 = bits(_T_745, 10, 10) @[lib.scala 428:44] + node _T_804 = not(_T_803) @[lib.scala 428:40] + node _T_805 = bits(_T_745, 10, 10) @[lib.scala 428:51] + node _T_806 = mux(_T_802, _T_804, _T_805) @[lib.scala 428:23] + _T_746[9] <= _T_806 @[lib.scala 428:17] + node _T_807 = bits(_T_745, 10, 0) @[lib.scala 428:27] + node _T_808 = orr(_T_807) @[lib.scala 428:35] + node _T_809 = bits(_T_745, 11, 11) @[lib.scala 428:44] + node _T_810 = not(_T_809) @[lib.scala 428:40] + node _T_811 = bits(_T_745, 11, 11) @[lib.scala 428:51] + node _T_812 = mux(_T_808, _T_810, _T_811) @[lib.scala 428:23] + _T_746[10] <= _T_812 @[lib.scala 428:17] + node _T_813 = bits(_T_745, 11, 0) @[lib.scala 428:27] + node _T_814 = orr(_T_813) @[lib.scala 428:35] + node _T_815 = bits(_T_745, 12, 12) @[lib.scala 428:44] + node _T_816 = not(_T_815) @[lib.scala 428:40] + node _T_817 = bits(_T_745, 12, 12) @[lib.scala 428:51] + node _T_818 = mux(_T_814, _T_816, _T_817) @[lib.scala 428:23] + _T_746[11] <= _T_818 @[lib.scala 428:17] + node _T_819 = bits(_T_745, 12, 0) @[lib.scala 428:27] + node _T_820 = orr(_T_819) @[lib.scala 428:35] + node _T_821 = bits(_T_745, 13, 13) @[lib.scala 428:44] + node _T_822 = not(_T_821) @[lib.scala 428:40] + node _T_823 = bits(_T_745, 13, 13) @[lib.scala 428:51] + node _T_824 = mux(_T_820, _T_822, _T_823) @[lib.scala 428:23] + _T_746[12] <= _T_824 @[lib.scala 428:17] + node _T_825 = bits(_T_745, 13, 0) @[lib.scala 428:27] + node _T_826 = orr(_T_825) @[lib.scala 428:35] + node _T_827 = bits(_T_745, 14, 14) @[lib.scala 428:44] + node _T_828 = not(_T_827) @[lib.scala 428:40] + node _T_829 = bits(_T_745, 14, 14) @[lib.scala 428:51] + node _T_830 = mux(_T_826, _T_828, _T_829) @[lib.scala 428:23] + _T_746[13] <= _T_830 @[lib.scala 428:17] + node _T_831 = bits(_T_745, 14, 0) @[lib.scala 428:27] + node _T_832 = orr(_T_831) @[lib.scala 428:35] + node _T_833 = bits(_T_745, 15, 15) @[lib.scala 428:44] + node _T_834 = not(_T_833) @[lib.scala 428:40] + node _T_835 = bits(_T_745, 15, 15) @[lib.scala 428:51] + node _T_836 = mux(_T_832, _T_834, _T_835) @[lib.scala 428:23] + _T_746[14] <= _T_836 @[lib.scala 428:17] + node _T_837 = bits(_T_745, 15, 0) @[lib.scala 428:27] + node _T_838 = orr(_T_837) @[lib.scala 428:35] + node _T_839 = bits(_T_745, 16, 16) @[lib.scala 428:44] + node _T_840 = not(_T_839) @[lib.scala 428:40] + node _T_841 = bits(_T_745, 16, 16) @[lib.scala 428:51] + node _T_842 = mux(_T_838, _T_840, _T_841) @[lib.scala 428:23] + _T_746[15] <= _T_842 @[lib.scala 428:17] + node _T_843 = bits(_T_745, 16, 0) @[lib.scala 428:27] + node _T_844 = orr(_T_843) @[lib.scala 428:35] + node _T_845 = bits(_T_745, 17, 17) @[lib.scala 428:44] + node _T_846 = not(_T_845) @[lib.scala 428:40] + node _T_847 = bits(_T_745, 17, 17) @[lib.scala 428:51] + node _T_848 = mux(_T_844, _T_846, _T_847) @[lib.scala 428:23] + _T_746[16] <= _T_848 @[lib.scala 428:17] + node _T_849 = bits(_T_745, 17, 0) @[lib.scala 428:27] + node _T_850 = orr(_T_849) @[lib.scala 428:35] + node _T_851 = bits(_T_745, 18, 18) @[lib.scala 428:44] + node _T_852 = not(_T_851) @[lib.scala 428:40] + node _T_853 = bits(_T_745, 18, 18) @[lib.scala 428:51] + node _T_854 = mux(_T_850, _T_852, _T_853) @[lib.scala 428:23] + _T_746[17] <= _T_854 @[lib.scala 428:17] + node _T_855 = bits(_T_745, 18, 0) @[lib.scala 428:27] + node _T_856 = orr(_T_855) @[lib.scala 428:35] + node _T_857 = bits(_T_745, 19, 19) @[lib.scala 428:44] + node _T_858 = not(_T_857) @[lib.scala 428:40] + node _T_859 = bits(_T_745, 19, 19) @[lib.scala 428:51] + node _T_860 = mux(_T_856, _T_858, _T_859) @[lib.scala 428:23] + _T_746[18] <= _T_860 @[lib.scala 428:17] + node _T_861 = bits(_T_745, 19, 0) @[lib.scala 428:27] + node _T_862 = orr(_T_861) @[lib.scala 428:35] + node _T_863 = bits(_T_745, 20, 20) @[lib.scala 428:44] + node _T_864 = not(_T_863) @[lib.scala 428:40] + node _T_865 = bits(_T_745, 20, 20) @[lib.scala 428:51] + node _T_866 = mux(_T_862, _T_864, _T_865) @[lib.scala 428:23] + _T_746[19] <= _T_866 @[lib.scala 428:17] + node _T_867 = bits(_T_745, 20, 0) @[lib.scala 428:27] + node _T_868 = orr(_T_867) @[lib.scala 428:35] + node _T_869 = bits(_T_745, 21, 21) @[lib.scala 428:44] + node _T_870 = not(_T_869) @[lib.scala 428:40] + node _T_871 = bits(_T_745, 21, 21) @[lib.scala 428:51] + node _T_872 = mux(_T_868, _T_870, _T_871) @[lib.scala 428:23] + _T_746[20] <= _T_872 @[lib.scala 428:17] + node _T_873 = bits(_T_745, 21, 0) @[lib.scala 428:27] + node _T_874 = orr(_T_873) @[lib.scala 428:35] + node _T_875 = bits(_T_745, 22, 22) @[lib.scala 428:44] + node _T_876 = not(_T_875) @[lib.scala 428:40] + node _T_877 = bits(_T_745, 22, 22) @[lib.scala 428:51] + node _T_878 = mux(_T_874, _T_876, _T_877) @[lib.scala 428:23] + _T_746[21] <= _T_878 @[lib.scala 428:17] + node _T_879 = bits(_T_745, 22, 0) @[lib.scala 428:27] + node _T_880 = orr(_T_879) @[lib.scala 428:35] + node _T_881 = bits(_T_745, 23, 23) @[lib.scala 428:44] + node _T_882 = not(_T_881) @[lib.scala 428:40] + node _T_883 = bits(_T_745, 23, 23) @[lib.scala 428:51] + node _T_884 = mux(_T_880, _T_882, _T_883) @[lib.scala 428:23] + _T_746[22] <= _T_884 @[lib.scala 428:17] + node _T_885 = bits(_T_745, 23, 0) @[lib.scala 428:27] + node _T_886 = orr(_T_885) @[lib.scala 428:35] + node _T_887 = bits(_T_745, 24, 24) @[lib.scala 428:44] + node _T_888 = not(_T_887) @[lib.scala 428:40] + node _T_889 = bits(_T_745, 24, 24) @[lib.scala 428:51] + node _T_890 = mux(_T_886, _T_888, _T_889) @[lib.scala 428:23] + _T_746[23] <= _T_890 @[lib.scala 428:17] + node _T_891 = bits(_T_745, 24, 0) @[lib.scala 428:27] + node _T_892 = orr(_T_891) @[lib.scala 428:35] + node _T_893 = bits(_T_745, 25, 25) @[lib.scala 428:44] + node _T_894 = not(_T_893) @[lib.scala 428:40] + node _T_895 = bits(_T_745, 25, 25) @[lib.scala 428:51] + node _T_896 = mux(_T_892, _T_894, _T_895) @[lib.scala 428:23] + _T_746[24] <= _T_896 @[lib.scala 428:17] + node _T_897 = bits(_T_745, 25, 0) @[lib.scala 428:27] + node _T_898 = orr(_T_897) @[lib.scala 428:35] + node _T_899 = bits(_T_745, 26, 26) @[lib.scala 428:44] + node _T_900 = not(_T_899) @[lib.scala 428:40] + node _T_901 = bits(_T_745, 26, 26) @[lib.scala 428:51] + node _T_902 = mux(_T_898, _T_900, _T_901) @[lib.scala 428:23] + _T_746[25] <= _T_902 @[lib.scala 428:17] + node _T_903 = bits(_T_745, 26, 0) @[lib.scala 428:27] + node _T_904 = orr(_T_903) @[lib.scala 428:35] + node _T_905 = bits(_T_745, 27, 27) @[lib.scala 428:44] + node _T_906 = not(_T_905) @[lib.scala 428:40] + node _T_907 = bits(_T_745, 27, 27) @[lib.scala 428:51] + node _T_908 = mux(_T_904, _T_906, _T_907) @[lib.scala 428:23] + _T_746[26] <= _T_908 @[lib.scala 428:17] + node _T_909 = bits(_T_745, 27, 0) @[lib.scala 428:27] + node _T_910 = orr(_T_909) @[lib.scala 428:35] + node _T_911 = bits(_T_745, 28, 28) @[lib.scala 428:44] + node _T_912 = not(_T_911) @[lib.scala 428:40] + node _T_913 = bits(_T_745, 28, 28) @[lib.scala 428:51] + node _T_914 = mux(_T_910, _T_912, _T_913) @[lib.scala 428:23] + _T_746[27] <= _T_914 @[lib.scala 428:17] + node _T_915 = bits(_T_745, 28, 0) @[lib.scala 428:27] + node _T_916 = orr(_T_915) @[lib.scala 428:35] + node _T_917 = bits(_T_745, 29, 29) @[lib.scala 428:44] + node _T_918 = not(_T_917) @[lib.scala 428:40] + node _T_919 = bits(_T_745, 29, 29) @[lib.scala 428:51] + node _T_920 = mux(_T_916, _T_918, _T_919) @[lib.scala 428:23] + _T_746[28] <= _T_920 @[lib.scala 428:17] + node _T_921 = bits(_T_745, 29, 0) @[lib.scala 428:27] + node _T_922 = orr(_T_921) @[lib.scala 428:35] + node _T_923 = bits(_T_745, 30, 30) @[lib.scala 428:44] + node _T_924 = not(_T_923) @[lib.scala 428:40] + node _T_925 = bits(_T_745, 30, 30) @[lib.scala 428:51] + node _T_926 = mux(_T_922, _T_924, _T_925) @[lib.scala 428:23] + _T_746[29] <= _T_926 @[lib.scala 428:17] + node _T_927 = bits(_T_745, 30, 0) @[lib.scala 428:27] + node _T_928 = orr(_T_927) @[lib.scala 428:35] + node _T_929 = bits(_T_745, 31, 31) @[lib.scala 428:44] + node _T_930 = not(_T_929) @[lib.scala 428:40] + node _T_931 = bits(_T_745, 31, 31) @[lib.scala 428:51] + node _T_932 = mux(_T_928, _T_930, _T_931) @[lib.scala 428:23] + _T_746[30] <= _T_932 @[lib.scala 428:17] + node _T_933 = cat(_T_746[2], _T_746[1]) @[lib.scala 430:14] + node _T_934 = cat(_T_933, _T_746[0]) @[lib.scala 430:14] + node _T_935 = cat(_T_746[4], _T_746[3]) @[lib.scala 430:14] + node _T_936 = cat(_T_746[6], _T_746[5]) @[lib.scala 430:14] + node _T_937 = cat(_T_936, _T_935) @[lib.scala 430:14] + node _T_938 = cat(_T_937, _T_934) @[lib.scala 430:14] + node _T_939 = cat(_T_746[8], _T_746[7]) @[lib.scala 430:14] + node _T_940 = cat(_T_746[10], _T_746[9]) @[lib.scala 430:14] + node _T_941 = cat(_T_940, _T_939) @[lib.scala 430:14] + node _T_942 = cat(_T_746[12], _T_746[11]) @[lib.scala 430:14] + node _T_943 = cat(_T_746[14], _T_746[13]) @[lib.scala 430:14] + node _T_944 = cat(_T_943, _T_942) @[lib.scala 430:14] + node _T_945 = cat(_T_944, _T_941) @[lib.scala 430:14] + node _T_946 = cat(_T_945, _T_938) @[lib.scala 430:14] + node _T_947 = cat(_T_746[16], _T_746[15]) @[lib.scala 430:14] + node _T_948 = cat(_T_746[18], _T_746[17]) @[lib.scala 430:14] + node _T_949 = cat(_T_948, _T_947) @[lib.scala 430:14] + node _T_950 = cat(_T_746[20], _T_746[19]) @[lib.scala 430:14] + node _T_951 = cat(_T_746[22], _T_746[21]) @[lib.scala 430:14] + node _T_952 = cat(_T_951, _T_950) @[lib.scala 430:14] + node _T_953 = cat(_T_952, _T_949) @[lib.scala 430:14] + node _T_954 = cat(_T_746[24], _T_746[23]) @[lib.scala 430:14] + node _T_955 = cat(_T_746[26], _T_746[25]) @[lib.scala 430:14] + node _T_956 = cat(_T_955, _T_954) @[lib.scala 430:14] + node _T_957 = cat(_T_746[28], _T_746[27]) @[lib.scala 430:14] + node _T_958 = cat(_T_746[30], _T_746[29]) @[lib.scala 430:14] + node _T_959 = cat(_T_958, _T_957) @[lib.scala 430:14] + node _T_960 = cat(_T_959, _T_956) @[lib.scala 430:14] + node _T_961 = cat(_T_960, _T_953) @[lib.scala 430:14] + node _T_962 = cat(_T_961, _T_946) @[lib.scala 430:14] + node _T_963 = bits(_T_745, 0, 0) @[lib.scala 430:24] + node _T_964 = cat(_T_962, _T_963) @[Cat.scala 29:58] + node _T_965 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 243:86] + node _T_966 = mux(_T_744, _T_964, _T_965) @[exu_div_ctl.scala 243:22] + dividend_eff <= _T_966 @[exu_div_ctl.scala 243:16] + node _T_967 = bits(add, 0, 0) @[exu_div_ctl.scala 244:20] + node _T_968 = not(m_ff) @[exu_div_ctl.scala 244:35] + node _T_969 = mux(_T_967, m_ff, _T_968) @[exu_div_ctl.scala 244:15] + m_eff <= _T_969 @[exu_div_ctl.scala 244:9] + node _T_970 = cat(UInt<33>("h00"), dividend_eff) @[Cat.scala 29:58] + node _T_971 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 245:65] + node _T_972 = dshl(_T_970, _T_971) @[exu_div_ctl.scala 245:47] + a_eff_shift <= _T_972 @[exu_div_ctl.scala 245:15] + node _T_973 = bits(rem_correct, 0, 0) @[exu_div_ctl.scala 247:17] + node _T_974 = eq(rem_correct, UInt<1>("h00")) @[exu_div_ctl.scala 248:6] + node _T_975 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 248:21] + node _T_976 = and(_T_974, _T_975) @[exu_div_ctl.scala 248:19] + node _T_977 = bits(_T_976, 0, 0) @[exu_div_ctl.scala 248:40] + node _T_978 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 248:58] + node _T_979 = bits(q_ff, 32, 32) @[exu_div_ctl.scala 248:70] + node _T_980 = cat(_T_978, _T_979) @[Cat.scala 29:58] + node _T_981 = eq(rem_correct, UInt<1>("h00")) @[exu_div_ctl.scala 249:6] + node _T_982 = and(_T_981, shortq_enable_ff) @[exu_div_ctl.scala 249:19] + node _T_983 = bits(_T_982, 0, 0) @[exu_div_ctl.scala 249:40] + node _T_984 = bits(a_eff_shift, 64, 32) @[exu_div_ctl.scala 249:61] + node _T_985 = mux(_T_973, a_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_986 = mux(_T_977, _T_980, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_987 = mux(_T_983, _T_984, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_988 = or(_T_985, _T_986) @[Mux.scala 27:72] + node _T_989 = or(_T_988, _T_987) @[Mux.scala 27:72] + wire _T_990 : UInt<33> @[Mux.scala 27:72] + _T_990 <= _T_989 @[Mux.scala 27:72] + a_eff <= _T_990 @[exu_div_ctl.scala 246:9] + node _T_991 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 251:49] + node _T_992 = and(run_state, _T_991) @[exu_div_ctl.scala 251:47] + node _T_993 = neq(count, UInt<6>("h021")) @[exu_div_ctl.scala 251:73] + node _T_994 = and(_T_992, _T_993) @[exu_div_ctl.scala 251:64] + node _T_995 = or(io.valid_in, _T_994) @[exu_div_ctl.scala 251:34] + node aff_enable = or(_T_995, rem_correct) @[exu_div_ctl.scala 251:89] + node _T_996 = bits(run_state, 0, 0) @[Bitwise.scala 72:15] + node _T_997 = mux(_T_996, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] + node _T_998 = and(_T_997, a_eff) @[exu_div_ctl.scala 252:33] + a_shift <= _T_998 @[exu_div_ctl.scala 252:11] + node _T_999 = bits(run_state, 0, 0) @[Bitwise.scala 72:15] + node _T_1000 = mux(_T_999, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] + node _T_1001 = add(a_shift, m_eff) @[exu_div_ctl.scala 253:41] + node _T_1002 = tail(_T_1001, 1) @[exu_div_ctl.scala 253:41] + node _T_1003 = eq(add, UInt<1>("h00")) @[exu_div_ctl.scala 253:65] + node _T_1004 = cat(UInt<32>("h00"), _T_1003) @[Cat.scala 29:58] + node _T_1005 = add(_T_1002, _T_1004) @[exu_div_ctl.scala 253:49] + node _T_1006 = tail(_T_1005, 1) @[exu_div_ctl.scala 253:49] + node _T_1007 = and(_T_1000, _T_1006) @[exu_div_ctl.scala 253:30] + a_in <= _T_1007 @[exu_div_ctl.scala 253:8] + node m_already_comp = and(divisor_neg_ff, sign_ff) @[exu_div_ctl.scala 254:48] + node _T_1008 = bits(a_ff, 32, 32) @[exu_div_ctl.scala 256:16] + node _T_1009 = or(_T_1008, rem_correct) @[exu_div_ctl.scala 256:21] + node _T_1010 = xor(_T_1009, m_already_comp) @[exu_div_ctl.scala 256:36] + add <= _T_1010 @[exu_div_ctl.scala 256:8] + node _T_1011 = eq(count, UInt<6>("h021")) @[exu_div_ctl.scala 257:26] + node _T_1012 = and(_T_1011, rem_ff) @[exu_div_ctl.scala 257:41] + node _T_1013 = bits(a_ff, 32, 32) @[exu_div_ctl.scala 257:56] + node _T_1014 = and(_T_1012, _T_1013) @[exu_div_ctl.scala 257:50] + rem_correct <= _T_1014 @[exu_div_ctl.scala 257:16] + node _T_1015 = xor(dividend_neg_ff, divisor_neg_ff) @[exu_div_ctl.scala 258:50] + node _T_1016 = and(sign_ff, _T_1015) @[exu_div_ctl.scala 258:31] + node _T_1017 = bits(_T_1016, 0, 0) @[exu_div_ctl.scala 258:69] + node _T_1018 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 258:91] + wire _T_1019 : UInt<1>[31] @[lib.scala 426:20] + node _T_1020 = bits(_T_1018, 0, 0) @[lib.scala 428:27] + node _T_1021 = orr(_T_1020) @[lib.scala 428:35] + node _T_1022 = bits(_T_1018, 1, 1) @[lib.scala 428:44] + node _T_1023 = not(_T_1022) @[lib.scala 428:40] + node _T_1024 = bits(_T_1018, 1, 1) @[lib.scala 428:51] + node _T_1025 = mux(_T_1021, _T_1023, _T_1024) @[lib.scala 428:23] + _T_1019[0] <= _T_1025 @[lib.scala 428:17] + node _T_1026 = bits(_T_1018, 1, 0) @[lib.scala 428:27] + node _T_1027 = orr(_T_1026) @[lib.scala 428:35] + node _T_1028 = bits(_T_1018, 2, 2) @[lib.scala 428:44] + node _T_1029 = not(_T_1028) @[lib.scala 428:40] + node _T_1030 = bits(_T_1018, 2, 2) @[lib.scala 428:51] + node _T_1031 = mux(_T_1027, _T_1029, _T_1030) @[lib.scala 428:23] + _T_1019[1] <= _T_1031 @[lib.scala 428:17] + node _T_1032 = bits(_T_1018, 2, 0) @[lib.scala 428:27] + node _T_1033 = orr(_T_1032) @[lib.scala 428:35] + node _T_1034 = bits(_T_1018, 3, 3) @[lib.scala 428:44] + node _T_1035 = not(_T_1034) @[lib.scala 428:40] + node _T_1036 = bits(_T_1018, 3, 3) @[lib.scala 428:51] + node _T_1037 = mux(_T_1033, _T_1035, _T_1036) @[lib.scala 428:23] + _T_1019[2] <= _T_1037 @[lib.scala 428:17] + node _T_1038 = bits(_T_1018, 3, 0) @[lib.scala 428:27] + node _T_1039 = orr(_T_1038) @[lib.scala 428:35] + node _T_1040 = bits(_T_1018, 4, 4) @[lib.scala 428:44] + node _T_1041 = not(_T_1040) @[lib.scala 428:40] + node _T_1042 = bits(_T_1018, 4, 4) @[lib.scala 428:51] + node _T_1043 = mux(_T_1039, _T_1041, _T_1042) @[lib.scala 428:23] + _T_1019[3] <= _T_1043 @[lib.scala 428:17] + node _T_1044 = bits(_T_1018, 4, 0) @[lib.scala 428:27] + node _T_1045 = orr(_T_1044) @[lib.scala 428:35] + node _T_1046 = bits(_T_1018, 5, 5) @[lib.scala 428:44] + node _T_1047 = not(_T_1046) @[lib.scala 428:40] + node _T_1048 = bits(_T_1018, 5, 5) @[lib.scala 428:51] + node _T_1049 = mux(_T_1045, _T_1047, _T_1048) @[lib.scala 428:23] + _T_1019[4] <= _T_1049 @[lib.scala 428:17] + node _T_1050 = bits(_T_1018, 5, 0) @[lib.scala 428:27] + node _T_1051 = orr(_T_1050) @[lib.scala 428:35] + node _T_1052 = bits(_T_1018, 6, 6) @[lib.scala 428:44] + node _T_1053 = not(_T_1052) @[lib.scala 428:40] + node _T_1054 = bits(_T_1018, 6, 6) @[lib.scala 428:51] + node _T_1055 = mux(_T_1051, _T_1053, _T_1054) @[lib.scala 428:23] + _T_1019[5] <= _T_1055 @[lib.scala 428:17] + node _T_1056 = bits(_T_1018, 6, 0) @[lib.scala 428:27] + node _T_1057 = orr(_T_1056) @[lib.scala 428:35] + node _T_1058 = bits(_T_1018, 7, 7) @[lib.scala 428:44] + node _T_1059 = not(_T_1058) @[lib.scala 428:40] + node _T_1060 = bits(_T_1018, 7, 7) @[lib.scala 428:51] + node _T_1061 = mux(_T_1057, _T_1059, _T_1060) @[lib.scala 428:23] + _T_1019[6] <= _T_1061 @[lib.scala 428:17] + node _T_1062 = bits(_T_1018, 7, 0) @[lib.scala 428:27] + node _T_1063 = orr(_T_1062) @[lib.scala 428:35] + node _T_1064 = bits(_T_1018, 8, 8) @[lib.scala 428:44] + node _T_1065 = not(_T_1064) @[lib.scala 428:40] + node _T_1066 = bits(_T_1018, 8, 8) @[lib.scala 428:51] + node _T_1067 = mux(_T_1063, _T_1065, _T_1066) @[lib.scala 428:23] + _T_1019[7] <= _T_1067 @[lib.scala 428:17] + node _T_1068 = bits(_T_1018, 8, 0) @[lib.scala 428:27] + node _T_1069 = orr(_T_1068) @[lib.scala 428:35] + node _T_1070 = bits(_T_1018, 9, 9) @[lib.scala 428:44] + node _T_1071 = not(_T_1070) @[lib.scala 428:40] + node _T_1072 = bits(_T_1018, 9, 9) @[lib.scala 428:51] + node _T_1073 = mux(_T_1069, _T_1071, _T_1072) @[lib.scala 428:23] + _T_1019[8] <= _T_1073 @[lib.scala 428:17] + node _T_1074 = bits(_T_1018, 9, 0) @[lib.scala 428:27] + node _T_1075 = orr(_T_1074) @[lib.scala 428:35] + node _T_1076 = bits(_T_1018, 10, 10) @[lib.scala 428:44] + node _T_1077 = not(_T_1076) @[lib.scala 428:40] + node _T_1078 = bits(_T_1018, 10, 10) @[lib.scala 428:51] + node _T_1079 = mux(_T_1075, _T_1077, _T_1078) @[lib.scala 428:23] + _T_1019[9] <= _T_1079 @[lib.scala 428:17] + node _T_1080 = bits(_T_1018, 10, 0) @[lib.scala 428:27] + node _T_1081 = orr(_T_1080) @[lib.scala 428:35] + node _T_1082 = bits(_T_1018, 11, 11) @[lib.scala 428:44] + node _T_1083 = not(_T_1082) @[lib.scala 428:40] + node _T_1084 = bits(_T_1018, 11, 11) @[lib.scala 428:51] + node _T_1085 = mux(_T_1081, _T_1083, _T_1084) @[lib.scala 428:23] + _T_1019[10] <= _T_1085 @[lib.scala 428:17] + node _T_1086 = bits(_T_1018, 11, 0) @[lib.scala 428:27] + node _T_1087 = orr(_T_1086) @[lib.scala 428:35] + node _T_1088 = bits(_T_1018, 12, 12) @[lib.scala 428:44] + node _T_1089 = not(_T_1088) @[lib.scala 428:40] + node _T_1090 = bits(_T_1018, 12, 12) @[lib.scala 428:51] + node _T_1091 = mux(_T_1087, _T_1089, _T_1090) @[lib.scala 428:23] + _T_1019[11] <= _T_1091 @[lib.scala 428:17] + node _T_1092 = bits(_T_1018, 12, 0) @[lib.scala 428:27] + node _T_1093 = orr(_T_1092) @[lib.scala 428:35] + node _T_1094 = bits(_T_1018, 13, 13) @[lib.scala 428:44] + node _T_1095 = not(_T_1094) @[lib.scala 428:40] + node _T_1096 = bits(_T_1018, 13, 13) @[lib.scala 428:51] + node _T_1097 = mux(_T_1093, _T_1095, _T_1096) @[lib.scala 428:23] + _T_1019[12] <= _T_1097 @[lib.scala 428:17] + node _T_1098 = bits(_T_1018, 13, 0) @[lib.scala 428:27] + node _T_1099 = orr(_T_1098) @[lib.scala 428:35] + node _T_1100 = bits(_T_1018, 14, 14) @[lib.scala 428:44] + node _T_1101 = not(_T_1100) @[lib.scala 428:40] + node _T_1102 = bits(_T_1018, 14, 14) @[lib.scala 428:51] + node _T_1103 = mux(_T_1099, _T_1101, _T_1102) @[lib.scala 428:23] + _T_1019[13] <= _T_1103 @[lib.scala 428:17] + node _T_1104 = bits(_T_1018, 14, 0) @[lib.scala 428:27] + node _T_1105 = orr(_T_1104) @[lib.scala 428:35] + node _T_1106 = bits(_T_1018, 15, 15) @[lib.scala 428:44] + node _T_1107 = not(_T_1106) @[lib.scala 428:40] + node _T_1108 = bits(_T_1018, 15, 15) @[lib.scala 428:51] + node _T_1109 = mux(_T_1105, _T_1107, _T_1108) @[lib.scala 428:23] + _T_1019[14] <= _T_1109 @[lib.scala 428:17] + node _T_1110 = bits(_T_1018, 15, 0) @[lib.scala 428:27] + node _T_1111 = orr(_T_1110) @[lib.scala 428:35] + node _T_1112 = bits(_T_1018, 16, 16) @[lib.scala 428:44] + node _T_1113 = not(_T_1112) @[lib.scala 428:40] + node _T_1114 = bits(_T_1018, 16, 16) @[lib.scala 428:51] + node _T_1115 = mux(_T_1111, _T_1113, _T_1114) @[lib.scala 428:23] + _T_1019[15] <= _T_1115 @[lib.scala 428:17] + node _T_1116 = bits(_T_1018, 16, 0) @[lib.scala 428:27] + node _T_1117 = orr(_T_1116) @[lib.scala 428:35] + node _T_1118 = bits(_T_1018, 17, 17) @[lib.scala 428:44] + node _T_1119 = not(_T_1118) @[lib.scala 428:40] + node _T_1120 = bits(_T_1018, 17, 17) @[lib.scala 428:51] + node _T_1121 = mux(_T_1117, _T_1119, _T_1120) @[lib.scala 428:23] + _T_1019[16] <= _T_1121 @[lib.scala 428:17] + node _T_1122 = bits(_T_1018, 17, 0) @[lib.scala 428:27] + node _T_1123 = orr(_T_1122) @[lib.scala 428:35] + node _T_1124 = bits(_T_1018, 18, 18) @[lib.scala 428:44] + node _T_1125 = not(_T_1124) @[lib.scala 428:40] + node _T_1126 = bits(_T_1018, 18, 18) @[lib.scala 428:51] + node _T_1127 = mux(_T_1123, _T_1125, _T_1126) @[lib.scala 428:23] + _T_1019[17] <= _T_1127 @[lib.scala 428:17] + node _T_1128 = bits(_T_1018, 18, 0) @[lib.scala 428:27] + node _T_1129 = orr(_T_1128) @[lib.scala 428:35] + node _T_1130 = bits(_T_1018, 19, 19) @[lib.scala 428:44] + node _T_1131 = not(_T_1130) @[lib.scala 428:40] + node _T_1132 = bits(_T_1018, 19, 19) @[lib.scala 428:51] + node _T_1133 = mux(_T_1129, _T_1131, _T_1132) @[lib.scala 428:23] + _T_1019[18] <= _T_1133 @[lib.scala 428:17] + node _T_1134 = bits(_T_1018, 19, 0) @[lib.scala 428:27] + node _T_1135 = orr(_T_1134) @[lib.scala 428:35] + node _T_1136 = bits(_T_1018, 20, 20) @[lib.scala 428:44] + node _T_1137 = not(_T_1136) @[lib.scala 428:40] + node _T_1138 = bits(_T_1018, 20, 20) @[lib.scala 428:51] + node _T_1139 = mux(_T_1135, _T_1137, _T_1138) @[lib.scala 428:23] + _T_1019[19] <= _T_1139 @[lib.scala 428:17] + node _T_1140 = bits(_T_1018, 20, 0) @[lib.scala 428:27] + node _T_1141 = orr(_T_1140) @[lib.scala 428:35] + node _T_1142 = bits(_T_1018, 21, 21) @[lib.scala 428:44] + node _T_1143 = not(_T_1142) @[lib.scala 428:40] + node _T_1144 = bits(_T_1018, 21, 21) @[lib.scala 428:51] + node _T_1145 = mux(_T_1141, _T_1143, _T_1144) @[lib.scala 428:23] + _T_1019[20] <= _T_1145 @[lib.scala 428:17] + node _T_1146 = bits(_T_1018, 21, 0) @[lib.scala 428:27] + node _T_1147 = orr(_T_1146) @[lib.scala 428:35] + node _T_1148 = bits(_T_1018, 22, 22) @[lib.scala 428:44] + node _T_1149 = not(_T_1148) @[lib.scala 428:40] + node _T_1150 = bits(_T_1018, 22, 22) @[lib.scala 428:51] + node _T_1151 = mux(_T_1147, _T_1149, _T_1150) @[lib.scala 428:23] + _T_1019[21] <= _T_1151 @[lib.scala 428:17] + node _T_1152 = bits(_T_1018, 22, 0) @[lib.scala 428:27] + node _T_1153 = orr(_T_1152) @[lib.scala 428:35] + node _T_1154 = bits(_T_1018, 23, 23) @[lib.scala 428:44] + node _T_1155 = not(_T_1154) @[lib.scala 428:40] + node _T_1156 = bits(_T_1018, 23, 23) @[lib.scala 428:51] + node _T_1157 = mux(_T_1153, _T_1155, _T_1156) @[lib.scala 428:23] + _T_1019[22] <= _T_1157 @[lib.scala 428:17] + node _T_1158 = bits(_T_1018, 23, 0) @[lib.scala 428:27] + node _T_1159 = orr(_T_1158) @[lib.scala 428:35] + node _T_1160 = bits(_T_1018, 24, 24) @[lib.scala 428:44] + node _T_1161 = not(_T_1160) @[lib.scala 428:40] + node _T_1162 = bits(_T_1018, 24, 24) @[lib.scala 428:51] + node _T_1163 = mux(_T_1159, _T_1161, _T_1162) @[lib.scala 428:23] + _T_1019[23] <= _T_1163 @[lib.scala 428:17] + node _T_1164 = bits(_T_1018, 24, 0) @[lib.scala 428:27] + node _T_1165 = orr(_T_1164) @[lib.scala 428:35] + node _T_1166 = bits(_T_1018, 25, 25) @[lib.scala 428:44] + node _T_1167 = not(_T_1166) @[lib.scala 428:40] + node _T_1168 = bits(_T_1018, 25, 25) @[lib.scala 428:51] + node _T_1169 = mux(_T_1165, _T_1167, _T_1168) @[lib.scala 428:23] + _T_1019[24] <= _T_1169 @[lib.scala 428:17] + node _T_1170 = bits(_T_1018, 25, 0) @[lib.scala 428:27] + node _T_1171 = orr(_T_1170) @[lib.scala 428:35] + node _T_1172 = bits(_T_1018, 26, 26) @[lib.scala 428:44] + node _T_1173 = not(_T_1172) @[lib.scala 428:40] + node _T_1174 = bits(_T_1018, 26, 26) @[lib.scala 428:51] + node _T_1175 = mux(_T_1171, _T_1173, _T_1174) @[lib.scala 428:23] + _T_1019[25] <= _T_1175 @[lib.scala 428:17] + node _T_1176 = bits(_T_1018, 26, 0) @[lib.scala 428:27] + node _T_1177 = orr(_T_1176) @[lib.scala 428:35] + node _T_1178 = bits(_T_1018, 27, 27) @[lib.scala 428:44] + node _T_1179 = not(_T_1178) @[lib.scala 428:40] + node _T_1180 = bits(_T_1018, 27, 27) @[lib.scala 428:51] + node _T_1181 = mux(_T_1177, _T_1179, _T_1180) @[lib.scala 428:23] + _T_1019[26] <= _T_1181 @[lib.scala 428:17] + node _T_1182 = bits(_T_1018, 27, 0) @[lib.scala 428:27] + node _T_1183 = orr(_T_1182) @[lib.scala 428:35] + node _T_1184 = bits(_T_1018, 28, 28) @[lib.scala 428:44] + node _T_1185 = not(_T_1184) @[lib.scala 428:40] + node _T_1186 = bits(_T_1018, 28, 28) @[lib.scala 428:51] + node _T_1187 = mux(_T_1183, _T_1185, _T_1186) @[lib.scala 428:23] + _T_1019[27] <= _T_1187 @[lib.scala 428:17] + node _T_1188 = bits(_T_1018, 28, 0) @[lib.scala 428:27] + node _T_1189 = orr(_T_1188) @[lib.scala 428:35] + node _T_1190 = bits(_T_1018, 29, 29) @[lib.scala 428:44] + node _T_1191 = not(_T_1190) @[lib.scala 428:40] + node _T_1192 = bits(_T_1018, 29, 29) @[lib.scala 428:51] + node _T_1193 = mux(_T_1189, _T_1191, _T_1192) @[lib.scala 428:23] + _T_1019[28] <= _T_1193 @[lib.scala 428:17] + node _T_1194 = bits(_T_1018, 29, 0) @[lib.scala 428:27] + node _T_1195 = orr(_T_1194) @[lib.scala 428:35] + node _T_1196 = bits(_T_1018, 30, 30) @[lib.scala 428:44] + node _T_1197 = not(_T_1196) @[lib.scala 428:40] + node _T_1198 = bits(_T_1018, 30, 30) @[lib.scala 428:51] + node _T_1199 = mux(_T_1195, _T_1197, _T_1198) @[lib.scala 428:23] + _T_1019[29] <= _T_1199 @[lib.scala 428:17] + node _T_1200 = bits(_T_1018, 30, 0) @[lib.scala 428:27] + node _T_1201 = orr(_T_1200) @[lib.scala 428:35] + node _T_1202 = bits(_T_1018, 31, 31) @[lib.scala 428:44] + node _T_1203 = not(_T_1202) @[lib.scala 428:40] + node _T_1204 = bits(_T_1018, 31, 31) @[lib.scala 428:51] + node _T_1205 = mux(_T_1201, _T_1203, _T_1204) @[lib.scala 428:23] + _T_1019[30] <= _T_1205 @[lib.scala 428:17] + node _T_1206 = cat(_T_1019[2], _T_1019[1]) @[lib.scala 430:14] + node _T_1207 = cat(_T_1206, _T_1019[0]) @[lib.scala 430:14] + node _T_1208 = cat(_T_1019[4], _T_1019[3]) @[lib.scala 430:14] + node _T_1209 = cat(_T_1019[6], _T_1019[5]) @[lib.scala 430:14] + node _T_1210 = cat(_T_1209, _T_1208) @[lib.scala 430:14] + node _T_1211 = cat(_T_1210, _T_1207) @[lib.scala 430:14] + node _T_1212 = cat(_T_1019[8], _T_1019[7]) @[lib.scala 430:14] + node _T_1213 = cat(_T_1019[10], _T_1019[9]) @[lib.scala 430:14] + node _T_1214 = cat(_T_1213, _T_1212) @[lib.scala 430:14] + node _T_1215 = cat(_T_1019[12], _T_1019[11]) @[lib.scala 430:14] + node _T_1216 = cat(_T_1019[14], _T_1019[13]) @[lib.scala 430:14] + node _T_1217 = cat(_T_1216, _T_1215) @[lib.scala 430:14] + node _T_1218 = cat(_T_1217, _T_1214) @[lib.scala 430:14] + node _T_1219 = cat(_T_1218, _T_1211) @[lib.scala 430:14] + node _T_1220 = cat(_T_1019[16], _T_1019[15]) @[lib.scala 430:14] + node _T_1221 = cat(_T_1019[18], _T_1019[17]) @[lib.scala 430:14] + node _T_1222 = cat(_T_1221, _T_1220) @[lib.scala 430:14] + node _T_1223 = cat(_T_1019[20], _T_1019[19]) @[lib.scala 430:14] + node _T_1224 = cat(_T_1019[22], _T_1019[21]) @[lib.scala 430:14] + node _T_1225 = cat(_T_1224, _T_1223) @[lib.scala 430:14] + node _T_1226 = cat(_T_1225, _T_1222) @[lib.scala 430:14] + node _T_1227 = cat(_T_1019[24], _T_1019[23]) @[lib.scala 430:14] + node _T_1228 = cat(_T_1019[26], _T_1019[25]) @[lib.scala 430:14] + node _T_1229 = cat(_T_1228, _T_1227) @[lib.scala 430:14] + node _T_1230 = cat(_T_1019[28], _T_1019[27]) @[lib.scala 430:14] + node _T_1231 = cat(_T_1019[30], _T_1019[29]) @[lib.scala 430:14] + node _T_1232 = cat(_T_1231, _T_1230) @[lib.scala 430:14] + node _T_1233 = cat(_T_1232, _T_1229) @[lib.scala 430:14] + node _T_1234 = cat(_T_1233, _T_1226) @[lib.scala 430:14] + node _T_1235 = cat(_T_1234, _T_1219) @[lib.scala 430:14] + node _T_1236 = bits(_T_1018, 0, 0) @[lib.scala 430:24] + node _T_1237 = cat(_T_1235, _T_1236) @[Cat.scala 29:58] + node _T_1238 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 258:104] + node q_ff_eff = mux(_T_1017, _T_1237, _T_1238) @[exu_div_ctl.scala 258:21] + node _T_1239 = and(sign_ff, dividend_neg_ff) @[exu_div_ctl.scala 259:31] + node _T_1240 = bits(_T_1239, 0, 0) @[exu_div_ctl.scala 259:51] + node _T_1241 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 259:74] + wire _T_1242 : UInt<1>[31] @[lib.scala 426:20] + node _T_1243 = bits(_T_1241, 0, 0) @[lib.scala 428:27] + node _T_1244 = orr(_T_1243) @[lib.scala 428:35] + node _T_1245 = bits(_T_1241, 1, 1) @[lib.scala 428:44] + node _T_1246 = not(_T_1245) @[lib.scala 428:40] + node _T_1247 = bits(_T_1241, 1, 1) @[lib.scala 428:51] + node _T_1248 = mux(_T_1244, _T_1246, _T_1247) @[lib.scala 428:23] + _T_1242[0] <= _T_1248 @[lib.scala 428:17] + node _T_1249 = bits(_T_1241, 1, 0) @[lib.scala 428:27] + node _T_1250 = orr(_T_1249) @[lib.scala 428:35] + node _T_1251 = bits(_T_1241, 2, 2) @[lib.scala 428:44] + node _T_1252 = not(_T_1251) @[lib.scala 428:40] + node _T_1253 = bits(_T_1241, 2, 2) @[lib.scala 428:51] + node _T_1254 = mux(_T_1250, _T_1252, _T_1253) @[lib.scala 428:23] + _T_1242[1] <= _T_1254 @[lib.scala 428:17] + node _T_1255 = bits(_T_1241, 2, 0) @[lib.scala 428:27] + node _T_1256 = orr(_T_1255) @[lib.scala 428:35] + node _T_1257 = bits(_T_1241, 3, 3) @[lib.scala 428:44] + node _T_1258 = not(_T_1257) @[lib.scala 428:40] + node _T_1259 = bits(_T_1241, 3, 3) @[lib.scala 428:51] + node _T_1260 = mux(_T_1256, _T_1258, _T_1259) @[lib.scala 428:23] + _T_1242[2] <= _T_1260 @[lib.scala 428:17] + node _T_1261 = bits(_T_1241, 3, 0) @[lib.scala 428:27] + node _T_1262 = orr(_T_1261) @[lib.scala 428:35] + node _T_1263 = bits(_T_1241, 4, 4) @[lib.scala 428:44] + node _T_1264 = not(_T_1263) @[lib.scala 428:40] + node _T_1265 = bits(_T_1241, 4, 4) @[lib.scala 428:51] + node _T_1266 = mux(_T_1262, _T_1264, _T_1265) @[lib.scala 428:23] + _T_1242[3] <= _T_1266 @[lib.scala 428:17] + node _T_1267 = bits(_T_1241, 4, 0) @[lib.scala 428:27] + node _T_1268 = orr(_T_1267) @[lib.scala 428:35] + node _T_1269 = bits(_T_1241, 5, 5) @[lib.scala 428:44] + node _T_1270 = not(_T_1269) @[lib.scala 428:40] + node _T_1271 = bits(_T_1241, 5, 5) @[lib.scala 428:51] + node _T_1272 = mux(_T_1268, _T_1270, _T_1271) @[lib.scala 428:23] + _T_1242[4] <= _T_1272 @[lib.scala 428:17] + node _T_1273 = bits(_T_1241, 5, 0) @[lib.scala 428:27] + node _T_1274 = orr(_T_1273) @[lib.scala 428:35] + node _T_1275 = bits(_T_1241, 6, 6) @[lib.scala 428:44] + node _T_1276 = not(_T_1275) @[lib.scala 428:40] + node _T_1277 = bits(_T_1241, 6, 6) @[lib.scala 428:51] + node _T_1278 = mux(_T_1274, _T_1276, _T_1277) @[lib.scala 428:23] + _T_1242[5] <= _T_1278 @[lib.scala 428:17] + node _T_1279 = bits(_T_1241, 6, 0) @[lib.scala 428:27] + node _T_1280 = orr(_T_1279) @[lib.scala 428:35] + node _T_1281 = bits(_T_1241, 7, 7) @[lib.scala 428:44] + node _T_1282 = not(_T_1281) @[lib.scala 428:40] + node _T_1283 = bits(_T_1241, 7, 7) @[lib.scala 428:51] + node _T_1284 = mux(_T_1280, _T_1282, _T_1283) @[lib.scala 428:23] + _T_1242[6] <= _T_1284 @[lib.scala 428:17] + node _T_1285 = bits(_T_1241, 7, 0) @[lib.scala 428:27] + node _T_1286 = orr(_T_1285) @[lib.scala 428:35] + node _T_1287 = bits(_T_1241, 8, 8) @[lib.scala 428:44] + node _T_1288 = not(_T_1287) @[lib.scala 428:40] + node _T_1289 = bits(_T_1241, 8, 8) @[lib.scala 428:51] + node _T_1290 = mux(_T_1286, _T_1288, _T_1289) @[lib.scala 428:23] + _T_1242[7] <= _T_1290 @[lib.scala 428:17] + node _T_1291 = bits(_T_1241, 8, 0) @[lib.scala 428:27] + node _T_1292 = orr(_T_1291) @[lib.scala 428:35] + node _T_1293 = bits(_T_1241, 9, 9) @[lib.scala 428:44] + node _T_1294 = not(_T_1293) @[lib.scala 428:40] + node _T_1295 = bits(_T_1241, 9, 9) @[lib.scala 428:51] + node _T_1296 = mux(_T_1292, _T_1294, _T_1295) @[lib.scala 428:23] + _T_1242[8] <= _T_1296 @[lib.scala 428:17] + node _T_1297 = bits(_T_1241, 9, 0) @[lib.scala 428:27] + node _T_1298 = orr(_T_1297) @[lib.scala 428:35] + node _T_1299 = bits(_T_1241, 10, 10) @[lib.scala 428:44] + node _T_1300 = not(_T_1299) @[lib.scala 428:40] + node _T_1301 = bits(_T_1241, 10, 10) @[lib.scala 428:51] + node _T_1302 = mux(_T_1298, _T_1300, _T_1301) @[lib.scala 428:23] + _T_1242[9] <= _T_1302 @[lib.scala 428:17] + node _T_1303 = bits(_T_1241, 10, 0) @[lib.scala 428:27] + node _T_1304 = orr(_T_1303) @[lib.scala 428:35] + node _T_1305 = bits(_T_1241, 11, 11) @[lib.scala 428:44] + node _T_1306 = not(_T_1305) @[lib.scala 428:40] + node _T_1307 = bits(_T_1241, 11, 11) @[lib.scala 428:51] + node _T_1308 = mux(_T_1304, _T_1306, _T_1307) @[lib.scala 428:23] + _T_1242[10] <= _T_1308 @[lib.scala 428:17] + node _T_1309 = bits(_T_1241, 11, 0) @[lib.scala 428:27] + node _T_1310 = orr(_T_1309) @[lib.scala 428:35] + node _T_1311 = bits(_T_1241, 12, 12) @[lib.scala 428:44] + node _T_1312 = not(_T_1311) @[lib.scala 428:40] + node _T_1313 = bits(_T_1241, 12, 12) @[lib.scala 428:51] + node _T_1314 = mux(_T_1310, _T_1312, _T_1313) @[lib.scala 428:23] + _T_1242[11] <= _T_1314 @[lib.scala 428:17] + node _T_1315 = bits(_T_1241, 12, 0) @[lib.scala 428:27] + node _T_1316 = orr(_T_1315) @[lib.scala 428:35] + node _T_1317 = bits(_T_1241, 13, 13) @[lib.scala 428:44] + node _T_1318 = not(_T_1317) @[lib.scala 428:40] + node _T_1319 = bits(_T_1241, 13, 13) @[lib.scala 428:51] + node _T_1320 = mux(_T_1316, _T_1318, _T_1319) @[lib.scala 428:23] + _T_1242[12] <= _T_1320 @[lib.scala 428:17] + node _T_1321 = bits(_T_1241, 13, 0) @[lib.scala 428:27] + node _T_1322 = orr(_T_1321) @[lib.scala 428:35] + node _T_1323 = bits(_T_1241, 14, 14) @[lib.scala 428:44] + node _T_1324 = not(_T_1323) @[lib.scala 428:40] + node _T_1325 = bits(_T_1241, 14, 14) @[lib.scala 428:51] + node _T_1326 = mux(_T_1322, _T_1324, _T_1325) @[lib.scala 428:23] + _T_1242[13] <= _T_1326 @[lib.scala 428:17] + node _T_1327 = bits(_T_1241, 14, 0) @[lib.scala 428:27] + node _T_1328 = orr(_T_1327) @[lib.scala 428:35] + node _T_1329 = bits(_T_1241, 15, 15) @[lib.scala 428:44] + node _T_1330 = not(_T_1329) @[lib.scala 428:40] + node _T_1331 = bits(_T_1241, 15, 15) @[lib.scala 428:51] + node _T_1332 = mux(_T_1328, _T_1330, _T_1331) @[lib.scala 428:23] + _T_1242[14] <= _T_1332 @[lib.scala 428:17] + node _T_1333 = bits(_T_1241, 15, 0) @[lib.scala 428:27] + node _T_1334 = orr(_T_1333) @[lib.scala 428:35] + node _T_1335 = bits(_T_1241, 16, 16) @[lib.scala 428:44] + node _T_1336 = not(_T_1335) @[lib.scala 428:40] + node _T_1337 = bits(_T_1241, 16, 16) @[lib.scala 428:51] + node _T_1338 = mux(_T_1334, _T_1336, _T_1337) @[lib.scala 428:23] + _T_1242[15] <= _T_1338 @[lib.scala 428:17] + node _T_1339 = bits(_T_1241, 16, 0) @[lib.scala 428:27] + node _T_1340 = orr(_T_1339) @[lib.scala 428:35] + node _T_1341 = bits(_T_1241, 17, 17) @[lib.scala 428:44] + node _T_1342 = not(_T_1341) @[lib.scala 428:40] + node _T_1343 = bits(_T_1241, 17, 17) @[lib.scala 428:51] + node _T_1344 = mux(_T_1340, _T_1342, _T_1343) @[lib.scala 428:23] + _T_1242[16] <= _T_1344 @[lib.scala 428:17] + node _T_1345 = bits(_T_1241, 17, 0) @[lib.scala 428:27] + node _T_1346 = orr(_T_1345) @[lib.scala 428:35] + node _T_1347 = bits(_T_1241, 18, 18) @[lib.scala 428:44] + node _T_1348 = not(_T_1347) @[lib.scala 428:40] + node _T_1349 = bits(_T_1241, 18, 18) @[lib.scala 428:51] + node _T_1350 = mux(_T_1346, _T_1348, _T_1349) @[lib.scala 428:23] + _T_1242[17] <= _T_1350 @[lib.scala 428:17] + node _T_1351 = bits(_T_1241, 18, 0) @[lib.scala 428:27] + node _T_1352 = orr(_T_1351) @[lib.scala 428:35] + node _T_1353 = bits(_T_1241, 19, 19) @[lib.scala 428:44] + node _T_1354 = not(_T_1353) @[lib.scala 428:40] + node _T_1355 = bits(_T_1241, 19, 19) @[lib.scala 428:51] + node _T_1356 = mux(_T_1352, _T_1354, _T_1355) @[lib.scala 428:23] + _T_1242[18] <= _T_1356 @[lib.scala 428:17] + node _T_1357 = bits(_T_1241, 19, 0) @[lib.scala 428:27] + node _T_1358 = orr(_T_1357) @[lib.scala 428:35] + node _T_1359 = bits(_T_1241, 20, 20) @[lib.scala 428:44] + node _T_1360 = not(_T_1359) @[lib.scala 428:40] + node _T_1361 = bits(_T_1241, 20, 20) @[lib.scala 428:51] + node _T_1362 = mux(_T_1358, _T_1360, _T_1361) @[lib.scala 428:23] + _T_1242[19] <= _T_1362 @[lib.scala 428:17] + node _T_1363 = bits(_T_1241, 20, 0) @[lib.scala 428:27] + node _T_1364 = orr(_T_1363) @[lib.scala 428:35] + node _T_1365 = bits(_T_1241, 21, 21) @[lib.scala 428:44] + node _T_1366 = not(_T_1365) @[lib.scala 428:40] + node _T_1367 = bits(_T_1241, 21, 21) @[lib.scala 428:51] + node _T_1368 = mux(_T_1364, _T_1366, _T_1367) @[lib.scala 428:23] + _T_1242[20] <= _T_1368 @[lib.scala 428:17] + node _T_1369 = bits(_T_1241, 21, 0) @[lib.scala 428:27] + node _T_1370 = orr(_T_1369) @[lib.scala 428:35] + node _T_1371 = bits(_T_1241, 22, 22) @[lib.scala 428:44] + node _T_1372 = not(_T_1371) @[lib.scala 428:40] + node _T_1373 = bits(_T_1241, 22, 22) @[lib.scala 428:51] + node _T_1374 = mux(_T_1370, _T_1372, _T_1373) @[lib.scala 428:23] + _T_1242[21] <= _T_1374 @[lib.scala 428:17] + node _T_1375 = bits(_T_1241, 22, 0) @[lib.scala 428:27] + node _T_1376 = orr(_T_1375) @[lib.scala 428:35] + node _T_1377 = bits(_T_1241, 23, 23) @[lib.scala 428:44] + node _T_1378 = not(_T_1377) @[lib.scala 428:40] + node _T_1379 = bits(_T_1241, 23, 23) @[lib.scala 428:51] + node _T_1380 = mux(_T_1376, _T_1378, _T_1379) @[lib.scala 428:23] + _T_1242[22] <= _T_1380 @[lib.scala 428:17] + node _T_1381 = bits(_T_1241, 23, 0) @[lib.scala 428:27] + node _T_1382 = orr(_T_1381) @[lib.scala 428:35] + node _T_1383 = bits(_T_1241, 24, 24) @[lib.scala 428:44] + node _T_1384 = not(_T_1383) @[lib.scala 428:40] + node _T_1385 = bits(_T_1241, 24, 24) @[lib.scala 428:51] + node _T_1386 = mux(_T_1382, _T_1384, _T_1385) @[lib.scala 428:23] + _T_1242[23] <= _T_1386 @[lib.scala 428:17] + node _T_1387 = bits(_T_1241, 24, 0) @[lib.scala 428:27] + node _T_1388 = orr(_T_1387) @[lib.scala 428:35] + node _T_1389 = bits(_T_1241, 25, 25) @[lib.scala 428:44] + node _T_1390 = not(_T_1389) @[lib.scala 428:40] + node _T_1391 = bits(_T_1241, 25, 25) @[lib.scala 428:51] + node _T_1392 = mux(_T_1388, _T_1390, _T_1391) @[lib.scala 428:23] + _T_1242[24] <= _T_1392 @[lib.scala 428:17] + node _T_1393 = bits(_T_1241, 25, 0) @[lib.scala 428:27] + node _T_1394 = orr(_T_1393) @[lib.scala 428:35] + node _T_1395 = bits(_T_1241, 26, 26) @[lib.scala 428:44] + node _T_1396 = not(_T_1395) @[lib.scala 428:40] + node _T_1397 = bits(_T_1241, 26, 26) @[lib.scala 428:51] + node _T_1398 = mux(_T_1394, _T_1396, _T_1397) @[lib.scala 428:23] + _T_1242[25] <= _T_1398 @[lib.scala 428:17] + node _T_1399 = bits(_T_1241, 26, 0) @[lib.scala 428:27] + node _T_1400 = orr(_T_1399) @[lib.scala 428:35] + node _T_1401 = bits(_T_1241, 27, 27) @[lib.scala 428:44] + node _T_1402 = not(_T_1401) @[lib.scala 428:40] + node _T_1403 = bits(_T_1241, 27, 27) @[lib.scala 428:51] + node _T_1404 = mux(_T_1400, _T_1402, _T_1403) @[lib.scala 428:23] + _T_1242[26] <= _T_1404 @[lib.scala 428:17] + node _T_1405 = bits(_T_1241, 27, 0) @[lib.scala 428:27] + node _T_1406 = orr(_T_1405) @[lib.scala 428:35] + node _T_1407 = bits(_T_1241, 28, 28) @[lib.scala 428:44] + node _T_1408 = not(_T_1407) @[lib.scala 428:40] + node _T_1409 = bits(_T_1241, 28, 28) @[lib.scala 428:51] + node _T_1410 = mux(_T_1406, _T_1408, _T_1409) @[lib.scala 428:23] + _T_1242[27] <= _T_1410 @[lib.scala 428:17] + node _T_1411 = bits(_T_1241, 28, 0) @[lib.scala 428:27] + node _T_1412 = orr(_T_1411) @[lib.scala 428:35] + node _T_1413 = bits(_T_1241, 29, 29) @[lib.scala 428:44] + node _T_1414 = not(_T_1413) @[lib.scala 428:40] + node _T_1415 = bits(_T_1241, 29, 29) @[lib.scala 428:51] + node _T_1416 = mux(_T_1412, _T_1414, _T_1415) @[lib.scala 428:23] + _T_1242[28] <= _T_1416 @[lib.scala 428:17] + node _T_1417 = bits(_T_1241, 29, 0) @[lib.scala 428:27] + node _T_1418 = orr(_T_1417) @[lib.scala 428:35] + node _T_1419 = bits(_T_1241, 30, 30) @[lib.scala 428:44] + node _T_1420 = not(_T_1419) @[lib.scala 428:40] + node _T_1421 = bits(_T_1241, 30, 30) @[lib.scala 428:51] + node _T_1422 = mux(_T_1418, _T_1420, _T_1421) @[lib.scala 428:23] + _T_1242[29] <= _T_1422 @[lib.scala 428:17] + node _T_1423 = bits(_T_1241, 30, 0) @[lib.scala 428:27] + node _T_1424 = orr(_T_1423) @[lib.scala 428:35] + node _T_1425 = bits(_T_1241, 31, 31) @[lib.scala 428:44] + node _T_1426 = not(_T_1425) @[lib.scala 428:40] + node _T_1427 = bits(_T_1241, 31, 31) @[lib.scala 428:51] + node _T_1428 = mux(_T_1424, _T_1426, _T_1427) @[lib.scala 428:23] + _T_1242[30] <= _T_1428 @[lib.scala 428:17] + node _T_1429 = cat(_T_1242[2], _T_1242[1]) @[lib.scala 430:14] + node _T_1430 = cat(_T_1429, _T_1242[0]) @[lib.scala 430:14] + node _T_1431 = cat(_T_1242[4], _T_1242[3]) @[lib.scala 430:14] + node _T_1432 = cat(_T_1242[6], _T_1242[5]) @[lib.scala 430:14] + node _T_1433 = cat(_T_1432, _T_1431) @[lib.scala 430:14] + node _T_1434 = cat(_T_1433, _T_1430) @[lib.scala 430:14] + node _T_1435 = cat(_T_1242[8], _T_1242[7]) @[lib.scala 430:14] + node _T_1436 = cat(_T_1242[10], _T_1242[9]) @[lib.scala 430:14] + node _T_1437 = cat(_T_1436, _T_1435) @[lib.scala 430:14] + node _T_1438 = cat(_T_1242[12], _T_1242[11]) @[lib.scala 430:14] + node _T_1439 = cat(_T_1242[14], _T_1242[13]) @[lib.scala 430:14] + node _T_1440 = cat(_T_1439, _T_1438) @[lib.scala 430:14] + node _T_1441 = cat(_T_1440, _T_1437) @[lib.scala 430:14] + node _T_1442 = cat(_T_1441, _T_1434) @[lib.scala 430:14] + node _T_1443 = cat(_T_1242[16], _T_1242[15]) @[lib.scala 430:14] + node _T_1444 = cat(_T_1242[18], _T_1242[17]) @[lib.scala 430:14] + node _T_1445 = cat(_T_1444, _T_1443) @[lib.scala 430:14] + node _T_1446 = cat(_T_1242[20], _T_1242[19]) @[lib.scala 430:14] + node _T_1447 = cat(_T_1242[22], _T_1242[21]) @[lib.scala 430:14] + node _T_1448 = cat(_T_1447, _T_1446) @[lib.scala 430:14] + node _T_1449 = cat(_T_1448, _T_1445) @[lib.scala 430:14] + node _T_1450 = cat(_T_1242[24], _T_1242[23]) @[lib.scala 430:14] + node _T_1451 = cat(_T_1242[26], _T_1242[25]) @[lib.scala 430:14] + node _T_1452 = cat(_T_1451, _T_1450) @[lib.scala 430:14] + node _T_1453 = cat(_T_1242[28], _T_1242[27]) @[lib.scala 430:14] + node _T_1454 = cat(_T_1242[30], _T_1242[29]) @[lib.scala 430:14] + node _T_1455 = cat(_T_1454, _T_1453) @[lib.scala 430:14] + node _T_1456 = cat(_T_1455, _T_1452) @[lib.scala 430:14] + node _T_1457 = cat(_T_1456, _T_1449) @[lib.scala 430:14] + node _T_1458 = cat(_T_1457, _T_1442) @[lib.scala 430:14] + node _T_1459 = bits(_T_1241, 0, 0) @[lib.scala 430:24] + node _T_1460 = cat(_T_1458, _T_1459) @[Cat.scala 29:58] + node _T_1461 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 259:87] + node a_ff_eff = mux(_T_1240, _T_1460, _T_1461) @[exu_div_ctl.scala 259:21] + node _T_1462 = bits(smallnum_case_ff, 0, 0) @[exu_div_ctl.scala 262:22] + node _T_1463 = cat(UInt<28>("h00"), smallnum_ff) @[Cat.scala 29:58] + node _T_1464 = bits(rem_ff, 0, 0) @[exu_div_ctl.scala 263:12] + node _T_1465 = eq(smallnum_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 264:6] + node _T_1466 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 264:26] + node _T_1467 = and(_T_1465, _T_1466) @[exu_div_ctl.scala 264:24] + node _T_1468 = bits(_T_1467, 0, 0) @[exu_div_ctl.scala 264:35] + node _T_1469 = mux(_T_1462, _T_1463, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1464, a_ff_eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1468, q_ff_eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = or(_T_1469, _T_1470) @[Mux.scala 27:72] + node _T_1473 = or(_T_1472, _T_1471) @[Mux.scala 27:72] + wire _T_1474 : UInt<32> @[Mux.scala 27:72] + _T_1474 <= _T_1473 @[Mux.scala 27:72] + io.data_out <= _T_1474 @[exu_div_ctl.scala 261:15] + node _T_1475 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 266:40] + node _T_1476 = and(io.valid_in, _T_1475) @[exu_div_ctl.scala 266:38] + node _T_1477 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr of rvclkhdr @[lib.scala 390:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 392:18] + rvclkhdr.io.en <= _T_1477 @[lib.scala 393:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1478 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1477 : @[Reg.scala 28:19] + _T_1478 <= _T_1476 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + valid_ff_x <= _T_1478 @[exu_div_ctl.scala 266:16] + node _T_1479 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 267:34] + node _T_1480 = and(finish, _T_1479) @[exu_div_ctl.scala 267:32] + node _T_1481 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_1.io.en <= _T_1481 @[lib.scala 393:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1482 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1481 : @[Reg.scala 28:19] + _T_1482 <= _T_1480 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + finish_ff <= _T_1482 @[exu_div_ctl.scala 267:15] + node _T_1483 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_2.io.en <= _T_1483 @[lib.scala 393:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1484 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1483 : @[Reg.scala 28:19] + _T_1484 <= run_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + run_state <= _T_1484 @[exu_div_ctl.scala 268:15] + node _T_1485 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_3.io.en <= _T_1485 @[lib.scala 393:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1486 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1485 : @[Reg.scala 28:19] + _T_1486 <= count_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + count <= _T_1486 @[exu_div_ctl.scala 269:11] + node _T_1487 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 270:60] + node _T_1488 = and(io.valid_in, _T_1487) @[exu_div_ctl.scala 270:44] + node _T_1489 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 270:69] + node _T_1490 = and(_T_1489, dividend_neg_ff) @[exu_div_ctl.scala 270:82] + node _T_1491 = or(_T_1488, _T_1490) @[exu_div_ctl.scala 270:66] + node _T_1492 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_4.io.en <= _T_1492 @[lib.scala 393:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1493 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1492 : @[Reg.scala 28:19] + _T_1493 <= _T_1491 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + dividend_neg_ff <= _T_1493 @[exu_div_ctl.scala 270:21] + node _T_1494 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 271:58] + node _T_1495 = and(io.valid_in, _T_1494) @[exu_div_ctl.scala 271:43] + node _T_1496 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 271:67] + node _T_1497 = and(_T_1496, divisor_neg_ff) @[exu_div_ctl.scala 271:80] + node _T_1498 = or(_T_1495, _T_1497) @[exu_div_ctl.scala 271:64] + node _T_1499 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_5.io.en <= _T_1499 @[lib.scala 393:17] + rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1500 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1499 : @[Reg.scala 28:19] + _T_1500 <= _T_1498 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + divisor_neg_ff <= _T_1500 @[exu_div_ctl.scala 271:20] + node _T_1501 = and(io.valid_in, sign_eff) @[exu_div_ctl.scala 272:36] + node _T_1502 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 272:51] + node _T_1503 = and(_T_1502, sign_ff) @[exu_div_ctl.scala 272:64] + node _T_1504 = or(_T_1501, _T_1503) @[exu_div_ctl.scala 272:48] + node _T_1505 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_6.io.en <= _T_1505 @[lib.scala 393:17] + rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1506 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1505 : @[Reg.scala 28:19] + _T_1506 <= _T_1504 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + sign_ff <= _T_1506 @[exu_div_ctl.scala 272:13] + node _T_1507 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 273:35] + node _T_1508 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 273:51] + node _T_1509 = and(_T_1508, rem_ff) @[exu_div_ctl.scala 273:64] + node _T_1510 = or(_T_1507, _T_1509) @[exu_div_ctl.scala 273:48] + node _T_1511 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_7.io.en <= _T_1511 @[lib.scala 393:17] + rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1512 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1511 : @[Reg.scala 28:19] + _T_1512 <= _T_1510 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + rem_ff <= _T_1512 @[exu_div_ctl.scala 273:12] + node _T_1513 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_8.io.en <= _T_1513 @[lib.scala 393:17] + rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1514 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1513 : @[Reg.scala 28:19] + _T_1514 <= smallnum_case @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + smallnum_case_ff <= _T_1514 @[exu_div_ctl.scala 274:22] + node _T_1515 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_9.io.en <= _T_1515 @[lib.scala 393:17] + rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1516 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1515 : @[Reg.scala 28:19] + _T_1516 <= smallnum @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + smallnum_ff <= _T_1516 @[exu_div_ctl.scala 275:17] + node _T_1517 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_10.io.en <= _T_1517 @[lib.scala 393:17] + rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1518 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1517 : @[Reg.scala 28:19] + _T_1518 <= shortq_enable @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_enable_ff <= _T_1518 @[exu_div_ctl.scala 276:22] + node _T_1519 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 390:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_11.io.en <= _T_1519 @[lib.scala 393:17] + rvclkhdr_11.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1520 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1519 : @[Reg.scala 28:19] + _T_1520 <= shortq_shift @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_shift_xx <= _T_1520 @[exu_div_ctl.scala 277:21] + node _T_1521 = bits(qff_enable, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_12 of rvclkhdr_12 @[lib.scala 390:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_12.io.en <= _T_1521 @[lib.scala 393:17] + rvclkhdr_12.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1522 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1521 : @[Reg.scala 28:19] + _T_1522 <= q_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + q_ff <= _T_1522 @[exu_div_ctl.scala 279:8] + node _T_1523 = bits(aff_enable, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_13 of rvclkhdr_13 @[lib.scala 390:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_13.io.en <= _T_1523 @[lib.scala 393:17] + rvclkhdr_13.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1524 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1523 : @[Reg.scala 28:19] + _T_1524 <= a_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + a_ff <= _T_1524 @[exu_div_ctl.scala 280:8] + node _T_1525 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 281:50] + node _T_1526 = and(io.signed_in, _T_1525) @[exu_div_ctl.scala 281:35] + node _T_1527 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 281:69] + node _T_1528 = cat(_T_1526, _T_1527) @[Cat.scala 29:58] + inst rvclkhdr_14 of rvclkhdr_14 @[lib.scala 390:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_14.io.en <= io.valid_in @[lib.scala 393:17] + rvclkhdr_14.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1529 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.valid_in : @[Reg.scala 28:19] + _T_1529 <= _T_1528 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + m_ff <= _T_1529 @[exu_div_ctl.scala 281:8] + diff --git a/el2_exu_div_existing_1bit_cheapshortq.v b/el2_exu_div_existing_1bit_cheapshortq.v new file mode 100644 index 00000000..37a419ec --- /dev/null +++ b/el2_exu_div_existing_1bit_cheapshortq.v @@ -0,0 +1,938 @@ +module rvclkhdr( + input io_clk, + input io_en +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = 1'h0; // @[lib.scala 338:18] +endmodule +module el2_exu_div_existing_1bit_cheapshortq( + input clock, + input reset, + input io_scan_mode, + input io_cancel, + input io_valid_in, + input io_signed_in, + input io_rem_in, + input [31:0] io_dividend_in, + input [31:0] io_divisor_in, + output [31:0] io_data_out, + output io_valid_out +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [63:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [63:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_io_en; // @[lib.scala 390:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_1_io_en; // @[lib.scala 390:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_2_io_en; // @[lib.scala 390:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_3_io_en; // @[lib.scala 390:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_4_io_en; // @[lib.scala 390:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_5_io_en; // @[lib.scala 390:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_6_io_en; // @[lib.scala 390:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_7_io_en; // @[lib.scala 390:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_8_io_en; // @[lib.scala 390:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_9_io_en; // @[lib.scala 390:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_10_io_en; // @[lib.scala 390:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_11_io_en; // @[lib.scala 390:23] + wire rvclkhdr_12_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_12_io_en; // @[lib.scala 390:23] + wire rvclkhdr_13_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_13_io_en; // @[lib.scala 390:23] + wire rvclkhdr_14_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_14_io_en; // @[lib.scala 390:23] + wire _T = ~io_cancel; // @[exu_div_ctl.scala 127:30] + reg valid_ff_x; // @[Reg.scala 27:20] + wire valid_x = valid_ff_x & _T; // @[exu_div_ctl.scala 127:28] + reg [32:0] q_ff; // @[Reg.scala 27:20] + wire _T_2 = q_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 133:34] + reg [32:0] m_ff; // @[Reg.scala 27:20] + wire _T_4 = m_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 133:57] + wire _T_5 = _T_2 & _T_4; // @[exu_div_ctl.scala 133:43] + wire _T_7 = m_ff[31:0] != 32'h0; // @[exu_div_ctl.scala 133:80] + wire _T_8 = _T_5 & _T_7; // @[exu_div_ctl.scala 133:66] + reg rem_ff; // @[Reg.scala 27:20] + wire _T_9 = ~rem_ff; // @[exu_div_ctl.scala 133:91] + wire _T_10 = _T_8 & _T_9; // @[exu_div_ctl.scala 133:89] + wire _T_11 = _T_10 & valid_x; // @[exu_div_ctl.scala 133:99] + wire _T_13 = q_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 134:18] + wire _T_16 = _T_13 & _T_7; // @[exu_div_ctl.scala 134:27] + wire _T_18 = _T_16 & _T_9; // @[exu_div_ctl.scala 134:50] + wire _T_19 = _T_18 & valid_x; // @[exu_div_ctl.scala 134:60] + wire smallnum_case = _T_11 | _T_19; // @[exu_div_ctl.scala 133:110] + wire _T_23 = ~m_ff[3]; // @[exu_div_ctl.scala 138:69] + wire _T_25 = ~m_ff[2]; // @[exu_div_ctl.scala 138:69] + wire _T_27 = ~m_ff[1]; // @[exu_div_ctl.scala 138:69] + wire _T_28 = _T_23 & _T_25; // @[exu_div_ctl.scala 138:94] + wire _T_29 = _T_28 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_30 = q_ff[3] & _T_29; // @[exu_div_ctl.scala 139:10] + wire _T_37 = q_ff[3] & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_39 = ~m_ff[0]; // @[exu_div_ctl.scala 145:32] + wire _T_40 = _T_37 & _T_39; // @[exu_div_ctl.scala 145:30] + wire _T_50 = q_ff[2] & _T_29; // @[exu_div_ctl.scala 139:10] + wire _T_51 = _T_40 | _T_50; // @[exu_div_ctl.scala 145:41] + wire _T_54 = q_ff[3] & q_ff[2]; // @[exu_div_ctl.scala 137:94] + wire _T_60 = _T_54 & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_61 = _T_51 | _T_60; // @[exu_div_ctl.scala 145:73] + wire _T_68 = q_ff[2] & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_71 = _T_68 & _T_39; // @[exu_div_ctl.scala 147:30] + wire _T_81 = q_ff[1] & _T_29; // @[exu_div_ctl.scala 139:10] + wire _T_82 = _T_71 | _T_81; // @[exu_div_ctl.scala 147:41] + wire _T_88 = _T_23 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_89 = q_ff[3] & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_92 = _T_89 & _T_39; // @[exu_div_ctl.scala 147:103] + wire _T_93 = _T_82 | _T_92; // @[exu_div_ctl.scala 147:76] + wire _T_96 = ~q_ff[2]; // @[exu_div_ctl.scala 137:69] + wire _T_97 = q_ff[3] & _T_96; // @[exu_div_ctl.scala 137:94] + wire _T_105 = _T_28 & m_ff[1]; // @[exu_div_ctl.scala 138:94] + wire _T_106 = _T_105 & m_ff[0]; // @[exu_div_ctl.scala 138:94] + wire _T_107 = _T_97 & _T_106; // @[exu_div_ctl.scala 139:10] + wire _T_108 = _T_93 | _T_107; // @[exu_div_ctl.scala 147:114] + wire _T_110 = ~q_ff[3]; // @[exu_div_ctl.scala 137:69] + wire _T_113 = _T_110 & q_ff[2]; // @[exu_div_ctl.scala 137:94] + wire _T_114 = _T_113 & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_120 = _T_114 & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_121 = _T_108 | _T_120; // @[exu_div_ctl.scala 148:43] + wire _T_127 = _T_54 & _T_23; // @[exu_div_ctl.scala 139:10] + wire _T_130 = _T_127 & _T_39; // @[exu_div_ctl.scala 148:104] + wire _T_131 = _T_121 | _T_130; // @[exu_div_ctl.scala 148:78] + wire _T_140 = _T_23 & m_ff[2]; // @[exu_div_ctl.scala 138:94] + wire _T_141 = _T_140 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_142 = _T_54 & _T_141; // @[exu_div_ctl.scala 139:10] + wire _T_143 = _T_131 | _T_142; // @[exu_div_ctl.scala 148:116] + wire _T_146 = q_ff[3] & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_152 = _T_146 & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_153 = _T_143 | _T_152; // @[exu_div_ctl.scala 149:43] + wire _T_158 = _T_54 & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_163 = _T_158 & _T_140; // @[exu_div_ctl.scala 139:10] + wire _T_164 = _T_153 | _T_163; // @[exu_div_ctl.scala 149:77] + wire _T_168 = q_ff[2] & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_169 = _T_168 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_175 = _T_169 & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_181 = _T_97 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_186 = _T_23 & m_ff[1]; // @[exu_div_ctl.scala 138:94] + wire _T_187 = _T_186 & m_ff[0]; // @[exu_div_ctl.scala 138:94] + wire _T_188 = _T_181 & _T_187; // @[exu_div_ctl.scala 139:10] + wire _T_189 = _T_175 | _T_188; // @[exu_div_ctl.scala 151:44] + wire _T_196 = q_ff[2] & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_199 = _T_196 & _T_39; // @[exu_div_ctl.scala 151:111] + wire _T_200 = _T_189 | _T_199; // @[exu_div_ctl.scala 151:84] + wire _T_207 = q_ff[1] & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_210 = _T_207 & _T_39; // @[exu_div_ctl.scala 152:32] + wire _T_211 = _T_200 | _T_210; // @[exu_div_ctl.scala 151:126] + wire _T_221 = q_ff[0] & _T_29; // @[exu_div_ctl.scala 139:10] + wire _T_222 = _T_211 | _T_221; // @[exu_div_ctl.scala 152:46] + wire _T_227 = ~q_ff[1]; // @[exu_div_ctl.scala 137:69] + wire _T_229 = _T_113 & _T_227; // @[exu_div_ctl.scala 137:94] + wire _T_239 = _T_229 & _T_106; // @[exu_div_ctl.scala 139:10] + wire _T_240 = _T_222 | _T_239; // @[exu_div_ctl.scala 152:86] + wire _T_249 = _T_114 & _T_23; // @[exu_div_ctl.scala 139:10] + wire _T_252 = _T_249 & _T_39; // @[exu_div_ctl.scala 153:35] + wire _T_253 = _T_240 | _T_252; // @[exu_div_ctl.scala 152:128] + wire _T_259 = _T_25 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_260 = q_ff[3] & _T_259; // @[exu_div_ctl.scala 139:10] + wire _T_263 = _T_260 & _T_39; // @[exu_div_ctl.scala 153:74] + wire _T_264 = _T_253 | _T_263; // @[exu_div_ctl.scala 153:46] + wire _T_274 = _T_140 & m_ff[1]; // @[exu_div_ctl.scala 138:94] + wire _T_275 = _T_97 & _T_274; // @[exu_div_ctl.scala 139:10] + wire _T_276 = _T_264 | _T_275; // @[exu_div_ctl.scala 153:86] + wire _T_290 = _T_114 & _T_141; // @[exu_div_ctl.scala 139:10] + wire _T_291 = _T_276 | _T_290; // @[exu_div_ctl.scala 153:128] + wire _T_297 = _T_113 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_303 = _T_297 & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_304 = _T_291 | _T_303; // @[exu_div_ctl.scala 154:46] + wire _T_311 = _T_97 & _T_227; // @[exu_div_ctl.scala 137:94] + wire _T_317 = _T_140 & m_ff[0]; // @[exu_div_ctl.scala 138:94] + wire _T_318 = _T_311 & _T_317; // @[exu_div_ctl.scala 139:10] + wire _T_319 = _T_304 | _T_318; // @[exu_div_ctl.scala 154:86] + wire _T_324 = _T_96 & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_325 = _T_324 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_331 = _T_325 & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_332 = _T_319 | _T_331; // @[exu_div_ctl.scala 154:128] + wire _T_338 = _T_54 & _T_27; // @[exu_div_ctl.scala 139:10] + wire _T_341 = _T_338 & _T_39; // @[exu_div_ctl.scala 155:73] + wire _T_342 = _T_332 | _T_341; // @[exu_div_ctl.scala 155:46] + wire _T_350 = _T_114 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_355 = _T_350 & _T_140; // @[exu_div_ctl.scala 139:10] + wire _T_356 = _T_342 | _T_355; // @[exu_div_ctl.scala 155:86] + wire _T_363 = m_ff[3] & _T_25; // @[exu_div_ctl.scala 138:94] + wire _T_364 = _T_54 & _T_363; // @[exu_div_ctl.scala 139:10] + wire _T_365 = _T_356 | _T_364; // @[exu_div_ctl.scala 155:128] + wire _T_375 = _T_363 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_376 = _T_146 & _T_375; // @[exu_div_ctl.scala 139:10] + wire _T_377 = _T_365 | _T_376; // @[exu_div_ctl.scala 156:46] + wire _T_380 = q_ff[3] & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_386 = _T_380 & _T_259; // @[exu_div_ctl.scala 139:10] + wire _T_387 = _T_377 | _T_386; // @[exu_div_ctl.scala 156:86] + wire _T_391 = q_ff[3] & _T_227; // @[exu_div_ctl.scala 137:94] + wire _T_399 = _T_274 & m_ff[0]; // @[exu_div_ctl.scala 138:94] + wire _T_400 = _T_391 & _T_399; // @[exu_div_ctl.scala 139:10] + wire _T_401 = _T_387 | _T_400; // @[exu_div_ctl.scala 156:128] + wire _T_408 = _T_158 & m_ff[3]; // @[exu_div_ctl.scala 139:10] + wire _T_411 = _T_408 & _T_39; // @[exu_div_ctl.scala 157:75] + wire _T_412 = _T_401 | _T_411; // @[exu_div_ctl.scala 157:46] + wire _T_421 = m_ff[3] & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_422 = _T_158 & _T_421; // @[exu_div_ctl.scala 139:10] + wire _T_423 = _T_412 | _T_422; // @[exu_div_ctl.scala 157:86] + wire _T_428 = _T_54 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_433 = _T_428 & _T_421; // @[exu_div_ctl.scala 139:10] + wire _T_434 = _T_423 | _T_433; // @[exu_div_ctl.scala 157:128] + wire _T_440 = _T_97 & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_445 = _T_440 & _T_186; // @[exu_div_ctl.scala 139:10] + wire _T_446 = _T_434 | _T_445; // @[exu_div_ctl.scala 158:46] + wire _T_451 = _T_146 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_454 = _T_451 & _T_25; // @[exu_div_ctl.scala 139:10] + wire _T_455 = _T_446 | _T_454; // @[exu_div_ctl.scala 158:86] + wire _T_462 = _T_158 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_464 = _T_462 & m_ff[3]; // @[exu_div_ctl.scala 139:10] + wire _T_465 = _T_455 | _T_464; // @[exu_div_ctl.scala 158:128] + wire _T_471 = _T_146 & _T_25; // @[exu_div_ctl.scala 139:10] + wire _T_474 = _T_471 & _T_39; // @[exu_div_ctl.scala 159:72] + wire _T_475 = _T_465 | _T_474; // @[exu_div_ctl.scala 159:46] + wire [3:0] smallnum = {_T_30,_T_61,_T_164,_T_475}; // @[Cat.scala 29:58] + reg sign_ff; // @[Reg.scala 27:20] + wire _T_479 = sign_ff & q_ff[31]; // @[exu_div_ctl.scala 168:34] + wire [32:0] short_dividend = {_T_479,q_ff[31:0]}; // @[Cat.scala 29:58] + wire _T_484 = ~short_dividend[32]; // @[exu_div_ctl.scala 173:7] + wire _T_487 = short_dividend[31:24] != 8'h0; // @[exu_div_ctl.scala 173:60] + wire _T_492 = short_dividend[31:23] != 9'h1ff; // @[exu_div_ctl.scala 174:59] + wire _T_493 = _T_484 & _T_487; // @[Mux.scala 27:72] + wire _T_494 = short_dividend[32] & _T_492; // @[Mux.scala 27:72] + wire _T_495 = _T_493 | _T_494; // @[Mux.scala 27:72] + wire _T_502 = short_dividend[23:16] != 8'h0; // @[exu_div_ctl.scala 177:60] + wire _T_507 = short_dividend[22:15] != 8'hff; // @[exu_div_ctl.scala 178:59] + wire _T_508 = _T_484 & _T_502; // @[Mux.scala 27:72] + wire _T_509 = short_dividend[32] & _T_507; // @[Mux.scala 27:72] + wire _T_510 = _T_508 | _T_509; // @[Mux.scala 27:72] + wire _T_517 = short_dividend[15:8] != 8'h0; // @[exu_div_ctl.scala 181:59] + wire _T_522 = short_dividend[14:7] != 8'hff; // @[exu_div_ctl.scala 182:58] + wire _T_523 = _T_484 & _T_517; // @[Mux.scala 27:72] + wire _T_524 = short_dividend[32] & _T_522; // @[Mux.scala 27:72] + wire _T_525 = _T_523 | _T_524; // @[Mux.scala 27:72] + wire [4:0] a_cls = {2'h0,_T_495,_T_510,_T_525}; // @[Cat.scala 29:58] + wire _T_531 = ~m_ff[32]; // @[exu_div_ctl.scala 187:7] + wire _T_534 = m_ff[31:24] != 8'h0; // @[exu_div_ctl.scala 187:40] + wire _T_539 = m_ff[31:24] != 8'hff; // @[exu_div_ctl.scala 188:39] + wire _T_540 = _T_531 & _T_534; // @[Mux.scala 27:72] + wire _T_541 = m_ff[32] & _T_539; // @[Mux.scala 27:72] + wire _T_542 = _T_540 | _T_541; // @[Mux.scala 27:72] + wire _T_549 = m_ff[23:16] != 8'h0; // @[exu_div_ctl.scala 191:40] + wire _T_554 = m_ff[23:16] != 8'hff; // @[exu_div_ctl.scala 192:39] + wire _T_555 = _T_531 & _T_549; // @[Mux.scala 27:72] + wire _T_556 = m_ff[32] & _T_554; // @[Mux.scala 27:72] + wire _T_557 = _T_555 | _T_556; // @[Mux.scala 27:72] + wire _T_564 = m_ff[15:8] != 8'h0; // @[exu_div_ctl.scala 195:39] + wire _T_569 = m_ff[15:8] != 8'hff; // @[exu_div_ctl.scala 196:38] + wire _T_570 = _T_531 & _T_564; // @[Mux.scala 27:72] + wire _T_571 = m_ff[32] & _T_569; // @[Mux.scala 27:72] + wire _T_572 = _T_570 | _T_571; // @[Mux.scala 27:72] + wire [4:0] b_cls = {2'h0,_T_542,_T_557,_T_572}; // @[Cat.scala 29:58] + wire _T_577 = a_cls[2:1] == 2'h1; // @[exu_div_ctl.scala 200:19] + wire _T_580 = _T_577 & b_cls[2]; // @[exu_div_ctl.scala 200:34] + wire _T_582 = a_cls[2:0] == 3'h1; // @[exu_div_ctl.scala 201:21] + wire _T_585 = _T_582 & b_cls[2]; // @[exu_div_ctl.scala 201:36] + wire _T_586 = _T_580 | _T_585; // @[exu_div_ctl.scala 200:65] + wire _T_588 = a_cls[2:0] == 3'h0; // @[exu_div_ctl.scala 202:21] + wire _T_591 = _T_588 & b_cls[2]; // @[exu_div_ctl.scala 202:36] + wire _T_592 = _T_586 | _T_591; // @[exu_div_ctl.scala 201:67] + wire _T_596 = b_cls[2:1] == 2'h1; // @[exu_div_ctl.scala 203:50] + wire _T_597 = _T_582 & _T_596; // @[exu_div_ctl.scala 203:36] + wire _T_598 = _T_592 | _T_597; // @[exu_div_ctl.scala 202:67] + wire _T_603 = _T_588 & _T_596; // @[exu_div_ctl.scala 204:36] + wire _T_604 = _T_598 | _T_603; // @[exu_div_ctl.scala 203:67] + wire _T_608 = b_cls[2:0] == 3'h1; // @[exu_div_ctl.scala 205:50] + wire _T_609 = _T_588 & _T_608; // @[exu_div_ctl.scala 205:36] + wire _T_610 = _T_604 | _T_609; // @[exu_div_ctl.scala 204:67] + wire _T_615 = a_cls[2] & b_cls[2]; // @[exu_div_ctl.scala 207:34] + wire _T_620 = _T_577 & _T_596; // @[exu_div_ctl.scala 208:36] + wire _T_621 = _T_615 | _T_620; // @[exu_div_ctl.scala 207:65] + wire _T_626 = _T_582 & _T_608; // @[exu_div_ctl.scala 209:36] + wire _T_627 = _T_621 | _T_626; // @[exu_div_ctl.scala 208:67] + wire _T_631 = b_cls[2:0] == 3'h0; // @[exu_div_ctl.scala 210:50] + wire _T_632 = _T_588 & _T_631; // @[exu_div_ctl.scala 210:36] + wire _T_633 = _T_627 | _T_632; // @[exu_div_ctl.scala 209:67] + wire _T_638 = a_cls[2] & _T_596; // @[exu_div_ctl.scala 212:34] + wire _T_643 = _T_577 & _T_608; // @[exu_div_ctl.scala 213:36] + wire _T_644 = _T_638 | _T_643; // @[exu_div_ctl.scala 212:65] + wire _T_649 = _T_582 & _T_631; // @[exu_div_ctl.scala 214:36] + wire _T_650 = _T_644 | _T_649; // @[exu_div_ctl.scala 213:67] + wire _T_655 = a_cls[2] & _T_608; // @[exu_div_ctl.scala 216:34] + wire _T_660 = _T_577 & _T_631; // @[exu_div_ctl.scala 217:36] + wire _T_661 = _T_655 | _T_660; // @[exu_div_ctl.scala 216:65] + wire [3:0] shortq_raw = {_T_610,_T_633,_T_650,_T_661}; // @[Cat.scala 29:58] + wire _T_666 = valid_ff_x & _T_7; // @[exu_div_ctl.scala 220:35] + wire _T_667 = shortq_raw != 4'h0; // @[exu_div_ctl.scala 220:78] + wire shortq_enable = _T_666 & _T_667; // @[exu_div_ctl.scala 220:64] + wire [3:0] _T_669 = shortq_enable ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_670 = _T_669 & shortq_raw; // @[exu_div_ctl.scala 221:57] + wire [5:0] shortq_shift = {2'h0,_T_670}; // @[Cat.scala 29:58] + reg [5:0] _T_1520; // @[Reg.scala 27:20] + wire [3:0] shortq_shift_xx = _T_1520[3:0]; // @[exu_div_ctl.scala 277:21] + wire [4:0] _T_679 = shortq_shift_xx[3] ? 5'h1f : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_680 = shortq_shift_xx[2] ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_681 = shortq_shift_xx[1] ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [3:0] _T_682 = shortq_shift_xx[0] ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_683 = _T_679 | _T_680; // @[Mux.scala 27:72] + wire [4:0] _T_684 = _T_683 | _T_681; // @[Mux.scala 27:72] + wire [4:0] _GEN_15 = {{1'd0}, _T_682}; // @[Mux.scala 27:72] + wire [4:0] _T_685 = _T_684 | _GEN_15; // @[Mux.scala 27:72] + wire [5:0] shortq_shift_ff = {1'h0,_T_685}; // @[Cat.scala 29:58] + reg [5:0] count; // @[Reg.scala 27:20] + wire _T_688 = count == 6'h20; // @[exu_div_ctl.scala 230:55] + wire _T_689 = count == 6'h21; // @[exu_div_ctl.scala 230:76] + wire _T_690 = _T_9 ? _T_688 : _T_689; // @[exu_div_ctl.scala 230:39] + wire finish = smallnum_case | _T_690; // @[exu_div_ctl.scala 230:34] + reg run_state; // @[Reg.scala 27:20] + wire _T_691 = io_valid_in | run_state; // @[exu_div_ctl.scala 231:32] + wire _T_692 = _T_691 | finish; // @[exu_div_ctl.scala 231:44] + reg finish_ff; // @[Reg.scala 27:20] + wire div_clken = _T_692 | finish_ff; // @[exu_div_ctl.scala 231:53] + wire _T_694 = ~finish; // @[exu_div_ctl.scala 232:48] + wire _T_695 = _T_691 & _T_694; // @[exu_div_ctl.scala 232:46] + wire run_in = _T_695 & _T; // @[exu_div_ctl.scala 232:56] + wire _T_698 = run_state & _T_694; // @[exu_div_ctl.scala 233:35] + wire _T_700 = _T_698 & _T; // @[exu_div_ctl.scala 233:45] + wire _T_701 = ~shortq_enable; // @[exu_div_ctl.scala 233:60] + wire _T_702 = _T_700 & _T_701; // @[exu_div_ctl.scala 233:58] + wire [5:0] _T_704 = _T_702 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] + wire [5:0] _T_706 = {1'h0,shortq_shift_ff[4:0]}; // @[Cat.scala 29:58] + wire [5:0] _T_708 = count + _T_706; // @[exu_div_ctl.scala 233:86] + wire [5:0] _T_710 = _T_708 + 6'h1; // @[exu_div_ctl.scala 233:118] + wire [5:0] count_in = _T_704 & _T_710; // @[exu_div_ctl.scala 233:77] + wire _T_714 = io_divisor_in != 32'h0; // @[exu_div_ctl.scala 235:50] + wire sign_eff = io_signed_in & _T_714; // @[exu_div_ctl.scala 235:33] + wire _T_715 = ~run_state; // @[exu_div_ctl.scala 238:6] + wire [32:0] _T_717 = {1'h0,io_dividend_in}; // @[Cat.scala 29:58] + reg shortq_enable_ff; // @[Reg.scala 27:20] + wire _T_718 = valid_ff_x | shortq_enable_ff; // @[exu_div_ctl.scala 239:30] + wire _T_719 = run_state & _T_718; // @[exu_div_ctl.scala 239:16] + reg dividend_neg_ff; // @[Reg.scala 27:20] + wire _T_743 = sign_ff & dividend_neg_ff; // @[exu_div_ctl.scala 243:32] + wire _T_928 = |q_ff[30:0]; // @[lib.scala 428:35] + wire _T_930 = ~q_ff[31]; // @[lib.scala 428:40] + wire _T_932 = _T_928 ? _T_930 : q_ff[31]; // @[lib.scala 428:23] + wire _T_922 = |q_ff[29:0]; // @[lib.scala 428:35] + wire _T_924 = ~q_ff[30]; // @[lib.scala 428:40] + wire _T_926 = _T_922 ? _T_924 : q_ff[30]; // @[lib.scala 428:23] + wire _T_916 = |q_ff[28:0]; // @[lib.scala 428:35] + wire _T_918 = ~q_ff[29]; // @[lib.scala 428:40] + wire _T_920 = _T_916 ? _T_918 : q_ff[29]; // @[lib.scala 428:23] + wire _T_910 = |q_ff[27:0]; // @[lib.scala 428:35] + wire _T_912 = ~q_ff[28]; // @[lib.scala 428:40] + wire _T_914 = _T_910 ? _T_912 : q_ff[28]; // @[lib.scala 428:23] + wire _T_904 = |q_ff[26:0]; // @[lib.scala 428:35] + wire _T_906 = ~q_ff[27]; // @[lib.scala 428:40] + wire _T_908 = _T_904 ? _T_906 : q_ff[27]; // @[lib.scala 428:23] + wire _T_898 = |q_ff[25:0]; // @[lib.scala 428:35] + wire _T_900 = ~q_ff[26]; // @[lib.scala 428:40] + wire _T_902 = _T_898 ? _T_900 : q_ff[26]; // @[lib.scala 428:23] + wire _T_892 = |q_ff[24:0]; // @[lib.scala 428:35] + wire _T_894 = ~q_ff[25]; // @[lib.scala 428:40] + wire _T_896 = _T_892 ? _T_894 : q_ff[25]; // @[lib.scala 428:23] + wire _T_886 = |q_ff[23:0]; // @[lib.scala 428:35] + wire _T_888 = ~q_ff[24]; // @[lib.scala 428:40] + wire _T_890 = _T_886 ? _T_888 : q_ff[24]; // @[lib.scala 428:23] + wire _T_880 = |q_ff[22:0]; // @[lib.scala 428:35] + wire _T_882 = ~q_ff[23]; // @[lib.scala 428:40] + wire _T_884 = _T_880 ? _T_882 : q_ff[23]; // @[lib.scala 428:23] + wire _T_874 = |q_ff[21:0]; // @[lib.scala 428:35] + wire _T_876 = ~q_ff[22]; // @[lib.scala 428:40] + wire _T_878 = _T_874 ? _T_876 : q_ff[22]; // @[lib.scala 428:23] + wire _T_868 = |q_ff[20:0]; // @[lib.scala 428:35] + wire _T_870 = ~q_ff[21]; // @[lib.scala 428:40] + wire _T_872 = _T_868 ? _T_870 : q_ff[21]; // @[lib.scala 428:23] + wire _T_862 = |q_ff[19:0]; // @[lib.scala 428:35] + wire _T_864 = ~q_ff[20]; // @[lib.scala 428:40] + wire _T_866 = _T_862 ? _T_864 : q_ff[20]; // @[lib.scala 428:23] + wire _T_856 = |q_ff[18:0]; // @[lib.scala 428:35] + wire _T_858 = ~q_ff[19]; // @[lib.scala 428:40] + wire _T_860 = _T_856 ? _T_858 : q_ff[19]; // @[lib.scala 428:23] + wire _T_850 = |q_ff[17:0]; // @[lib.scala 428:35] + wire _T_852 = ~q_ff[18]; // @[lib.scala 428:40] + wire _T_854 = _T_850 ? _T_852 : q_ff[18]; // @[lib.scala 428:23] + wire _T_844 = |q_ff[16:0]; // @[lib.scala 428:35] + wire _T_846 = ~q_ff[17]; // @[lib.scala 428:40] + wire _T_848 = _T_844 ? _T_846 : q_ff[17]; // @[lib.scala 428:23] + wire _T_838 = |q_ff[15:0]; // @[lib.scala 428:35] + wire _T_840 = ~q_ff[16]; // @[lib.scala 428:40] + wire _T_842 = _T_838 ? _T_840 : q_ff[16]; // @[lib.scala 428:23] + wire [7:0] _T_953 = {_T_884,_T_878,_T_872,_T_866,_T_860,_T_854,_T_848,_T_842}; // @[lib.scala 430:14] + wire _T_832 = |q_ff[14:0]; // @[lib.scala 428:35] + wire _T_834 = ~q_ff[15]; // @[lib.scala 428:40] + wire _T_836 = _T_832 ? _T_834 : q_ff[15]; // @[lib.scala 428:23] + wire _T_826 = |q_ff[13:0]; // @[lib.scala 428:35] + wire _T_828 = ~q_ff[14]; // @[lib.scala 428:40] + wire _T_830 = _T_826 ? _T_828 : q_ff[14]; // @[lib.scala 428:23] + wire _T_820 = |q_ff[12:0]; // @[lib.scala 428:35] + wire _T_822 = ~q_ff[13]; // @[lib.scala 428:40] + wire _T_824 = _T_820 ? _T_822 : q_ff[13]; // @[lib.scala 428:23] + wire _T_814 = |q_ff[11:0]; // @[lib.scala 428:35] + wire _T_816 = ~q_ff[12]; // @[lib.scala 428:40] + wire _T_818 = _T_814 ? _T_816 : q_ff[12]; // @[lib.scala 428:23] + wire _T_808 = |q_ff[10:0]; // @[lib.scala 428:35] + wire _T_810 = ~q_ff[11]; // @[lib.scala 428:40] + wire _T_812 = _T_808 ? _T_810 : q_ff[11]; // @[lib.scala 428:23] + wire _T_802 = |q_ff[9:0]; // @[lib.scala 428:35] + wire _T_804 = ~q_ff[10]; // @[lib.scala 428:40] + wire _T_806 = _T_802 ? _T_804 : q_ff[10]; // @[lib.scala 428:23] + wire _T_796 = |q_ff[8:0]; // @[lib.scala 428:35] + wire _T_798 = ~q_ff[9]; // @[lib.scala 428:40] + wire _T_800 = _T_796 ? _T_798 : q_ff[9]; // @[lib.scala 428:23] + wire _T_790 = |q_ff[7:0]; // @[lib.scala 428:35] + wire _T_792 = ~q_ff[8]; // @[lib.scala 428:40] + wire _T_794 = _T_790 ? _T_792 : q_ff[8]; // @[lib.scala 428:23] + wire _T_784 = |q_ff[6:0]; // @[lib.scala 428:35] + wire _T_786 = ~q_ff[7]; // @[lib.scala 428:40] + wire _T_788 = _T_784 ? _T_786 : q_ff[7]; // @[lib.scala 428:23] + wire _T_778 = |q_ff[5:0]; // @[lib.scala 428:35] + wire _T_780 = ~q_ff[6]; // @[lib.scala 428:40] + wire _T_782 = _T_778 ? _T_780 : q_ff[6]; // @[lib.scala 428:23] + wire _T_772 = |q_ff[4:0]; // @[lib.scala 428:35] + wire _T_774 = ~q_ff[5]; // @[lib.scala 428:40] + wire _T_776 = _T_772 ? _T_774 : q_ff[5]; // @[lib.scala 428:23] + wire _T_766 = |q_ff[3:0]; // @[lib.scala 428:35] + wire _T_768 = ~q_ff[4]; // @[lib.scala 428:40] + wire _T_770 = _T_766 ? _T_768 : q_ff[4]; // @[lib.scala 428:23] + wire _T_760 = |q_ff[2:0]; // @[lib.scala 428:35] + wire _T_762 = ~q_ff[3]; // @[lib.scala 428:40] + wire _T_764 = _T_760 ? _T_762 : q_ff[3]; // @[lib.scala 428:23] + wire _T_754 = |q_ff[1:0]; // @[lib.scala 428:35] + wire _T_756 = ~q_ff[2]; // @[lib.scala 428:40] + wire _T_758 = _T_754 ? _T_756 : q_ff[2]; // @[lib.scala 428:23] + wire _T_748 = |q_ff[0]; // @[lib.scala 428:35] + wire _T_750 = ~q_ff[1]; // @[lib.scala 428:40] + wire _T_752 = _T_748 ? _T_750 : q_ff[1]; // @[lib.scala 428:23] + wire [6:0] _T_938 = {_T_788,_T_782,_T_776,_T_770,_T_764,_T_758,_T_752}; // @[lib.scala 430:14] + wire [14:0] _T_946 = {_T_836,_T_830,_T_824,_T_818,_T_812,_T_806,_T_800,_T_794,_T_938}; // @[lib.scala 430:14] + wire [30:0] _T_962 = {_T_932,_T_926,_T_920,_T_914,_T_908,_T_902,_T_896,_T_890,_T_953,_T_946}; // @[lib.scala 430:14] + wire [31:0] _T_964 = {_T_962,q_ff[0]}; // @[Cat.scala 29:58] + wire [31:0] dividend_eff = _T_743 ? _T_964 : q_ff[31:0]; // @[exu_div_ctl.scala 243:22] + wire [32:0] _T_1000 = run_state ? 33'h1ffffffff : 33'h0; // @[Bitwise.scala 72:12] + wire _T_1012 = _T_689 & rem_ff; // @[exu_div_ctl.scala 257:41] + reg [32:0] a_ff; // @[Reg.scala 27:20] + wire rem_correct = _T_1012 & a_ff[32]; // @[exu_div_ctl.scala 257:50] + wire [32:0] _T_985 = rem_correct ? a_ff : 33'h0; // @[Mux.scala 27:72] + wire _T_974 = ~rem_correct; // @[exu_div_ctl.scala 248:6] + wire _T_975 = ~shortq_enable_ff; // @[exu_div_ctl.scala 248:21] + wire _T_976 = _T_974 & _T_975; // @[exu_div_ctl.scala 248:19] + wire [32:0] _T_980 = {a_ff[31:0],q_ff[32]}; // @[Cat.scala 29:58] + wire [32:0] _T_986 = _T_976 ? _T_980 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_988 = _T_985 | _T_986; // @[Mux.scala 27:72] + wire _T_982 = _T_974 & shortq_enable_ff; // @[exu_div_ctl.scala 249:19] + wire [64:0] _T_970 = {33'h0,dividend_eff}; // @[Cat.scala 29:58] + wire [95:0] _GEN_16 = {{31'd0}, _T_970}; // @[exu_div_ctl.scala 245:47] + wire [95:0] _T_972 = _GEN_16 << shortq_shift_ff[4:0]; // @[exu_div_ctl.scala 245:47] + wire [64:0] a_eff_shift = _T_972[64:0]; // @[exu_div_ctl.scala 245:15] + wire [32:0] _T_987 = _T_982 ? a_eff_shift[64:32] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] a_eff = _T_988 | _T_987; // @[Mux.scala 27:72] + wire [32:0] a_shift = _T_1000 & a_eff; // @[exu_div_ctl.scala 252:33] + wire _T_1009 = a_ff[32] | rem_correct; // @[exu_div_ctl.scala 256:21] + reg divisor_neg_ff; // @[Reg.scala 27:20] + wire m_already_comp = divisor_neg_ff & sign_ff; // @[exu_div_ctl.scala 254:48] + wire add = _T_1009 ^ m_already_comp; // @[exu_div_ctl.scala 256:36] + wire [32:0] _T_968 = ~m_ff; // @[exu_div_ctl.scala 244:35] + wire [32:0] m_eff = add ? m_ff : _T_968; // @[exu_div_ctl.scala 244:15] + wire [32:0] _T_1002 = a_shift + m_eff; // @[exu_div_ctl.scala 253:41] + wire _T_1003 = ~add; // @[exu_div_ctl.scala 253:65] + wire [32:0] _T_1004 = {32'h0,_T_1003}; // @[Cat.scala 29:58] + wire [32:0] _T_1006 = _T_1002 + _T_1004; // @[exu_div_ctl.scala 253:49] + wire [32:0] a_in = _T_1000 & _T_1006; // @[exu_div_ctl.scala 253:30] + wire _T_723 = ~a_in[32]; // @[exu_div_ctl.scala 239:85] + wire [32:0] _T_724 = {dividend_eff,_T_723}; // @[Cat.scala 29:58] + wire [63:0] _GEN_17 = {{31'd0}, _T_724}; // @[exu_div_ctl.scala 239:96] + wire [63:0] _T_726 = _GEN_17 << shortq_shift_ff[4:0]; // @[exu_div_ctl.scala 239:96] + wire _T_728 = ~_T_718; // @[exu_div_ctl.scala 240:18] + wire _T_729 = run_state & _T_728; // @[exu_div_ctl.scala 240:16] + wire [32:0] _T_734 = {q_ff[31:0],_T_723}; // @[Cat.scala 29:58] + wire [32:0] _T_735 = _T_715 ? _T_717 : 33'h0; // @[Mux.scala 27:72] + wire [63:0] _T_736 = _T_719 ? _T_726 : 64'h0; // @[Mux.scala 27:72] + wire [32:0] _T_737 = _T_729 ? _T_734 : 33'h0; // @[Mux.scala 27:72] + wire [63:0] _GEN_18 = {{31'd0}, _T_735}; // @[Mux.scala 27:72] + wire [63:0] _T_738 = _GEN_18 | _T_736; // @[Mux.scala 27:72] + wire [63:0] _GEN_19 = {{31'd0}, _T_737}; // @[Mux.scala 27:72] + wire [63:0] _T_739 = _T_738 | _GEN_19; // @[Mux.scala 27:72] + wire _T_742 = run_state & _T_701; // @[exu_div_ctl.scala 242:48] + wire qff_enable = io_valid_in | _T_742; // @[exu_div_ctl.scala 242:35] + wire _T_993 = count != 6'h21; // @[exu_div_ctl.scala 251:73] + wire _T_994 = _T_742 & _T_993; // @[exu_div_ctl.scala 251:64] + wire _T_995 = io_valid_in | _T_994; // @[exu_div_ctl.scala 251:34] + wire aff_enable = _T_995 | rem_correct; // @[exu_div_ctl.scala 251:89] + wire _T_1015 = dividend_neg_ff ^ divisor_neg_ff; // @[exu_div_ctl.scala 258:50] + wire _T_1016 = sign_ff & _T_1015; // @[exu_div_ctl.scala 258:31] + wire [31:0] q_ff_eff = _T_1016 ? _T_964 : q_ff[31:0]; // @[exu_div_ctl.scala 258:21] + wire _T_1244 = |a_ff[0]; // @[lib.scala 428:35] + wire _T_1246 = ~a_ff[1]; // @[lib.scala 428:40] + wire _T_1248 = _T_1244 ? _T_1246 : a_ff[1]; // @[lib.scala 428:23] + wire _T_1250 = |a_ff[1:0]; // @[lib.scala 428:35] + wire _T_1252 = ~a_ff[2]; // @[lib.scala 428:40] + wire _T_1254 = _T_1250 ? _T_1252 : a_ff[2]; // @[lib.scala 428:23] + wire _T_1256 = |a_ff[2:0]; // @[lib.scala 428:35] + wire _T_1258 = ~a_ff[3]; // @[lib.scala 428:40] + wire _T_1260 = _T_1256 ? _T_1258 : a_ff[3]; // @[lib.scala 428:23] + wire _T_1262 = |a_ff[3:0]; // @[lib.scala 428:35] + wire _T_1264 = ~a_ff[4]; // @[lib.scala 428:40] + wire _T_1266 = _T_1262 ? _T_1264 : a_ff[4]; // @[lib.scala 428:23] + wire _T_1268 = |a_ff[4:0]; // @[lib.scala 428:35] + wire _T_1270 = ~a_ff[5]; // @[lib.scala 428:40] + wire _T_1272 = _T_1268 ? _T_1270 : a_ff[5]; // @[lib.scala 428:23] + wire _T_1274 = |a_ff[5:0]; // @[lib.scala 428:35] + wire _T_1276 = ~a_ff[6]; // @[lib.scala 428:40] + wire _T_1278 = _T_1274 ? _T_1276 : a_ff[6]; // @[lib.scala 428:23] + wire _T_1280 = |a_ff[6:0]; // @[lib.scala 428:35] + wire _T_1282 = ~a_ff[7]; // @[lib.scala 428:40] + wire _T_1284 = _T_1280 ? _T_1282 : a_ff[7]; // @[lib.scala 428:23] + wire _T_1286 = |a_ff[7:0]; // @[lib.scala 428:35] + wire _T_1288 = ~a_ff[8]; // @[lib.scala 428:40] + wire _T_1290 = _T_1286 ? _T_1288 : a_ff[8]; // @[lib.scala 428:23] + wire _T_1292 = |a_ff[8:0]; // @[lib.scala 428:35] + wire _T_1294 = ~a_ff[9]; // @[lib.scala 428:40] + wire _T_1296 = _T_1292 ? _T_1294 : a_ff[9]; // @[lib.scala 428:23] + wire _T_1298 = |a_ff[9:0]; // @[lib.scala 428:35] + wire _T_1300 = ~a_ff[10]; // @[lib.scala 428:40] + wire _T_1302 = _T_1298 ? _T_1300 : a_ff[10]; // @[lib.scala 428:23] + wire _T_1304 = |a_ff[10:0]; // @[lib.scala 428:35] + wire _T_1306 = ~a_ff[11]; // @[lib.scala 428:40] + wire _T_1308 = _T_1304 ? _T_1306 : a_ff[11]; // @[lib.scala 428:23] + wire _T_1310 = |a_ff[11:0]; // @[lib.scala 428:35] + wire _T_1312 = ~a_ff[12]; // @[lib.scala 428:40] + wire _T_1314 = _T_1310 ? _T_1312 : a_ff[12]; // @[lib.scala 428:23] + wire _T_1316 = |a_ff[12:0]; // @[lib.scala 428:35] + wire _T_1318 = ~a_ff[13]; // @[lib.scala 428:40] + wire _T_1320 = _T_1316 ? _T_1318 : a_ff[13]; // @[lib.scala 428:23] + wire _T_1322 = |a_ff[13:0]; // @[lib.scala 428:35] + wire _T_1324 = ~a_ff[14]; // @[lib.scala 428:40] + wire _T_1326 = _T_1322 ? _T_1324 : a_ff[14]; // @[lib.scala 428:23] + wire _T_1328 = |a_ff[14:0]; // @[lib.scala 428:35] + wire _T_1330 = ~a_ff[15]; // @[lib.scala 428:40] + wire _T_1332 = _T_1328 ? _T_1330 : a_ff[15]; // @[lib.scala 428:23] + wire _T_1334 = |a_ff[15:0]; // @[lib.scala 428:35] + wire _T_1336 = ~a_ff[16]; // @[lib.scala 428:40] + wire _T_1338 = _T_1334 ? _T_1336 : a_ff[16]; // @[lib.scala 428:23] + wire _T_1340 = |a_ff[16:0]; // @[lib.scala 428:35] + wire _T_1342 = ~a_ff[17]; // @[lib.scala 428:40] + wire _T_1344 = _T_1340 ? _T_1342 : a_ff[17]; // @[lib.scala 428:23] + wire _T_1346 = |a_ff[17:0]; // @[lib.scala 428:35] + wire _T_1348 = ~a_ff[18]; // @[lib.scala 428:40] + wire _T_1350 = _T_1346 ? _T_1348 : a_ff[18]; // @[lib.scala 428:23] + wire _T_1352 = |a_ff[18:0]; // @[lib.scala 428:35] + wire _T_1354 = ~a_ff[19]; // @[lib.scala 428:40] + wire _T_1356 = _T_1352 ? _T_1354 : a_ff[19]; // @[lib.scala 428:23] + wire _T_1358 = |a_ff[19:0]; // @[lib.scala 428:35] + wire _T_1360 = ~a_ff[20]; // @[lib.scala 428:40] + wire _T_1362 = _T_1358 ? _T_1360 : a_ff[20]; // @[lib.scala 428:23] + wire _T_1364 = |a_ff[20:0]; // @[lib.scala 428:35] + wire _T_1366 = ~a_ff[21]; // @[lib.scala 428:40] + wire _T_1368 = _T_1364 ? _T_1366 : a_ff[21]; // @[lib.scala 428:23] + wire _T_1370 = |a_ff[21:0]; // @[lib.scala 428:35] + wire _T_1372 = ~a_ff[22]; // @[lib.scala 428:40] + wire _T_1374 = _T_1370 ? _T_1372 : a_ff[22]; // @[lib.scala 428:23] + wire _T_1376 = |a_ff[22:0]; // @[lib.scala 428:35] + wire _T_1378 = ~a_ff[23]; // @[lib.scala 428:40] + wire _T_1380 = _T_1376 ? _T_1378 : a_ff[23]; // @[lib.scala 428:23] + wire _T_1382 = |a_ff[23:0]; // @[lib.scala 428:35] + wire _T_1384 = ~a_ff[24]; // @[lib.scala 428:40] + wire _T_1386 = _T_1382 ? _T_1384 : a_ff[24]; // @[lib.scala 428:23] + wire _T_1388 = |a_ff[24:0]; // @[lib.scala 428:35] + wire _T_1390 = ~a_ff[25]; // @[lib.scala 428:40] + wire _T_1392 = _T_1388 ? _T_1390 : a_ff[25]; // @[lib.scala 428:23] + wire _T_1394 = |a_ff[25:0]; // @[lib.scala 428:35] + wire _T_1396 = ~a_ff[26]; // @[lib.scala 428:40] + wire _T_1398 = _T_1394 ? _T_1396 : a_ff[26]; // @[lib.scala 428:23] + wire _T_1400 = |a_ff[26:0]; // @[lib.scala 428:35] + wire _T_1402 = ~a_ff[27]; // @[lib.scala 428:40] + wire _T_1404 = _T_1400 ? _T_1402 : a_ff[27]; // @[lib.scala 428:23] + wire _T_1406 = |a_ff[27:0]; // @[lib.scala 428:35] + wire _T_1408 = ~a_ff[28]; // @[lib.scala 428:40] + wire _T_1410 = _T_1406 ? _T_1408 : a_ff[28]; // @[lib.scala 428:23] + wire _T_1412 = |a_ff[28:0]; // @[lib.scala 428:35] + wire _T_1414 = ~a_ff[29]; // @[lib.scala 428:40] + wire _T_1416 = _T_1412 ? _T_1414 : a_ff[29]; // @[lib.scala 428:23] + wire _T_1418 = |a_ff[29:0]; // @[lib.scala 428:35] + wire _T_1420 = ~a_ff[30]; // @[lib.scala 428:40] + wire _T_1422 = _T_1418 ? _T_1420 : a_ff[30]; // @[lib.scala 428:23] + wire _T_1424 = |a_ff[30:0]; // @[lib.scala 428:35] + wire _T_1426 = ~a_ff[31]; // @[lib.scala 428:40] + wire _T_1428 = _T_1424 ? _T_1426 : a_ff[31]; // @[lib.scala 428:23] + wire [6:0] _T_1434 = {_T_1284,_T_1278,_T_1272,_T_1266,_T_1260,_T_1254,_T_1248}; // @[lib.scala 430:14] + wire [14:0] _T_1442 = {_T_1332,_T_1326,_T_1320,_T_1314,_T_1308,_T_1302,_T_1296,_T_1290,_T_1434}; // @[lib.scala 430:14] + wire [7:0] _T_1449 = {_T_1380,_T_1374,_T_1368,_T_1362,_T_1356,_T_1350,_T_1344,_T_1338}; // @[lib.scala 430:14] + wire [30:0] _T_1458 = {_T_1428,_T_1422,_T_1416,_T_1410,_T_1404,_T_1398,_T_1392,_T_1386,_T_1449,_T_1442}; // @[lib.scala 430:14] + wire [31:0] _T_1460 = {_T_1458,a_ff[0]}; // @[Cat.scala 29:58] + wire [31:0] a_ff_eff = _T_743 ? _T_1460 : a_ff[31:0]; // @[exu_div_ctl.scala 259:21] + reg smallnum_case_ff; // @[Reg.scala 27:20] + reg [3:0] smallnum_ff; // @[Reg.scala 27:20] + wire [31:0] _T_1463 = {28'h0,smallnum_ff}; // @[Cat.scala 29:58] + wire _T_1465 = ~smallnum_case_ff; // @[exu_div_ctl.scala 264:6] + wire _T_1467 = _T_1465 & _T_9; // @[exu_div_ctl.scala 264:24] + wire [31:0] _T_1469 = smallnum_case_ff ? _T_1463 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1470 = rem_ff ? a_ff_eff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1471 = _T_1467 ? q_ff_eff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1472 = _T_1469 | _T_1470; // @[Mux.scala 27:72] + wire _T_1476 = io_valid_in & _T; // @[exu_div_ctl.scala 266:38] + wire _T_1480 = finish & _T; // @[exu_div_ctl.scala 267:32] + wire _T_1488 = io_valid_in & io_dividend_in[31]; // @[exu_div_ctl.scala 270:44] + wire _T_1489 = ~io_valid_in; // @[exu_div_ctl.scala 270:69] + wire _T_1490 = _T_1489 & dividend_neg_ff; // @[exu_div_ctl.scala 270:82] + wire _T_1491 = _T_1488 | _T_1490; // @[exu_div_ctl.scala 270:66] + wire _T_1495 = io_valid_in & io_divisor_in[31]; // @[exu_div_ctl.scala 271:43] + wire _T_1497 = _T_1489 & divisor_neg_ff; // @[exu_div_ctl.scala 271:80] + wire _T_1498 = _T_1495 | _T_1497; // @[exu_div_ctl.scala 271:64] + wire _T_1501 = io_valid_in & sign_eff; // @[exu_div_ctl.scala 272:36] + wire _T_1503 = _T_1489 & sign_ff; // @[exu_div_ctl.scala 272:64] + wire _T_1504 = _T_1501 | _T_1503; // @[exu_div_ctl.scala 272:48] + wire _T_1507 = io_valid_in & io_rem_in; // @[exu_div_ctl.scala 273:35] + wire _T_1509 = _T_1489 & rem_ff; // @[exu_div_ctl.scala 273:64] + wire _T_1510 = _T_1507 | _T_1509; // @[exu_div_ctl.scala 273:48] + wire [32:0] q_in = _T_739[32:0]; // @[exu_div_ctl.scala 237:8] + wire _T_1526 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 281:35] + wire [32:0] _T_1528 = {_T_1526,io_divisor_in}; // @[Cat.scala 29:58] + rvclkhdr rvclkhdr ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en) + ); + rvclkhdr rvclkhdr_12 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en) + ); + rvclkhdr rvclkhdr_13 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en) + ); + rvclkhdr rvclkhdr_14 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en) + ); + assign io_data_out = _T_1472 | _T_1471; // @[exu_div_ctl.scala 261:15] + assign io_valid_out = finish_ff & _T; // @[exu_div_ctl.scala 234:17] + assign rvclkhdr_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_1_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_2_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_3_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_4_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_5_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_6_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_7_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_8_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_9_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_10_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_11_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_12_io_en = io_valid_in | _T_742; // @[lib.scala 393:17] + assign rvclkhdr_13_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_13_io_en = _T_995 | rem_correct; // @[lib.scala 393:17] + assign rvclkhdr_14_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_14_io_en = io_valid_in; // @[lib.scala 393:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + valid_ff_x = _RAND_0[0:0]; + _RAND_1 = {2{`RANDOM}}; + q_ff = _RAND_1[32:0]; + _RAND_2 = {2{`RANDOM}}; + m_ff = _RAND_2[32:0]; + _RAND_3 = {1{`RANDOM}}; + rem_ff = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + sign_ff = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_1520 = _RAND_5[5:0]; + _RAND_6 = {1{`RANDOM}}; + count = _RAND_6[5:0]; + _RAND_7 = {1{`RANDOM}}; + run_state = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + finish_ff = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + shortq_enable_ff = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + dividend_neg_ff = _RAND_10[0:0]; + _RAND_11 = {2{`RANDOM}}; + a_ff = _RAND_11[32:0]; + _RAND_12 = {1{`RANDOM}}; + divisor_neg_ff = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + smallnum_case_ff = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + smallnum_ff = _RAND_14[3:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + valid_ff_x = 1'h0; + end + if (reset) begin + q_ff = 33'h0; + end + if (reset) begin + m_ff = 33'h0; + end + if (reset) begin + rem_ff = 1'h0; + end + if (reset) begin + sign_ff = 1'h0; + end + if (reset) begin + _T_1520 = 6'h0; + end + if (reset) begin + count = 6'h0; + end + if (reset) begin + run_state = 1'h0; + end + if (reset) begin + finish_ff = 1'h0; + end + if (reset) begin + shortq_enable_ff = 1'h0; + end + if (reset) begin + dividend_neg_ff = 1'h0; + end + if (reset) begin + a_ff = 33'h0; + end + if (reset) begin + divisor_neg_ff = 1'h0; + end + if (reset) begin + smallnum_case_ff = 1'h0; + end + if (reset) begin + smallnum_ff = 4'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + valid_ff_x <= 1'h0; + end else if (div_clken) begin + valid_ff_x <= _T_1476; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + q_ff <= 33'h0; + end else if (qff_enable) begin + q_ff <= q_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + m_ff <= 33'h0; + end else if (io_valid_in) begin + m_ff <= _T_1528; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + rem_ff <= 1'h0; + end else if (div_clken) begin + rem_ff <= _T_1510; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + sign_ff <= 1'h0; + end else if (div_clken) begin + sign_ff <= _T_1504; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_1520 <= 6'h0; + end else if (div_clken) begin + _T_1520 <= shortq_shift; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + count <= 6'h0; + end else if (div_clken) begin + count <= count_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + run_state <= 1'h0; + end else if (div_clken) begin + run_state <= run_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + finish_ff <= 1'h0; + end else if (div_clken) begin + finish_ff <= _T_1480; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_enable_ff <= 1'h0; + end else if (div_clken) begin + shortq_enable_ff <= shortq_enable; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + dividend_neg_ff <= 1'h0; + end else if (div_clken) begin + dividend_neg_ff <= _T_1491; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + a_ff <= 33'h0; + end else if (aff_enable) begin + a_ff <= a_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + divisor_neg_ff <= 1'h0; + end else if (div_clken) begin + divisor_neg_ff <= _T_1498; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + smallnum_case_ff <= 1'h0; + end else if (div_clken) begin + smallnum_case_ff <= smallnum_case; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + smallnum_ff <= 4'h0; + end else if (div_clken) begin + smallnum_ff <= smallnum; + end + end +endmodule diff --git a/el2_exu_div_new_1bit_fullshortq.anno.json b/el2_exu_div_new_1bit_fullshortq.anno.json new file mode 100644 index 00000000..963a603a --- /dev/null +++ b/el2_exu_div_new_1bit_fullshortq.anno.json @@ -0,0 +1,30 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_exu_div_new_1bit_fullshortq|el2_exu_div_new_1bit_fullshortq>io_valid_out", + "sources":[ + "~el2_exu_div_new_1bit_fullshortq|el2_exu_div_new_1bit_fullshortq>io_cancel" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"el2_exu_div_new_1bit_fullshortq.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"el2_exu_div_new_1bit_fullshortq" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/el2_exu_div_new_1bit_fullshortq.fir b/el2_exu_div_new_1bit_fullshortq.fir new file mode 100644 index 00000000..f2540468 --- /dev/null +++ b/el2_exu_div_new_1bit_fullshortq.fir @@ -0,0 +1,2110 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit el2_exu_div_new_1bit_fullshortq : + module el2_exu_div_cls : + input clock : Clock + input reset : Reset + output io : {flip operand : UInt<33>, cls : UInt<5>} + + wire cls_zeros : UInt<5> + cls_zeros <= UInt<5>("h00") + wire cls_ones : UInt<5> + cls_ones <= UInt<5>("h00") + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 511:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 511:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 511:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 511:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 511:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 511:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 511:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 511:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 511:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 511:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 511:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 511:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 511:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 511:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 511:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 511:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 511:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 511:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 511:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 511:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 511:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 511:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 511:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 511:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 511:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 511:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 511:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 511:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 511:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 511:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 511:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 511:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72] + node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72] + node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72] + node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72] + node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72] + node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72] + node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72] + node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72] + node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72] + node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72] + node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72] + node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72] + node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72] + node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72] + node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72] + node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72] + node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72] + node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72] + node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72] + node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72] + node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72] + node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72] + node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72] + node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72] + node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72] + node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72] + node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72] + node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72] + node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72] + node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72] + node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] + wire _T_127 : UInt<5> @[Mux.scala 27:72] + _T_127 <= _T_126 @[Mux.scala 27:72] + cls_zeros <= _T_127 @[exu_div_ctl.scala 511:13] + node _T_128 = eq(io.operand, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 513:19] + when _T_128 : @[exu_div_ctl.scala 513:38] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 513:49] + skip @[exu_div_ctl.scala 513:38] + else : @[exu_div_ctl.scala 514:15] + node _T_129 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 514:66] + node _T_130 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_131 = eq(_T_129, _T_130) @[exu_div_ctl.scala 514:76] + node _T_132 = bits(_T_131, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_133 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 514:66] + node _T_134 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_135 = cat(_T_134, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_136 = eq(_T_133, _T_135) @[exu_div_ctl.scala 514:76] + node _T_137 = bits(_T_136, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_138 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 514:66] + node _T_139 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_140 = cat(_T_139, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_141 = eq(_T_138, _T_140) @[exu_div_ctl.scala 514:76] + node _T_142 = bits(_T_141, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_143 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 514:66] + node _T_144 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_145 = cat(_T_144, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_146 = eq(_T_143, _T_145) @[exu_div_ctl.scala 514:76] + node _T_147 = bits(_T_146, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_148 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 514:66] + node _T_149 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_150 = cat(_T_149, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_151 = eq(_T_148, _T_150) @[exu_div_ctl.scala 514:76] + node _T_152 = bits(_T_151, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_153 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 514:66] + node _T_154 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_155 = cat(_T_154, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_156 = eq(_T_153, _T_155) @[exu_div_ctl.scala 514:76] + node _T_157 = bits(_T_156, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_158 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 514:66] + node _T_159 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_160 = cat(_T_159, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_161 = eq(_T_158, _T_160) @[exu_div_ctl.scala 514:76] + node _T_162 = bits(_T_161, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_163 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 514:66] + node _T_164 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_165 = cat(_T_164, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_166 = eq(_T_163, _T_165) @[exu_div_ctl.scala 514:76] + node _T_167 = bits(_T_166, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_168 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 514:66] + node _T_169 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_170 = cat(_T_169, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_171 = eq(_T_168, _T_170) @[exu_div_ctl.scala 514:76] + node _T_172 = bits(_T_171, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_173 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 514:66] + node _T_174 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_175 = cat(_T_174, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_176 = eq(_T_173, _T_175) @[exu_div_ctl.scala 514:76] + node _T_177 = bits(_T_176, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_178 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 514:66] + node _T_179 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_180 = cat(_T_179, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_181 = eq(_T_178, _T_180) @[exu_div_ctl.scala 514:76] + node _T_182 = bits(_T_181, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_183 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 514:66] + node _T_184 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_185 = cat(_T_184, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_186 = eq(_T_183, _T_185) @[exu_div_ctl.scala 514:76] + node _T_187 = bits(_T_186, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_188 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 514:66] + node _T_189 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_190 = cat(_T_189, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_191 = eq(_T_188, _T_190) @[exu_div_ctl.scala 514:76] + node _T_192 = bits(_T_191, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_193 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 514:66] + node _T_194 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_195 = cat(_T_194, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_196 = eq(_T_193, _T_195) @[exu_div_ctl.scala 514:76] + node _T_197 = bits(_T_196, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_198 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 514:66] + node _T_199 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_200 = cat(_T_199, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_201 = eq(_T_198, _T_200) @[exu_div_ctl.scala 514:76] + node _T_202 = bits(_T_201, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_203 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 514:66] + node _T_204 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_205 = cat(_T_204, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_206 = eq(_T_203, _T_205) @[exu_div_ctl.scala 514:76] + node _T_207 = bits(_T_206, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_208 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 514:66] + node _T_209 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_210 = cat(_T_209, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_211 = eq(_T_208, _T_210) @[exu_div_ctl.scala 514:76] + node _T_212 = bits(_T_211, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_213 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 514:66] + node _T_214 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_215 = cat(_T_214, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_216 = eq(_T_213, _T_215) @[exu_div_ctl.scala 514:76] + node _T_217 = bits(_T_216, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_218 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 514:66] + node _T_219 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_220 = cat(_T_219, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_221 = eq(_T_218, _T_220) @[exu_div_ctl.scala 514:76] + node _T_222 = bits(_T_221, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_223 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 514:66] + node _T_224 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_225 = cat(_T_224, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_226 = eq(_T_223, _T_225) @[exu_div_ctl.scala 514:76] + node _T_227 = bits(_T_226, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_228 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 514:66] + node _T_229 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_230 = cat(_T_229, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_231 = eq(_T_228, _T_230) @[exu_div_ctl.scala 514:76] + node _T_232 = bits(_T_231, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_233 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 514:66] + node _T_234 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_235 = cat(_T_234, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_236 = eq(_T_233, _T_235) @[exu_div_ctl.scala 514:76] + node _T_237 = bits(_T_236, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_238 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 514:66] + node _T_239 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_240 = cat(_T_239, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_241 = eq(_T_238, _T_240) @[exu_div_ctl.scala 514:76] + node _T_242 = bits(_T_241, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_243 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 514:66] + node _T_244 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_245 = cat(_T_244, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_246 = eq(_T_243, _T_245) @[exu_div_ctl.scala 514:76] + node _T_247 = bits(_T_246, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_248 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 514:66] + node _T_249 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_250 = cat(_T_249, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_251 = eq(_T_248, _T_250) @[exu_div_ctl.scala 514:76] + node _T_252 = bits(_T_251, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_253 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 514:66] + node _T_254 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_255 = cat(_T_254, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_256 = eq(_T_253, _T_255) @[exu_div_ctl.scala 514:76] + node _T_257 = bits(_T_256, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_258 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 514:66] + node _T_259 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_260 = cat(_T_259, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_261 = eq(_T_258, _T_260) @[exu_div_ctl.scala 514:76] + node _T_262 = bits(_T_261, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_263 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 514:66] + node _T_264 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_265 = cat(_T_264, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_266 = eq(_T_263, _T_265) @[exu_div_ctl.scala 514:76] + node _T_267 = bits(_T_266, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_268 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 514:66] + node _T_269 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_270 = cat(_T_269, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_271 = eq(_T_268, _T_270) @[exu_div_ctl.scala 514:76] + node _T_272 = bits(_T_271, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_273 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 514:66] + node _T_274 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_275 = cat(_T_274, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_276 = eq(_T_273, _T_275) @[exu_div_ctl.scala 514:76] + node _T_277 = bits(_T_276, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_278 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 514:66] + node _T_279 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_280 = cat(_T_279, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_281 = eq(_T_278, _T_280) @[exu_div_ctl.scala 514:76] + node _T_282 = bits(_T_281, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_283 = mux(_T_132, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_284 = mux(_T_137, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_142, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = mux(_T_147, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_287 = mux(_T_152, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_157, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = mux(_T_162, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_290 = mux(_T_167, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_291 = mux(_T_172, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_292 = mux(_T_177, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_293 = mux(_T_182, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_294 = mux(_T_187, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_295 = mux(_T_192, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_296 = mux(_T_197, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_297 = mux(_T_202, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_298 = mux(_T_207, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_299 = mux(_T_212, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_300 = mux(_T_217, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_301 = mux(_T_222, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_302 = mux(_T_227, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_303 = mux(_T_232, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_304 = mux(_T_237, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_305 = mux(_T_242, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_306 = mux(_T_247, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_307 = mux(_T_252, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_308 = mux(_T_257, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_309 = mux(_T_262, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_310 = mux(_T_267, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_272, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_277, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_282, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = or(_T_283, _T_284) @[Mux.scala 27:72] + node _T_315 = or(_T_314, _T_285) @[Mux.scala 27:72] + node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72] + node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72] + node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72] + node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72] + node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72] + node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72] + node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72] + node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72] + node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72] + node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72] + node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72] + node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72] + node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72] + node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72] + node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72] + node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72] + node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72] + node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72] + node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72] + node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72] + node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72] + node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72] + wire _T_344 : UInt<5> @[Mux.scala 27:72] + _T_344 <= _T_343 @[Mux.scala 27:72] + cls_ones <= _T_344 @[exu_div_ctl.scala 514:25] + skip @[exu_div_ctl.scala 514:15] + node _T_345 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 515:27] + node _T_346 = mux(_T_345, cls_ones, cls_zeros) @[exu_div_ctl.scala 515:16] + io.cls <= _T_346 @[exu_div_ctl.scala 515:10] + + module el2_exu_div_cls_1 : + input clock : Clock + input reset : Reset + output io : {flip operand : UInt<33>, cls : UInt<5>} + + wire cls_zeros : UInt<5> + cls_zeros <= UInt<5>("h00") + wire cls_ones : UInt<5> + cls_ones <= UInt<5>("h00") + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 511:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 511:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 511:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 511:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 511:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 511:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 511:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 511:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 511:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 511:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 511:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 511:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 511:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 511:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 511:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 511:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 511:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 511:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 511:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 511:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 511:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 511:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 511:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 511:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 511:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 511:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 511:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 511:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 511:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 511:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 511:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 511:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 511:63] + node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72] + node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72] + node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72] + node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72] + node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72] + node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72] + node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72] + node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72] + node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72] + node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72] + node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72] + node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72] + node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72] + node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72] + node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72] + node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72] + node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72] + node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72] + node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72] + node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72] + node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72] + node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72] + node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72] + node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72] + node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72] + node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72] + node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72] + node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72] + node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72] + node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72] + node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] + wire _T_127 : UInt<5> @[Mux.scala 27:72] + _T_127 <= _T_126 @[Mux.scala 27:72] + cls_zeros <= _T_127 @[exu_div_ctl.scala 511:13] + node _T_128 = eq(io.operand, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 513:19] + when _T_128 : @[exu_div_ctl.scala 513:38] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 513:49] + skip @[exu_div_ctl.scala 513:38] + else : @[exu_div_ctl.scala 514:15] + node _T_129 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 514:66] + node _T_130 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_131 = eq(_T_129, _T_130) @[exu_div_ctl.scala 514:76] + node _T_132 = bits(_T_131, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_133 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 514:66] + node _T_134 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_135 = cat(_T_134, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_136 = eq(_T_133, _T_135) @[exu_div_ctl.scala 514:76] + node _T_137 = bits(_T_136, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_138 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 514:66] + node _T_139 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_140 = cat(_T_139, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_141 = eq(_T_138, _T_140) @[exu_div_ctl.scala 514:76] + node _T_142 = bits(_T_141, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_143 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 514:66] + node _T_144 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_145 = cat(_T_144, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_146 = eq(_T_143, _T_145) @[exu_div_ctl.scala 514:76] + node _T_147 = bits(_T_146, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_148 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 514:66] + node _T_149 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_150 = cat(_T_149, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_151 = eq(_T_148, _T_150) @[exu_div_ctl.scala 514:76] + node _T_152 = bits(_T_151, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_153 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 514:66] + node _T_154 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_155 = cat(_T_154, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_156 = eq(_T_153, _T_155) @[exu_div_ctl.scala 514:76] + node _T_157 = bits(_T_156, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_158 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 514:66] + node _T_159 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_160 = cat(_T_159, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_161 = eq(_T_158, _T_160) @[exu_div_ctl.scala 514:76] + node _T_162 = bits(_T_161, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_163 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 514:66] + node _T_164 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_165 = cat(_T_164, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_166 = eq(_T_163, _T_165) @[exu_div_ctl.scala 514:76] + node _T_167 = bits(_T_166, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_168 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 514:66] + node _T_169 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_170 = cat(_T_169, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_171 = eq(_T_168, _T_170) @[exu_div_ctl.scala 514:76] + node _T_172 = bits(_T_171, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_173 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 514:66] + node _T_174 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_175 = cat(_T_174, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_176 = eq(_T_173, _T_175) @[exu_div_ctl.scala 514:76] + node _T_177 = bits(_T_176, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_178 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 514:66] + node _T_179 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_180 = cat(_T_179, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_181 = eq(_T_178, _T_180) @[exu_div_ctl.scala 514:76] + node _T_182 = bits(_T_181, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_183 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 514:66] + node _T_184 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_185 = cat(_T_184, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_186 = eq(_T_183, _T_185) @[exu_div_ctl.scala 514:76] + node _T_187 = bits(_T_186, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_188 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 514:66] + node _T_189 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_190 = cat(_T_189, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_191 = eq(_T_188, _T_190) @[exu_div_ctl.scala 514:76] + node _T_192 = bits(_T_191, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_193 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 514:66] + node _T_194 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_195 = cat(_T_194, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_196 = eq(_T_193, _T_195) @[exu_div_ctl.scala 514:76] + node _T_197 = bits(_T_196, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_198 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 514:66] + node _T_199 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_200 = cat(_T_199, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_201 = eq(_T_198, _T_200) @[exu_div_ctl.scala 514:76] + node _T_202 = bits(_T_201, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_203 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 514:66] + node _T_204 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_205 = cat(_T_204, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_206 = eq(_T_203, _T_205) @[exu_div_ctl.scala 514:76] + node _T_207 = bits(_T_206, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_208 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 514:66] + node _T_209 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_210 = cat(_T_209, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_211 = eq(_T_208, _T_210) @[exu_div_ctl.scala 514:76] + node _T_212 = bits(_T_211, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_213 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 514:66] + node _T_214 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_215 = cat(_T_214, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_216 = eq(_T_213, _T_215) @[exu_div_ctl.scala 514:76] + node _T_217 = bits(_T_216, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_218 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 514:66] + node _T_219 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_220 = cat(_T_219, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_221 = eq(_T_218, _T_220) @[exu_div_ctl.scala 514:76] + node _T_222 = bits(_T_221, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_223 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 514:66] + node _T_224 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_225 = cat(_T_224, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_226 = eq(_T_223, _T_225) @[exu_div_ctl.scala 514:76] + node _T_227 = bits(_T_226, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_228 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 514:66] + node _T_229 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_230 = cat(_T_229, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_231 = eq(_T_228, _T_230) @[exu_div_ctl.scala 514:76] + node _T_232 = bits(_T_231, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_233 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 514:66] + node _T_234 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_235 = cat(_T_234, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_236 = eq(_T_233, _T_235) @[exu_div_ctl.scala 514:76] + node _T_237 = bits(_T_236, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_238 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 514:66] + node _T_239 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_240 = cat(_T_239, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_241 = eq(_T_238, _T_240) @[exu_div_ctl.scala 514:76] + node _T_242 = bits(_T_241, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_243 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 514:66] + node _T_244 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_245 = cat(_T_244, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_246 = eq(_T_243, _T_245) @[exu_div_ctl.scala 514:76] + node _T_247 = bits(_T_246, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_248 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 514:66] + node _T_249 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_250 = cat(_T_249, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_251 = eq(_T_248, _T_250) @[exu_div_ctl.scala 514:76] + node _T_252 = bits(_T_251, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_253 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 514:66] + node _T_254 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_255 = cat(_T_254, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_256 = eq(_T_253, _T_255) @[exu_div_ctl.scala 514:76] + node _T_257 = bits(_T_256, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_258 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 514:66] + node _T_259 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_260 = cat(_T_259, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_261 = eq(_T_258, _T_260) @[exu_div_ctl.scala 514:76] + node _T_262 = bits(_T_261, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_263 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 514:66] + node _T_264 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_265 = cat(_T_264, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_266 = eq(_T_263, _T_265) @[exu_div_ctl.scala 514:76] + node _T_267 = bits(_T_266, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_268 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 514:66] + node _T_269 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_270 = cat(_T_269, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_271 = eq(_T_268, _T_270) @[exu_div_ctl.scala 514:76] + node _T_272 = bits(_T_271, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_273 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 514:66] + node _T_274 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_275 = cat(_T_274, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_276 = eq(_T_273, _T_275) @[exu_div_ctl.scala 514:76] + node _T_277 = bits(_T_276, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_278 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 514:66] + node _T_279 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_280 = cat(_T_279, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_281 = eq(_T_278, _T_280) @[exu_div_ctl.scala 514:76] + node _T_282 = bits(_T_281, 0, 0) @[exu_div_ctl.scala 514:102] + node _T_283 = mux(_T_132, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_284 = mux(_T_137, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_142, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = mux(_T_147, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_287 = mux(_T_152, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_157, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = mux(_T_162, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_290 = mux(_T_167, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_291 = mux(_T_172, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_292 = mux(_T_177, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_293 = mux(_T_182, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_294 = mux(_T_187, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_295 = mux(_T_192, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_296 = mux(_T_197, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_297 = mux(_T_202, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_298 = mux(_T_207, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_299 = mux(_T_212, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_300 = mux(_T_217, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_301 = mux(_T_222, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_302 = mux(_T_227, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_303 = mux(_T_232, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_304 = mux(_T_237, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_305 = mux(_T_242, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_306 = mux(_T_247, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_307 = mux(_T_252, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_308 = mux(_T_257, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_309 = mux(_T_262, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_310 = mux(_T_267, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_272, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_277, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_282, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = or(_T_283, _T_284) @[Mux.scala 27:72] + node _T_315 = or(_T_314, _T_285) @[Mux.scala 27:72] + node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72] + node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72] + node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72] + node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72] + node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72] + node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72] + node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72] + node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72] + node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72] + node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72] + node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72] + node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72] + node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72] + node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72] + node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72] + node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72] + node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72] + node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72] + node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72] + node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72] + node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72] + node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72] + wire _T_344 : UInt<5> @[Mux.scala 27:72] + _T_344 <= _T_343 @[Mux.scala 27:72] + cls_ones <= _T_344 @[exu_div_ctl.scala 514:25] + skip @[exu_div_ctl.scala 514:15] + node _T_345 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 515:27] + node _T_346 = mux(_T_345, cls_ones, cls_zeros) @[exu_div_ctl.scala 515:16] + io.cls <= _T_346 @[exu_div_ctl.scala 515:10] + + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module el2_exu_div_new_1bit_fullshortq : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip cancel : UInt<1>, flip valid_in : UInt<1>, flip signed_in : UInt<1>, flip rem_in : UInt<1>, flip dividend_in : UInt<32>, flip divisor_in : UInt<32>, data_out : UInt<32>, valid_out : UInt<1>} + + wire valid_ff : UInt<1> + valid_ff <= UInt<1>("h00") + wire finish_ff : UInt<1> + finish_ff <= UInt<1>("h00") + wire control_ff : UInt<3> + control_ff <= UInt<3>("h00") + wire count_ff : UInt<7> + count_ff <= UInt<7>("h00") + wire smallnum : UInt<4> + smallnum <= UInt<4>("h00") + wire a_ff : UInt<32> + a_ff <= UInt<32>("h00") + wire b_ff : UInt<33> + b_ff <= UInt<33>("h00") + wire q_ff : UInt<32> + q_ff <= UInt<32>("h00") + wire r_ff : UInt<32> + r_ff <= UInt<32>("h00") + wire quotient_set : UInt<1> + quotient_set <= UInt<1>("h00") + wire shortq_enable : UInt<1> + shortq_enable <= UInt<1>("h00") + wire shortq_enable_ff : UInt<1> + shortq_enable_ff <= UInt<1>("h00") + wire by_zero_case_ff : UInt<1> + by_zero_case_ff <= UInt<1>("h00") + wire adder_out : UInt<33> + adder_out <= UInt<33>("h00") + wire ar_shifted : UInt<64> + ar_shifted <= UInt<64>("h00") + wire shortq_shift_ff : UInt<5> + shortq_shift_ff <= UInt<5>("h00") + node dividend_sign_ff = bits(control_ff, 2, 2) @[exu_div_ctl.scala 343:40] + node divisor_sign_ff = bits(control_ff, 1, 1) @[exu_div_ctl.scala 344:40] + node rem_ff = bits(control_ff, 0, 0) @[exu_div_ctl.scala 345:40] + node _T = bits(b_ff, 31, 0) @[exu_div_ctl.scala 346:47] + node _T_1 = eq(_T, UInt<1>("h00")) @[exu_div_ctl.scala 346:54] + node by_zero_case = and(valid_ff, _T_1) @[exu_div_ctl.scala 346:40] + node _T_2 = bits(a_ff, 31, 4) @[exu_div_ctl.scala 347:30] + node _T_3 = eq(_T_2, UInt<1>("h00")) @[exu_div_ctl.scala 347:37] + node _T_4 = bits(b_ff, 31, 4) @[exu_div_ctl.scala 347:53] + node _T_5 = eq(_T_4, UInt<1>("h00")) @[exu_div_ctl.scala 347:60] + node _T_6 = and(_T_3, _T_5) @[exu_div_ctl.scala 347:46] + node _T_7 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 347:71] + node _T_8 = and(_T_6, _T_7) @[exu_div_ctl.scala 347:69] + node _T_9 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 347:87] + node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 347:85] + node _T_11 = and(_T_10, valid_ff) @[exu_div_ctl.scala 347:95] + node _T_12 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 347:108] + node _T_13 = and(_T_11, _T_12) @[exu_div_ctl.scala 347:106] + node _T_14 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 348:11] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[exu_div_ctl.scala 348:18] + node _T_16 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 348:29] + node _T_17 = and(_T_15, _T_16) @[exu_div_ctl.scala 348:27] + node _T_18 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 348:45] + node _T_19 = and(_T_17, _T_18) @[exu_div_ctl.scala 348:43] + node _T_20 = and(_T_19, valid_ff) @[exu_div_ctl.scala 348:53] + node _T_21 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 348:66] + node _T_22 = and(_T_20, _T_21) @[exu_div_ctl.scala 348:64] + node smallnum_case = or(_T_13, _T_22) @[exu_div_ctl.scala 347:120] + node _T_23 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 349:45] + node valid_ff_in = and(io.valid_in, _T_23) @[exu_div_ctl.scala 349:43] + node _T_24 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 350:35] + node _T_25 = bits(control_ff, 2, 2) @[exu_div_ctl.scala 350:60] + node _T_26 = and(_T_24, _T_25) @[exu_div_ctl.scala 350:48] + node _T_27 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 350:80] + node _T_28 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 350:112] + node _T_29 = and(_T_27, _T_28) @[exu_div_ctl.scala 350:96] + node _T_30 = or(_T_26, _T_29) @[exu_div_ctl.scala 350:65] + node _T_31 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 350:120] + node _T_32 = bits(control_ff, 1, 1) @[exu_div_ctl.scala 350:145] + node _T_33 = and(_T_31, _T_32) @[exu_div_ctl.scala 350:133] + node _T_34 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 350:165] + node _T_35 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 350:197] + node _T_36 = and(_T_34, _T_35) @[exu_div_ctl.scala 350:181] + node _T_37 = or(_T_33, _T_36) @[exu_div_ctl.scala 350:150] + node _T_38 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 350:205] + node _T_39 = bits(control_ff, 0, 0) @[exu_div_ctl.scala 350:230] + node _T_40 = and(_T_38, _T_39) @[exu_div_ctl.scala 350:218] + node _T_41 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 350:250] + node _T_42 = or(_T_40, _T_41) @[exu_div_ctl.scala 350:235] + node _T_43 = cat(_T_30, _T_37) @[Cat.scala 29:58] + node control_in = cat(_T_43, _T_42) @[Cat.scala 29:58] + node _T_44 = orr(count_ff) @[exu_div_ctl.scala 351:42] + node running_state = or(_T_44, shortq_enable_ff) @[exu_div_ctl.scala 351:45] + node _T_45 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 352:43] + node _T_46 = or(_T_45, io.cancel) @[exu_div_ctl.scala 352:54] + node _T_47 = or(_T_46, running_state) @[exu_div_ctl.scala 352:66] + node misc_enable = or(_T_47, finish_ff) @[exu_div_ctl.scala 352:82] + node _T_48 = or(smallnum_case, by_zero_case) @[exu_div_ctl.scala 353:45] + node _T_49 = eq(count_ff, UInt<6>("h020")) @[exu_div_ctl.scala 353:72] + node finish_raw = or(_T_48, _T_49) @[exu_div_ctl.scala 353:60] + node _T_50 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 354:43] + node finish = and(finish_raw, _T_50) @[exu_div_ctl.scala 354:41] + node _T_51 = or(valid_ff, running_state) @[exu_div_ctl.scala 355:40] + node _T_52 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 355:59] + node _T_53 = and(_T_51, _T_52) @[exu_div_ctl.scala 355:57] + node _T_54 = eq(finish_ff, UInt<1>("h00")) @[exu_div_ctl.scala 355:69] + node _T_55 = and(_T_53, _T_54) @[exu_div_ctl.scala 355:67] + node _T_56 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 355:82] + node _T_57 = and(_T_55, _T_56) @[exu_div_ctl.scala 355:80] + node _T_58 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 355:95] + node count_enable = and(_T_57, _T_58) @[exu_div_ctl.scala 355:93] + node _T_59 = bits(count_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_60 = mux(_T_59, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_61 = cat(UInt<6>("h00"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_62 = add(count_ff, _T_61) @[exu_div_ctl.scala 356:63] + node _T_63 = tail(_T_62, 1) @[exu_div_ctl.scala 356:63] + node _T_64 = cat(UInt<2>("h00"), shortq_shift_ff) @[Cat.scala 29:58] + node _T_65 = add(_T_63, _T_64) @[exu_div_ctl.scala 356:83] + node _T_66 = tail(_T_65, 1) @[exu_div_ctl.scala 356:83] + node count_in = and(_T_60, _T_66) @[exu_div_ctl.scala 356:51] + node a_enable = or(io.valid_in, running_state) @[exu_div_ctl.scala 357:43] + node _T_67 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 358:47] + node a_shift = and(running_state, _T_67) @[exu_div_ctl.scala 358:45] + node _T_68 = bits(dividend_sign_ff, 0, 0) @[Bitwise.scala 72:15] + node _T_69 = mux(_T_68, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_70 = cat(_T_69, a_ff) @[Cat.scala 29:58] + node _T_71 = dshl(_T_70, shortq_shift_ff) @[exu_div_ctl.scala 359:68] + ar_shifted <= _T_71 @[exu_div_ctl.scala 359:28] + node _T_72 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 360:61] + node _T_73 = eq(_T_72, UInt<1>("h00")) @[exu_div_ctl.scala 360:42] + node b_twos_comp = and(valid_ff, _T_73) @[exu_div_ctl.scala 360:40] + node _T_74 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 361:62] + node _T_75 = eq(_T_74, UInt<1>("h00")) @[exu_div_ctl.scala 361:43] + node twos_comp_b_sel = and(valid_ff, _T_75) @[exu_div_ctl.scala 361:41] + node _T_76 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 362:30] + node _T_77 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 362:42] + node _T_78 = and(_T_76, _T_77) @[exu_div_ctl.scala 362:40] + node _T_79 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 362:71] + node _T_80 = and(_T_78, _T_79) @[exu_div_ctl.scala 362:50] + node _T_81 = eq(by_zero_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 362:92] + node twos_comp_q_sel = and(_T_80, _T_81) @[exu_div_ctl.scala 362:90] + node b_enable = or(io.valid_in, b_twos_comp) @[exu_div_ctl.scala 363:43] + node _T_82 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 364:43] + node rq_enable = or(_T_82, running_state) @[exu_div_ctl.scala 364:54] + node _T_83 = and(valid_ff, dividend_sign_ff) @[exu_div_ctl.scala 365:40] + node _T_84 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 365:61] + node r_sign_sel = and(_T_83, _T_84) @[exu_div_ctl.scala 365:59] + node _T_85 = eq(quotient_set, UInt<1>("h00")) @[exu_div_ctl.scala 366:47] + node _T_86 = and(running_state, _T_85) @[exu_div_ctl.scala 366:45] + node _T_87 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 366:63] + node r_restore_sel = and(_T_86, _T_87) @[exu_div_ctl.scala 366:61] + node _T_88 = and(running_state, quotient_set) @[exu_div_ctl.scala 367:45] + node _T_89 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 367:63] + node r_adder_sel = and(_T_88, _T_89) @[exu_div_ctl.scala 367:61] + node _T_90 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 370:48] + node _T_91 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(twos_comp_b_sel, _T_90, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = or(_T_91, _T_92) @[Mux.scala 27:72] + wire twos_comp_in : UInt<32> @[Mux.scala 27:72] + twos_comp_in <= _T_93 @[Mux.scala 27:72] + wire _T_94 : UInt<1>[31] @[lib.scala 426:20] + node _T_95 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27] + node _T_96 = orr(_T_95) @[lib.scala 428:35] + node _T_97 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44] + node _T_98 = not(_T_97) @[lib.scala 428:40] + node _T_99 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51] + node _T_100 = mux(_T_96, _T_98, _T_99) @[lib.scala 428:23] + _T_94[0] <= _T_100 @[lib.scala 428:17] + node _T_101 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27] + node _T_102 = orr(_T_101) @[lib.scala 428:35] + node _T_103 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44] + node _T_104 = not(_T_103) @[lib.scala 428:40] + node _T_105 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51] + node _T_106 = mux(_T_102, _T_104, _T_105) @[lib.scala 428:23] + _T_94[1] <= _T_106 @[lib.scala 428:17] + node _T_107 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27] + node _T_108 = orr(_T_107) @[lib.scala 428:35] + node _T_109 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44] + node _T_110 = not(_T_109) @[lib.scala 428:40] + node _T_111 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51] + node _T_112 = mux(_T_108, _T_110, _T_111) @[lib.scala 428:23] + _T_94[2] <= _T_112 @[lib.scala 428:17] + node _T_113 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27] + node _T_114 = orr(_T_113) @[lib.scala 428:35] + node _T_115 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44] + node _T_116 = not(_T_115) @[lib.scala 428:40] + node _T_117 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51] + node _T_118 = mux(_T_114, _T_116, _T_117) @[lib.scala 428:23] + _T_94[3] <= _T_118 @[lib.scala 428:17] + node _T_119 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27] + node _T_120 = orr(_T_119) @[lib.scala 428:35] + node _T_121 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44] + node _T_122 = not(_T_121) @[lib.scala 428:40] + node _T_123 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51] + node _T_124 = mux(_T_120, _T_122, _T_123) @[lib.scala 428:23] + _T_94[4] <= _T_124 @[lib.scala 428:17] + node _T_125 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27] + node _T_126 = orr(_T_125) @[lib.scala 428:35] + node _T_127 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44] + node _T_128 = not(_T_127) @[lib.scala 428:40] + node _T_129 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51] + node _T_130 = mux(_T_126, _T_128, _T_129) @[lib.scala 428:23] + _T_94[5] <= _T_130 @[lib.scala 428:17] + node _T_131 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27] + node _T_132 = orr(_T_131) @[lib.scala 428:35] + node _T_133 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44] + node _T_134 = not(_T_133) @[lib.scala 428:40] + node _T_135 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51] + node _T_136 = mux(_T_132, _T_134, _T_135) @[lib.scala 428:23] + _T_94[6] <= _T_136 @[lib.scala 428:17] + node _T_137 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27] + node _T_138 = orr(_T_137) @[lib.scala 428:35] + node _T_139 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44] + node _T_140 = not(_T_139) @[lib.scala 428:40] + node _T_141 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51] + node _T_142 = mux(_T_138, _T_140, _T_141) @[lib.scala 428:23] + _T_94[7] <= _T_142 @[lib.scala 428:17] + node _T_143 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27] + node _T_144 = orr(_T_143) @[lib.scala 428:35] + node _T_145 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44] + node _T_146 = not(_T_145) @[lib.scala 428:40] + node _T_147 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51] + node _T_148 = mux(_T_144, _T_146, _T_147) @[lib.scala 428:23] + _T_94[8] <= _T_148 @[lib.scala 428:17] + node _T_149 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27] + node _T_150 = orr(_T_149) @[lib.scala 428:35] + node _T_151 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44] + node _T_152 = not(_T_151) @[lib.scala 428:40] + node _T_153 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51] + node _T_154 = mux(_T_150, _T_152, _T_153) @[lib.scala 428:23] + _T_94[9] <= _T_154 @[lib.scala 428:17] + node _T_155 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27] + node _T_156 = orr(_T_155) @[lib.scala 428:35] + node _T_157 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44] + node _T_158 = not(_T_157) @[lib.scala 428:40] + node _T_159 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51] + node _T_160 = mux(_T_156, _T_158, _T_159) @[lib.scala 428:23] + _T_94[10] <= _T_160 @[lib.scala 428:17] + node _T_161 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27] + node _T_162 = orr(_T_161) @[lib.scala 428:35] + node _T_163 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44] + node _T_164 = not(_T_163) @[lib.scala 428:40] + node _T_165 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51] + node _T_166 = mux(_T_162, _T_164, _T_165) @[lib.scala 428:23] + _T_94[11] <= _T_166 @[lib.scala 428:17] + node _T_167 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27] + node _T_168 = orr(_T_167) @[lib.scala 428:35] + node _T_169 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44] + node _T_170 = not(_T_169) @[lib.scala 428:40] + node _T_171 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51] + node _T_172 = mux(_T_168, _T_170, _T_171) @[lib.scala 428:23] + _T_94[12] <= _T_172 @[lib.scala 428:17] + node _T_173 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27] + node _T_174 = orr(_T_173) @[lib.scala 428:35] + node _T_175 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44] + node _T_176 = not(_T_175) @[lib.scala 428:40] + node _T_177 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51] + node _T_178 = mux(_T_174, _T_176, _T_177) @[lib.scala 428:23] + _T_94[13] <= _T_178 @[lib.scala 428:17] + node _T_179 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27] + node _T_180 = orr(_T_179) @[lib.scala 428:35] + node _T_181 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44] + node _T_182 = not(_T_181) @[lib.scala 428:40] + node _T_183 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51] + node _T_184 = mux(_T_180, _T_182, _T_183) @[lib.scala 428:23] + _T_94[14] <= _T_184 @[lib.scala 428:17] + node _T_185 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27] + node _T_186 = orr(_T_185) @[lib.scala 428:35] + node _T_187 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44] + node _T_188 = not(_T_187) @[lib.scala 428:40] + node _T_189 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51] + node _T_190 = mux(_T_186, _T_188, _T_189) @[lib.scala 428:23] + _T_94[15] <= _T_190 @[lib.scala 428:17] + node _T_191 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27] + node _T_192 = orr(_T_191) @[lib.scala 428:35] + node _T_193 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44] + node _T_194 = not(_T_193) @[lib.scala 428:40] + node _T_195 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51] + node _T_196 = mux(_T_192, _T_194, _T_195) @[lib.scala 428:23] + _T_94[16] <= _T_196 @[lib.scala 428:17] + node _T_197 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27] + node _T_198 = orr(_T_197) @[lib.scala 428:35] + node _T_199 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44] + node _T_200 = not(_T_199) @[lib.scala 428:40] + node _T_201 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51] + node _T_202 = mux(_T_198, _T_200, _T_201) @[lib.scala 428:23] + _T_94[17] <= _T_202 @[lib.scala 428:17] + node _T_203 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27] + node _T_204 = orr(_T_203) @[lib.scala 428:35] + node _T_205 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44] + node _T_206 = not(_T_205) @[lib.scala 428:40] + node _T_207 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51] + node _T_208 = mux(_T_204, _T_206, _T_207) @[lib.scala 428:23] + _T_94[18] <= _T_208 @[lib.scala 428:17] + node _T_209 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27] + node _T_210 = orr(_T_209) @[lib.scala 428:35] + node _T_211 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44] + node _T_212 = not(_T_211) @[lib.scala 428:40] + node _T_213 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51] + node _T_214 = mux(_T_210, _T_212, _T_213) @[lib.scala 428:23] + _T_94[19] <= _T_214 @[lib.scala 428:17] + node _T_215 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27] + node _T_216 = orr(_T_215) @[lib.scala 428:35] + node _T_217 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44] + node _T_218 = not(_T_217) @[lib.scala 428:40] + node _T_219 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51] + node _T_220 = mux(_T_216, _T_218, _T_219) @[lib.scala 428:23] + _T_94[20] <= _T_220 @[lib.scala 428:17] + node _T_221 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27] + node _T_222 = orr(_T_221) @[lib.scala 428:35] + node _T_223 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44] + node _T_224 = not(_T_223) @[lib.scala 428:40] + node _T_225 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51] + node _T_226 = mux(_T_222, _T_224, _T_225) @[lib.scala 428:23] + _T_94[21] <= _T_226 @[lib.scala 428:17] + node _T_227 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27] + node _T_228 = orr(_T_227) @[lib.scala 428:35] + node _T_229 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44] + node _T_230 = not(_T_229) @[lib.scala 428:40] + node _T_231 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51] + node _T_232 = mux(_T_228, _T_230, _T_231) @[lib.scala 428:23] + _T_94[22] <= _T_232 @[lib.scala 428:17] + node _T_233 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27] + node _T_234 = orr(_T_233) @[lib.scala 428:35] + node _T_235 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44] + node _T_236 = not(_T_235) @[lib.scala 428:40] + node _T_237 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51] + node _T_238 = mux(_T_234, _T_236, _T_237) @[lib.scala 428:23] + _T_94[23] <= _T_238 @[lib.scala 428:17] + node _T_239 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27] + node _T_240 = orr(_T_239) @[lib.scala 428:35] + node _T_241 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44] + node _T_242 = not(_T_241) @[lib.scala 428:40] + node _T_243 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51] + node _T_244 = mux(_T_240, _T_242, _T_243) @[lib.scala 428:23] + _T_94[24] <= _T_244 @[lib.scala 428:17] + node _T_245 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27] + node _T_246 = orr(_T_245) @[lib.scala 428:35] + node _T_247 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44] + node _T_248 = not(_T_247) @[lib.scala 428:40] + node _T_249 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51] + node _T_250 = mux(_T_246, _T_248, _T_249) @[lib.scala 428:23] + _T_94[25] <= _T_250 @[lib.scala 428:17] + node _T_251 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27] + node _T_252 = orr(_T_251) @[lib.scala 428:35] + node _T_253 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44] + node _T_254 = not(_T_253) @[lib.scala 428:40] + node _T_255 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51] + node _T_256 = mux(_T_252, _T_254, _T_255) @[lib.scala 428:23] + _T_94[26] <= _T_256 @[lib.scala 428:17] + node _T_257 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27] + node _T_258 = orr(_T_257) @[lib.scala 428:35] + node _T_259 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44] + node _T_260 = not(_T_259) @[lib.scala 428:40] + node _T_261 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51] + node _T_262 = mux(_T_258, _T_260, _T_261) @[lib.scala 428:23] + _T_94[27] <= _T_262 @[lib.scala 428:17] + node _T_263 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27] + node _T_264 = orr(_T_263) @[lib.scala 428:35] + node _T_265 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44] + node _T_266 = not(_T_265) @[lib.scala 428:40] + node _T_267 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51] + node _T_268 = mux(_T_264, _T_266, _T_267) @[lib.scala 428:23] + _T_94[28] <= _T_268 @[lib.scala 428:17] + node _T_269 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27] + node _T_270 = orr(_T_269) @[lib.scala 428:35] + node _T_271 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44] + node _T_272 = not(_T_271) @[lib.scala 428:40] + node _T_273 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51] + node _T_274 = mux(_T_270, _T_272, _T_273) @[lib.scala 428:23] + _T_94[29] <= _T_274 @[lib.scala 428:17] + node _T_275 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27] + node _T_276 = orr(_T_275) @[lib.scala 428:35] + node _T_277 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44] + node _T_278 = not(_T_277) @[lib.scala 428:40] + node _T_279 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51] + node _T_280 = mux(_T_276, _T_278, _T_279) @[lib.scala 428:23] + _T_94[30] <= _T_280 @[lib.scala 428:17] + node _T_281 = cat(_T_94[2], _T_94[1]) @[lib.scala 430:14] + node _T_282 = cat(_T_281, _T_94[0]) @[lib.scala 430:14] + node _T_283 = cat(_T_94[4], _T_94[3]) @[lib.scala 430:14] + node _T_284 = cat(_T_94[6], _T_94[5]) @[lib.scala 430:14] + node _T_285 = cat(_T_284, _T_283) @[lib.scala 430:14] + node _T_286 = cat(_T_285, _T_282) @[lib.scala 430:14] + node _T_287 = cat(_T_94[8], _T_94[7]) @[lib.scala 430:14] + node _T_288 = cat(_T_94[10], _T_94[9]) @[lib.scala 430:14] + node _T_289 = cat(_T_288, _T_287) @[lib.scala 430:14] + node _T_290 = cat(_T_94[12], _T_94[11]) @[lib.scala 430:14] + node _T_291 = cat(_T_94[14], _T_94[13]) @[lib.scala 430:14] + node _T_292 = cat(_T_291, _T_290) @[lib.scala 430:14] + node _T_293 = cat(_T_292, _T_289) @[lib.scala 430:14] + node _T_294 = cat(_T_293, _T_286) @[lib.scala 430:14] + node _T_295 = cat(_T_94[16], _T_94[15]) @[lib.scala 430:14] + node _T_296 = cat(_T_94[18], _T_94[17]) @[lib.scala 430:14] + node _T_297 = cat(_T_296, _T_295) @[lib.scala 430:14] + node _T_298 = cat(_T_94[20], _T_94[19]) @[lib.scala 430:14] + node _T_299 = cat(_T_94[22], _T_94[21]) @[lib.scala 430:14] + node _T_300 = cat(_T_299, _T_298) @[lib.scala 430:14] + node _T_301 = cat(_T_300, _T_297) @[lib.scala 430:14] + node _T_302 = cat(_T_94[24], _T_94[23]) @[lib.scala 430:14] + node _T_303 = cat(_T_94[26], _T_94[25]) @[lib.scala 430:14] + node _T_304 = cat(_T_303, _T_302) @[lib.scala 430:14] + node _T_305 = cat(_T_94[28], _T_94[27]) @[lib.scala 430:14] + node _T_306 = cat(_T_94[30], _T_94[29]) @[lib.scala 430:14] + node _T_307 = cat(_T_306, _T_305) @[lib.scala 430:14] + node _T_308 = cat(_T_307, _T_304) @[lib.scala 430:14] + node _T_309 = cat(_T_308, _T_301) @[lib.scala 430:14] + node _T_310 = cat(_T_309, _T_294) @[lib.scala 430:14] + node _T_311 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24] + node twos_comp_out = cat(_T_310, _T_311) @[Cat.scala 29:58] + node _T_312 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 375:6] + node _T_313 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 375:17] + node _T_314 = and(_T_312, _T_313) @[exu_div_ctl.scala 375:15] + node _T_315 = bits(_T_314, 0, 0) @[exu_div_ctl.scala 375:36] + node _T_316 = bits(a_ff, 30, 0) @[exu_div_ctl.scala 376:54] + node _T_317 = cat(_T_316, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_318 = bits(ar_shifted, 31, 0) @[exu_div_ctl.scala 377:56] + node _T_319 = mux(_T_315, io.dividend_in, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_320 = mux(a_shift, _T_317, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_321 = mux(shortq_enable_ff, _T_318, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_322 = or(_T_319, _T_320) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_321) @[Mux.scala 27:72] + wire a_in : UInt<32> @[Mux.scala 27:72] + a_in <= _T_323 @[Mux.scala 27:72] + node _T_324 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 380:5] + node _T_325 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 380:78] + node _T_326 = and(io.signed_in, _T_325) @[exu_div_ctl.scala 380:63] + node _T_327 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 380:96] + node _T_328 = cat(_T_326, _T_327) @[Cat.scala 29:58] + node _T_329 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 381:50] + node _T_330 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 381:80] + node _T_331 = cat(_T_329, _T_330) @[Cat.scala 29:58] + node _T_332 = mux(_T_324, _T_328, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_333 = mux(b_twos_comp, _T_331, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_334 = or(_T_332, _T_333) @[Mux.scala 27:72] + wire b_in : UInt<33> @[Mux.scala 27:72] + b_in <= _T_334 @[Mux.scala 27:72] + node _T_335 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 385:54] + node _T_336 = bits(a_ff, 31, 31) @[exu_div_ctl.scala 385:65] + node _T_337 = cat(_T_335, _T_336) @[Cat.scala 29:58] + node _T_338 = bits(adder_out, 31, 0) @[exu_div_ctl.scala 386:55] + node _T_339 = bits(ar_shifted, 63, 32) @[exu_div_ctl.scala 387:56] + node _T_340 = mux(r_sign_sel, UInt<32>("h0ffffffff"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_341 = mux(r_restore_sel, _T_337, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_342 = mux(r_adder_sel, _T_338, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_343 = mux(shortq_enable_ff, _T_339, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_344 = mux(by_zero_case, a_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_345 = or(_T_340, _T_341) @[Mux.scala 27:72] + node _T_346 = or(_T_345, _T_342) @[Mux.scala 27:72] + node _T_347 = or(_T_346, _T_343) @[Mux.scala 27:72] + node _T_348 = or(_T_347, _T_344) @[Mux.scala 27:72] + wire r_in : UInt<32> @[Mux.scala 27:72] + r_in <= _T_348 @[Mux.scala 27:72] + node _T_349 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 391:4] + node _T_350 = bits(q_ff, 30, 0) @[exu_div_ctl.scala 391:54] + node _T_351 = cat(_T_350, quotient_set) @[Cat.scala 29:58] + node _T_352 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] + node _T_353 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_354 = mux(_T_349, _T_351, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_355 = mux(smallnum_case, _T_352, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_356 = mux(by_zero_case, _T_353, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_357 = or(_T_354, _T_355) @[Mux.scala 27:72] + node _T_358 = or(_T_357, _T_356) @[Mux.scala 27:72] + wire q_in : UInt<32> @[Mux.scala 27:72] + q_in <= _T_358 @[Mux.scala 27:72] + node _T_359 = bits(a_ff, 31, 31) @[exu_div_ctl.scala 395:29] + node _T_360 = cat(r_ff, _T_359) @[Cat.scala 29:58] + node _T_361 = add(_T_360, b_ff) @[exu_div_ctl.scala 395:35] + node _T_362 = tail(_T_361, 1) @[exu_div_ctl.scala 395:35] + adder_out <= _T_362 @[exu_div_ctl.scala 395:13] + node _T_363 = bits(adder_out, 32, 32) @[exu_div_ctl.scala 396:30] + node _T_364 = eq(_T_363, UInt<1>("h00")) @[exu_div_ctl.scala 396:20] + node _T_365 = xor(_T_364, dividend_sign_ff) @[exu_div_ctl.scala 396:35] + node _T_366 = bits(a_ff, 30, 0) @[exu_div_ctl.scala 396:63] + node _T_367 = eq(_T_366, UInt<1>("h00")) @[exu_div_ctl.scala 396:70] + node _T_368 = eq(adder_out, UInt<1>("h00")) @[exu_div_ctl.scala 396:92] + node _T_369 = and(_T_367, _T_368) @[exu_div_ctl.scala 396:79] + node _T_370 = or(_T_365, _T_369) @[exu_div_ctl.scala 396:55] + quotient_set <= _T_370 @[exu_div_ctl.scala 396:16] + node _T_371 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 397:31] + node _T_372 = and(finish_ff, _T_371) @[exu_div_ctl.scala 397:29] + io.valid_out <= _T_372 @[exu_div_ctl.scala 397:16] + node _T_373 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 399:6] + node _T_374 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 399:16] + node _T_375 = and(_T_373, _T_374) @[exu_div_ctl.scala 399:14] + node _T_376 = bits(_T_375, 0, 0) @[exu_div_ctl.scala 399:40] + node _T_377 = mux(_T_376, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_378 = mux(rem_ff, r_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_379 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_380 = or(_T_377, _T_378) @[Mux.scala 27:72] + node _T_381 = or(_T_380, _T_379) @[Mux.scala 27:72] + wire _T_382 : UInt<32> @[Mux.scala 27:72] + _T_382 <= _T_381 @[Mux.scala 27:72] + io.data_out <= _T_382 @[exu_div_ctl.scala 398:15] + node _T_383 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_384 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_385 = eq(_T_384, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_386 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_387 = eq(_T_386, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_388 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_390 = and(_T_385, _T_387) @[exu_div_ctl.scala 405:95] + node _T_391 = and(_T_390, _T_389) @[exu_div_ctl.scala 405:95] + node _T_392 = and(_T_383, _T_391) @[exu_div_ctl.scala 406:11] + node _T_393 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_394 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_395 = eq(_T_394, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_396 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_398 = and(_T_395, _T_397) @[exu_div_ctl.scala 405:95] + node _T_399 = and(_T_393, _T_398) @[exu_div_ctl.scala 406:11] + node _T_400 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 412:38] + node _T_401 = eq(_T_400, UInt<1>("h00")) @[exu_div_ctl.scala 412:33] + node _T_402 = and(_T_399, _T_401) @[exu_div_ctl.scala 412:31] + node _T_403 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_404 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_405 = eq(_T_404, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_406 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_407 = eq(_T_406, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_408 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_409 = eq(_T_408, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_410 = and(_T_405, _T_407) @[exu_div_ctl.scala 405:95] + node _T_411 = and(_T_410, _T_409) @[exu_div_ctl.scala 405:95] + node _T_412 = and(_T_403, _T_411) @[exu_div_ctl.scala 406:11] + node _T_413 = or(_T_402, _T_412) @[exu_div_ctl.scala 412:42] + node _T_414 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_415 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_416 = and(_T_414, _T_415) @[exu_div_ctl.scala 404:95] + node _T_417 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_418 = eq(_T_417, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_419 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_421 = and(_T_418, _T_420) @[exu_div_ctl.scala 405:95] + node _T_422 = and(_T_416, _T_421) @[exu_div_ctl.scala 406:11] + node _T_423 = or(_T_413, _T_422) @[exu_div_ctl.scala 412:75] + node _T_424 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_425 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_426 = eq(_T_425, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_427 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_428 = eq(_T_427, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_429 = and(_T_426, _T_428) @[exu_div_ctl.scala 405:95] + node _T_430 = and(_T_424, _T_429) @[exu_div_ctl.scala 406:11] + node _T_431 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 414:38] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[exu_div_ctl.scala 414:33] + node _T_433 = and(_T_430, _T_432) @[exu_div_ctl.scala 414:31] + node _T_434 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_435 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_436 = eq(_T_435, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_437 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_438 = eq(_T_437, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_439 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_441 = and(_T_436, _T_438) @[exu_div_ctl.scala 405:95] + node _T_442 = and(_T_441, _T_440) @[exu_div_ctl.scala 405:95] + node _T_443 = and(_T_434, _T_442) @[exu_div_ctl.scala 406:11] + node _T_444 = or(_T_433, _T_443) @[exu_div_ctl.scala 414:42] + node _T_445 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_446 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_447 = eq(_T_446, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_448 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_449 = eq(_T_448, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_450 = and(_T_447, _T_449) @[exu_div_ctl.scala 405:95] + node _T_451 = and(_T_445, _T_450) @[exu_div_ctl.scala 406:11] + node _T_452 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 414:113] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[exu_div_ctl.scala 414:108] + node _T_454 = and(_T_451, _T_453) @[exu_div_ctl.scala 414:106] + node _T_455 = or(_T_444, _T_454) @[exu_div_ctl.scala 414:78] + node _T_456 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_457 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_458 = eq(_T_457, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_459 = and(_T_456, _T_458) @[exu_div_ctl.scala 404:95] + node _T_460 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_461 = eq(_T_460, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_462 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_463 = eq(_T_462, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_464 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_465 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_466 = and(_T_461, _T_463) @[exu_div_ctl.scala 405:95] + node _T_467 = and(_T_466, _T_464) @[exu_div_ctl.scala 405:95] + node _T_468 = and(_T_467, _T_465) @[exu_div_ctl.scala 405:95] + node _T_469 = and(_T_459, _T_468) @[exu_div_ctl.scala 406:11] + node _T_470 = or(_T_455, _T_469) @[exu_div_ctl.scala 414:117] + node _T_471 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_472 = eq(_T_471, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_473 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_474 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_475 = and(_T_472, _T_473) @[exu_div_ctl.scala 404:95] + node _T_476 = and(_T_475, _T_474) @[exu_div_ctl.scala 404:95] + node _T_477 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_479 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_480 = eq(_T_479, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_481 = and(_T_478, _T_480) @[exu_div_ctl.scala 405:95] + node _T_482 = and(_T_476, _T_481) @[exu_div_ctl.scala 406:11] + node _T_483 = or(_T_470, _T_482) @[exu_div_ctl.scala 415:44] + node _T_484 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_485 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_486 = and(_T_484, _T_485) @[exu_div_ctl.scala 404:95] + node _T_487 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_489 = and(_T_486, _T_488) @[exu_div_ctl.scala 406:11] + node _T_490 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 415:114] + node _T_491 = eq(_T_490, UInt<1>("h00")) @[exu_div_ctl.scala 415:109] + node _T_492 = and(_T_489, _T_491) @[exu_div_ctl.scala 415:107] + node _T_493 = or(_T_483, _T_492) @[exu_div_ctl.scala 415:80] + node _T_494 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_495 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_496 = and(_T_494, _T_495) @[exu_div_ctl.scala 404:95] + node _T_497 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_498 = eq(_T_497, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_499 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_500 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_501 = eq(_T_500, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_502 = and(_T_498, _T_499) @[exu_div_ctl.scala 405:95] + node _T_503 = and(_T_502, _T_501) @[exu_div_ctl.scala 405:95] + node _T_504 = and(_T_496, _T_503) @[exu_div_ctl.scala 406:11] + node _T_505 = or(_T_493, _T_504) @[exu_div_ctl.scala 415:119] + node _T_506 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_507 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_508 = and(_T_506, _T_507) @[exu_div_ctl.scala 404:95] + node _T_509 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_510 = eq(_T_509, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_511 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_512 = eq(_T_511, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_513 = and(_T_510, _T_512) @[exu_div_ctl.scala 405:95] + node _T_514 = and(_T_508, _T_513) @[exu_div_ctl.scala 406:11] + node _T_515 = or(_T_505, _T_514) @[exu_div_ctl.scala 416:44] + node _T_516 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_517 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_518 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_519 = and(_T_516, _T_517) @[exu_div_ctl.scala 404:95] + node _T_520 = and(_T_519, _T_518) @[exu_div_ctl.scala 404:95] + node _T_521 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_522 = eq(_T_521, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_523 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_524 = and(_T_522, _T_523) @[exu_div_ctl.scala 405:95] + node _T_525 = and(_T_520, _T_524) @[exu_div_ctl.scala 406:11] + node _T_526 = or(_T_515, _T_525) @[exu_div_ctl.scala 416:79] + node _T_527 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_528 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_529 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_530 = and(_T_527, _T_528) @[exu_div_ctl.scala 404:95] + node _T_531 = and(_T_530, _T_529) @[exu_div_ctl.scala 404:95] + node _T_532 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_533 = eq(_T_532, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_534 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_535 = eq(_T_534, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_536 = and(_T_533, _T_535) @[exu_div_ctl.scala 405:95] + node _T_537 = and(_T_531, _T_536) @[exu_div_ctl.scala 406:11] + node _T_538 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_539 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_540 = eq(_T_539, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_541 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_542 = and(_T_538, _T_540) @[exu_div_ctl.scala 404:95] + node _T_543 = and(_T_542, _T_541) @[exu_div_ctl.scala 404:95] + node _T_544 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_545 = eq(_T_544, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_546 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_547 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_548 = and(_T_545, _T_546) @[exu_div_ctl.scala 405:95] + node _T_549 = and(_T_548, _T_547) @[exu_div_ctl.scala 405:95] + node _T_550 = and(_T_543, _T_549) @[exu_div_ctl.scala 406:11] + node _T_551 = or(_T_537, _T_550) @[exu_div_ctl.scala 418:45] + node _T_552 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_553 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_554 = eq(_T_553, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_555 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_556 = eq(_T_555, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_557 = and(_T_554, _T_556) @[exu_div_ctl.scala 405:95] + node _T_558 = and(_T_552, _T_557) @[exu_div_ctl.scala 406:11] + node _T_559 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 418:121] + node _T_560 = eq(_T_559, UInt<1>("h00")) @[exu_div_ctl.scala 418:116] + node _T_561 = and(_T_558, _T_560) @[exu_div_ctl.scala 418:114] + node _T_562 = or(_T_551, _T_561) @[exu_div_ctl.scala 418:86] + node _T_563 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_564 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_565 = eq(_T_564, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_566 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_567 = eq(_T_566, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_568 = and(_T_565, _T_567) @[exu_div_ctl.scala 405:95] + node _T_569 = and(_T_563, _T_568) @[exu_div_ctl.scala 406:11] + node _T_570 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 419:40] + node _T_571 = eq(_T_570, UInt<1>("h00")) @[exu_div_ctl.scala 419:35] + node _T_572 = and(_T_569, _T_571) @[exu_div_ctl.scala 419:33] + node _T_573 = or(_T_562, _T_572) @[exu_div_ctl.scala 418:129] + node _T_574 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_575 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_576 = eq(_T_575, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_577 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_578 = eq(_T_577, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_579 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_580 = eq(_T_579, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_581 = and(_T_576, _T_578) @[exu_div_ctl.scala 405:95] + node _T_582 = and(_T_581, _T_580) @[exu_div_ctl.scala 405:95] + node _T_583 = and(_T_574, _T_582) @[exu_div_ctl.scala 406:11] + node _T_584 = or(_T_573, _T_583) @[exu_div_ctl.scala 419:47] + node _T_585 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_586 = eq(_T_585, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_587 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_588 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:75] + node _T_589 = eq(_T_588, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_590 = and(_T_586, _T_587) @[exu_div_ctl.scala 404:95] + node _T_591 = and(_T_590, _T_589) @[exu_div_ctl.scala 404:95] + node _T_592 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_593 = eq(_T_592, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_594 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_595 = eq(_T_594, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_596 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_597 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_598 = and(_T_593, _T_595) @[exu_div_ctl.scala 405:95] + node _T_599 = and(_T_598, _T_596) @[exu_div_ctl.scala 405:95] + node _T_600 = and(_T_599, _T_597) @[exu_div_ctl.scala 405:95] + node _T_601 = and(_T_591, _T_600) @[exu_div_ctl.scala 406:11] + node _T_602 = or(_T_584, _T_601) @[exu_div_ctl.scala 419:88] + node _T_603 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_605 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_606 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_607 = and(_T_604, _T_605) @[exu_div_ctl.scala 404:95] + node _T_608 = and(_T_607, _T_606) @[exu_div_ctl.scala 404:95] + node _T_609 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_610 = eq(_T_609, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_611 = and(_T_608, _T_610) @[exu_div_ctl.scala 406:11] + node _T_612 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 420:43] + node _T_613 = eq(_T_612, UInt<1>("h00")) @[exu_div_ctl.scala 420:38] + node _T_614 = and(_T_611, _T_613) @[exu_div_ctl.scala 420:36] + node _T_615 = or(_T_602, _T_614) @[exu_div_ctl.scala 419:131] + node _T_616 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_617 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_618 = eq(_T_617, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_619 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_620 = eq(_T_619, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_621 = and(_T_618, _T_620) @[exu_div_ctl.scala 405:95] + node _T_622 = and(_T_616, _T_621) @[exu_div_ctl.scala 406:11] + node _T_623 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 420:83] + node _T_624 = eq(_T_623, UInt<1>("h00")) @[exu_div_ctl.scala 420:78] + node _T_625 = and(_T_622, _T_624) @[exu_div_ctl.scala 420:76] + node _T_626 = or(_T_615, _T_625) @[exu_div_ctl.scala 420:47] + node _T_627 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_628 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_629 = eq(_T_628, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_630 = and(_T_627, _T_629) @[exu_div_ctl.scala 404:95] + node _T_631 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_632 = eq(_T_631, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_633 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_634 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_635 = and(_T_632, _T_633) @[exu_div_ctl.scala 405:95] + node _T_636 = and(_T_635, _T_634) @[exu_div_ctl.scala 405:95] + node _T_637 = and(_T_630, _T_636) @[exu_div_ctl.scala 406:11] + node _T_638 = or(_T_626, _T_637) @[exu_div_ctl.scala 420:88] + node _T_639 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_641 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_642 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_643 = and(_T_640, _T_641) @[exu_div_ctl.scala 404:95] + node _T_644 = and(_T_643, _T_642) @[exu_div_ctl.scala 404:95] + node _T_645 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_646 = eq(_T_645, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_647 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_648 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_649 = eq(_T_648, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_650 = and(_T_646, _T_647) @[exu_div_ctl.scala 405:95] + node _T_651 = and(_T_650, _T_649) @[exu_div_ctl.scala 405:95] + node _T_652 = and(_T_644, _T_651) @[exu_div_ctl.scala 406:11] + node _T_653 = or(_T_638, _T_652) @[exu_div_ctl.scala 420:131] + node _T_654 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_655 = eq(_T_654, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_656 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_657 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_658 = and(_T_655, _T_656) @[exu_div_ctl.scala 404:95] + node _T_659 = and(_T_658, _T_657) @[exu_div_ctl.scala 404:95] + node _T_660 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_661 = eq(_T_660, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_662 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_663 = eq(_T_662, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_664 = and(_T_661, _T_663) @[exu_div_ctl.scala 405:95] + node _T_665 = and(_T_659, _T_664) @[exu_div_ctl.scala 406:11] + node _T_666 = or(_T_653, _T_665) @[exu_div_ctl.scala 421:47] + node _T_667 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_668 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_669 = eq(_T_668, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_670 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:75] + node _T_671 = eq(_T_670, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_672 = and(_T_667, _T_669) @[exu_div_ctl.scala 404:95] + node _T_673 = and(_T_672, _T_671) @[exu_div_ctl.scala 404:95] + node _T_674 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_675 = eq(_T_674, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_676 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_677 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_678 = and(_T_675, _T_676) @[exu_div_ctl.scala 405:95] + node _T_679 = and(_T_678, _T_677) @[exu_div_ctl.scala 405:95] + node _T_680 = and(_T_673, _T_679) @[exu_div_ctl.scala 406:11] + node _T_681 = or(_T_666, _T_680) @[exu_div_ctl.scala 421:88] + node _T_682 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_683 = eq(_T_682, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_684 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_685 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_686 = and(_T_683, _T_684) @[exu_div_ctl.scala 404:95] + node _T_687 = and(_T_686, _T_685) @[exu_div_ctl.scala 404:95] + node _T_688 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_689 = eq(_T_688, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_690 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_691 = eq(_T_690, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_692 = and(_T_689, _T_691) @[exu_div_ctl.scala 405:95] + node _T_693 = and(_T_687, _T_692) @[exu_div_ctl.scala 406:11] + node _T_694 = or(_T_681, _T_693) @[exu_div_ctl.scala 421:131] + node _T_695 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_696 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_697 = and(_T_695, _T_696) @[exu_div_ctl.scala 404:95] + node _T_698 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_700 = and(_T_697, _T_699) @[exu_div_ctl.scala 406:11] + node _T_701 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 422:82] + node _T_702 = eq(_T_701, UInt<1>("h00")) @[exu_div_ctl.scala 422:77] + node _T_703 = and(_T_700, _T_702) @[exu_div_ctl.scala 422:75] + node _T_704 = or(_T_694, _T_703) @[exu_div_ctl.scala 422:47] + node _T_705 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_706 = eq(_T_705, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_707 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_708 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_709 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_710 = and(_T_706, _T_707) @[exu_div_ctl.scala 404:95] + node _T_711 = and(_T_710, _T_708) @[exu_div_ctl.scala 404:95] + node _T_712 = and(_T_711, _T_709) @[exu_div_ctl.scala 404:95] + node _T_713 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_714 = eq(_T_713, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_715 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_716 = and(_T_714, _T_715) @[exu_div_ctl.scala 405:95] + node _T_717 = and(_T_712, _T_716) @[exu_div_ctl.scala 406:11] + node _T_718 = or(_T_704, _T_717) @[exu_div_ctl.scala 422:88] + node _T_719 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_720 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_721 = and(_T_719, _T_720) @[exu_div_ctl.scala 404:95] + node _T_722 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_723 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_724 = eq(_T_723, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_725 = and(_T_722, _T_724) @[exu_div_ctl.scala 405:95] + node _T_726 = and(_T_721, _T_725) @[exu_div_ctl.scala 406:11] + node _T_727 = or(_T_718, _T_726) @[exu_div_ctl.scala 422:131] + node _T_728 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_729 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_730 = and(_T_728, _T_729) @[exu_div_ctl.scala 404:95] + node _T_731 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_732 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_733 = eq(_T_732, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_734 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_735 = eq(_T_734, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_736 = and(_T_731, _T_733) @[exu_div_ctl.scala 405:95] + node _T_737 = and(_T_736, _T_735) @[exu_div_ctl.scala 405:95] + node _T_738 = and(_T_730, _T_737) @[exu_div_ctl.scala 406:11] + node _T_739 = or(_T_727, _T_738) @[exu_div_ctl.scala 423:47] + node _T_740 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_741 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_742 = and(_T_740, _T_741) @[exu_div_ctl.scala 404:95] + node _T_743 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_744 = eq(_T_743, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_745 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_746 = eq(_T_745, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_747 = and(_T_744, _T_746) @[exu_div_ctl.scala 405:95] + node _T_748 = and(_T_742, _T_747) @[exu_div_ctl.scala 406:11] + node _T_749 = or(_T_739, _T_748) @[exu_div_ctl.scala 423:88] + node _T_750 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_751 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:75] + node _T_752 = eq(_T_751, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_753 = and(_T_750, _T_752) @[exu_div_ctl.scala 404:95] + node _T_754 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_755 = eq(_T_754, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_756 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_757 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_758 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_759 = and(_T_755, _T_756) @[exu_div_ctl.scala 405:95] + node _T_760 = and(_T_759, _T_757) @[exu_div_ctl.scala 405:95] + node _T_761 = and(_T_760, _T_758) @[exu_div_ctl.scala 405:95] + node _T_762 = and(_T_753, _T_761) @[exu_div_ctl.scala 406:11] + node _T_763 = or(_T_749, _T_762) @[exu_div_ctl.scala 423:131] + node _T_764 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_765 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_766 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_767 = and(_T_764, _T_765) @[exu_div_ctl.scala 404:95] + node _T_768 = and(_T_767, _T_766) @[exu_div_ctl.scala 404:95] + node _T_769 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_770 = and(_T_768, _T_769) @[exu_div_ctl.scala 406:11] + node _T_771 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 424:84] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[exu_div_ctl.scala 424:79] + node _T_773 = and(_T_770, _T_772) @[exu_div_ctl.scala 424:77] + node _T_774 = or(_T_763, _T_773) @[exu_div_ctl.scala 424:47] + node _T_775 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_776 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_777 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_778 = and(_T_775, _T_776) @[exu_div_ctl.scala 404:95] + node _T_779 = and(_T_778, _T_777) @[exu_div_ctl.scala 404:95] + node _T_780 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_781 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_782 = eq(_T_781, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_783 = and(_T_780, _T_782) @[exu_div_ctl.scala 405:95] + node _T_784 = and(_T_779, _T_783) @[exu_div_ctl.scala 406:11] + node _T_785 = or(_T_774, _T_784) @[exu_div_ctl.scala 424:88] + node _T_786 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_787 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_788 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_789 = and(_T_786, _T_787) @[exu_div_ctl.scala 404:95] + node _T_790 = and(_T_789, _T_788) @[exu_div_ctl.scala 404:95] + node _T_791 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_792 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_794 = and(_T_791, _T_793) @[exu_div_ctl.scala 405:95] + node _T_795 = and(_T_790, _T_794) @[exu_div_ctl.scala 406:11] + node _T_796 = or(_T_785, _T_795) @[exu_div_ctl.scala 424:131] + node _T_797 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_798 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_799 = eq(_T_798, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_800 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_801 = and(_T_797, _T_799) @[exu_div_ctl.scala 404:95] + node _T_802 = and(_T_801, _T_800) @[exu_div_ctl.scala 404:95] + node _T_803 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_804 = eq(_T_803, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_805 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_806 = and(_T_804, _T_805) @[exu_div_ctl.scala 405:95] + node _T_807 = and(_T_802, _T_806) @[exu_div_ctl.scala 406:11] + node _T_808 = or(_T_796, _T_807) @[exu_div_ctl.scala 425:47] + node _T_809 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_810 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_811 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_812 = and(_T_809, _T_810) @[exu_div_ctl.scala 404:95] + node _T_813 = and(_T_812, _T_811) @[exu_div_ctl.scala 404:95] + node _T_814 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_815 = eq(_T_814, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_816 = and(_T_813, _T_815) @[exu_div_ctl.scala 406:11] + node _T_817 = or(_T_808, _T_816) @[exu_div_ctl.scala 425:88] + node _T_818 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_819 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_820 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_821 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_822 = and(_T_818, _T_819) @[exu_div_ctl.scala 404:95] + node _T_823 = and(_T_822, _T_820) @[exu_div_ctl.scala 404:95] + node _T_824 = and(_T_823, _T_821) @[exu_div_ctl.scala 404:95] + node _T_825 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_826 = and(_T_824, _T_825) @[exu_div_ctl.scala 406:11] + node _T_827 = or(_T_817, _T_826) @[exu_div_ctl.scala 425:131] + node _T_828 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_829 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_830 = and(_T_828, _T_829) @[exu_div_ctl.scala 404:95] + node _T_831 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_832 = eq(_T_831, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_833 = and(_T_830, _T_832) @[exu_div_ctl.scala 406:11] + node _T_834 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 426:81] + node _T_835 = eq(_T_834, UInt<1>("h00")) @[exu_div_ctl.scala 426:76] + node _T_836 = and(_T_833, _T_835) @[exu_div_ctl.scala 426:74] + node _T_837 = or(_T_827, _T_836) @[exu_div_ctl.scala 426:47] + node _T_838 = cat(_T_526, _T_837) @[Cat.scala 29:58] + node _T_839 = cat(_T_392, _T_423) @[Cat.scala 29:58] + node _T_840 = cat(_T_839, _T_838) @[Cat.scala 29:58] + smallnum <= _T_840 @[exu_div_ctl.scala 409:12] + node shortq_dividend = cat(dividend_sign_ff, a_ff) @[Cat.scala 29:58] + inst a_enc of el2_exu_div_cls @[exu_div_ctl.scala 429:21] + a_enc.clock <= clock + a_enc.reset <= reset + a_enc.io.operand <= shortq_dividend @[exu_div_ctl.scala 430:20] + inst b_enc of el2_exu_div_cls_1 @[exu_div_ctl.scala 432:21] + b_enc.clock <= clock + b_enc.reset <= reset + b_enc.io.operand <= b_ff @[exu_div_ctl.scala 433:20] + node dw_a_enc = cat(UInt<1>("h00"), a_enc.io.cls) @[Cat.scala 29:58] + node dw_b_enc = cat(UInt<1>("h00"), b_enc.io.cls) @[Cat.scala 29:58] + node _T_841 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] + node _T_842 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] + node _T_843 = sub(_T_841, _T_842) @[exu_div_ctl.scala 437:41] + node _T_844 = tail(_T_843, 1) @[exu_div_ctl.scala 437:41] + node _T_845 = dshr(UInt<1>("h01"), UInt<3>("h07")) @[exu_div_ctl.scala 437:66] + node _T_846 = bits(_T_845, 0, 0) @[exu_div_ctl.scala 437:66] + node _T_847 = add(_T_844, _T_846) @[exu_div_ctl.scala 437:61] + node dw_shortq_raw = tail(_T_847, 1) @[exu_div_ctl.scala 437:61] + node _T_848 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 438:33] + node _T_849 = bits(_T_848, 0, 0) @[exu_div_ctl.scala 438:43] + node _T_850 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 438:63] + node shortq = mux(_T_849, UInt<1>("h00"), _T_850) @[exu_div_ctl.scala 438:19] + node _T_851 = bits(shortq, 5, 5) @[exu_div_ctl.scala 439:38] + node _T_852 = eq(_T_851, UInt<1>("h00")) @[exu_div_ctl.scala 439:31] + node _T_853 = and(valid_ff, _T_852) @[exu_div_ctl.scala 439:29] + node _T_854 = bits(shortq, 4, 1) @[exu_div_ctl.scala 439:52] + node _T_855 = eq(_T_854, UInt<4>("h0f")) @[exu_div_ctl.scala 439:58] + node _T_856 = eq(_T_855, UInt<1>("h00")) @[exu_div_ctl.scala 439:44] + node _T_857 = and(_T_853, _T_856) @[exu_div_ctl.scala 439:42] + node _T_858 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 439:76] + node _T_859 = and(_T_857, _T_858) @[exu_div_ctl.scala 439:74] + shortq_enable <= _T_859 @[exu_div_ctl.scala 439:17] + node _T_860 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 440:26] + node _T_861 = bits(shortq, 4, 0) @[exu_div_ctl.scala 440:65] + node _T_862 = sub(UInt<5>("h01f"), _T_861) @[exu_div_ctl.scala 440:57] + node _T_863 = tail(_T_862, 1) @[exu_div_ctl.scala 440:57] + node shortq_shift = mux(_T_860, UInt<1>("h00"), _T_863) @[exu_div_ctl.scala 440:25] + inst rvclkhdr of rvclkhdr @[lib.scala 390:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 392:18] + rvclkhdr.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_864 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_864 <= valid_ff_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + valid_ff <= _T_864 @[exu_div_ctl.scala 442:12] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_1.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_865 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_865 <= control_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + control_ff <= _T_865 @[exu_div_ctl.scala 443:16] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_2.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_866 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_866 <= by_zero_case @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + by_zero_case_ff <= _T_866 @[exu_div_ctl.scala 444:19] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_3.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_867 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_867 <= shortq_enable @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_enable_ff <= _T_867 @[exu_div_ctl.scala 445:20] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_4.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_868 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_868 <= shortq_shift @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_shift_ff <= _T_868 @[exu_div_ctl.scala 446:19] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_5.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_869 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_869 <= finish @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + finish_ff <= _T_869 @[exu_div_ctl.scala 447:13] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_6.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_870 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_870 <= count_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + count_ff <= _T_870 @[exu_div_ctl.scala 448:12] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_7.io.en <= a_enable @[lib.scala 393:17] + rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_871 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when a_enable : @[Reg.scala 28:19] + _T_871 <= a_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + a_ff <= _T_871 @[exu_div_ctl.scala 450:8] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_8.io.en <= b_enable @[lib.scala 393:17] + rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_872 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when b_enable : @[Reg.scala 28:19] + _T_872 <= b_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + b_ff <= _T_872 @[exu_div_ctl.scala 451:8] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_9.io.en <= rq_enable @[lib.scala 393:17] + rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_873 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rq_enable : @[Reg.scala 28:19] + _T_873 <= r_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + r_ff <= _T_873 @[exu_div_ctl.scala 452:8] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_10.io.en <= rq_enable @[lib.scala 393:17] + rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_874 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rq_enable : @[Reg.scala 28:19] + _T_874 <= q_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + q_ff <= _T_874 @[exu_div_ctl.scala 453:8] + diff --git a/el2_exu_div_new_1bit_fullshortq.v b/el2_exu_div_new_1bit_fullshortq.v new file mode 100644 index 00000000..62bcca16 --- /dev/null +++ b/el2_exu_div_new_1bit_fullshortq.v @@ -0,0 +1,905 @@ +module el2_exu_div_cls( + input [32:0] io_operand, + output [4:0] io_cls +); + wire _T_3 = io_operand[31:30] == 2'h1; // @[exu_div_ctl.scala 511:63] + wire _T_5 = io_operand[31:29] == 3'h1; // @[exu_div_ctl.scala 511:63] + wire _T_7 = io_operand[31:28] == 4'h1; // @[exu_div_ctl.scala 511:63] + wire _T_9 = io_operand[31:27] == 5'h1; // @[exu_div_ctl.scala 511:63] + wire _T_11 = io_operand[31:26] == 6'h1; // @[exu_div_ctl.scala 511:63] + wire _T_13 = io_operand[31:25] == 7'h1; // @[exu_div_ctl.scala 511:63] + wire _T_15 = io_operand[31:24] == 8'h1; // @[exu_div_ctl.scala 511:63] + wire _T_17 = io_operand[31:23] == 9'h1; // @[exu_div_ctl.scala 511:63] + wire _T_19 = io_operand[31:22] == 10'h1; // @[exu_div_ctl.scala 511:63] + wire _T_21 = io_operand[31:21] == 11'h1; // @[exu_div_ctl.scala 511:63] + wire _T_23 = io_operand[31:20] == 12'h1; // @[exu_div_ctl.scala 511:63] + wire _T_25 = io_operand[31:19] == 13'h1; // @[exu_div_ctl.scala 511:63] + wire _T_27 = io_operand[31:18] == 14'h1; // @[exu_div_ctl.scala 511:63] + wire _T_29 = io_operand[31:17] == 15'h1; // @[exu_div_ctl.scala 511:63] + wire _T_31 = io_operand[31:16] == 16'h1; // @[exu_div_ctl.scala 511:63] + wire _T_33 = io_operand[31:15] == 17'h1; // @[exu_div_ctl.scala 511:63] + wire _T_35 = io_operand[31:14] == 18'h1; // @[exu_div_ctl.scala 511:63] + wire _T_37 = io_operand[31:13] == 19'h1; // @[exu_div_ctl.scala 511:63] + wire _T_39 = io_operand[31:12] == 20'h1; // @[exu_div_ctl.scala 511:63] + wire _T_41 = io_operand[31:11] == 21'h1; // @[exu_div_ctl.scala 511:63] + wire _T_43 = io_operand[31:10] == 22'h1; // @[exu_div_ctl.scala 511:63] + wire _T_45 = io_operand[31:9] == 23'h1; // @[exu_div_ctl.scala 511:63] + wire _T_47 = io_operand[31:8] == 24'h1; // @[exu_div_ctl.scala 511:63] + wire _T_49 = io_operand[31:7] == 25'h1; // @[exu_div_ctl.scala 511:63] + wire _T_51 = io_operand[31:6] == 26'h1; // @[exu_div_ctl.scala 511:63] + wire _T_53 = io_operand[31:5] == 27'h1; // @[exu_div_ctl.scala 511:63] + wire _T_55 = io_operand[31:4] == 28'h1; // @[exu_div_ctl.scala 511:63] + wire _T_57 = io_operand[31:3] == 29'h1; // @[exu_div_ctl.scala 511:63] + wire _T_59 = io_operand[31:2] == 30'h1; // @[exu_div_ctl.scala 511:63] + wire _T_61 = io_operand[31:1] == 31'h1; // @[exu_div_ctl.scala 511:63] + wire _T_63 = io_operand[31:0] == 32'h1; // @[exu_div_ctl.scala 511:63] + wire [1:0] _T_66 = _T_5 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_67 = _T_7 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_68 = _T_9 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_69 = _T_11 ? 3'h5 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_70 = _T_13 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_71 = _T_15 ? 3'h7 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_72 = _T_17 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_73 = _T_19 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_74 = _T_21 ? 4'ha : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_75 = _T_23 ? 4'hb : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_76 = _T_25 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_77 = _T_27 ? 4'hd : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_78 = _T_29 ? 4'he : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_79 = _T_31 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_80 = _T_33 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_81 = _T_35 ? 5'h11 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_82 = _T_37 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_83 = _T_39 ? 5'h13 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_84 = _T_41 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_85 = _T_43 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_86 = _T_45 ? 5'h16 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_87 = _T_47 ? 5'h17 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_88 = _T_49 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_89 = _T_51 ? 5'h19 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_90 = _T_53 ? 5'h1a : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_91 = _T_55 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_92 = _T_57 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_93 = _T_59 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_94 = _T_61 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_95 = _T_63 ? 5'h1f : 5'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_1 = {{1'd0}, _T_3}; // @[Mux.scala 27:72] + wire [1:0] _T_97 = _GEN_1 | _T_66; // @[Mux.scala 27:72] + wire [1:0] _T_98 = _T_97 | _T_67; // @[Mux.scala 27:72] + wire [2:0] _GEN_2 = {{1'd0}, _T_98}; // @[Mux.scala 27:72] + wire [2:0] _T_99 = _GEN_2 | _T_68; // @[Mux.scala 27:72] + wire [2:0] _T_100 = _T_99 | _T_69; // @[Mux.scala 27:72] + wire [2:0] _T_101 = _T_100 | _T_70; // @[Mux.scala 27:72] + wire [2:0] _T_102 = _T_101 | _T_71; // @[Mux.scala 27:72] + wire [3:0] _GEN_3 = {{1'd0}, _T_102}; // @[Mux.scala 27:72] + wire [3:0] _T_103 = _GEN_3 | _T_72; // @[Mux.scala 27:72] + wire [3:0] _T_104 = _T_103 | _T_73; // @[Mux.scala 27:72] + wire [3:0] _T_105 = _T_104 | _T_74; // @[Mux.scala 27:72] + wire [3:0] _T_106 = _T_105 | _T_75; // @[Mux.scala 27:72] + wire [3:0] _T_107 = _T_106 | _T_76; // @[Mux.scala 27:72] + wire [3:0] _T_108 = _T_107 | _T_77; // @[Mux.scala 27:72] + wire [3:0] _T_109 = _T_108 | _T_78; // @[Mux.scala 27:72] + wire [3:0] _T_110 = _T_109 | _T_79; // @[Mux.scala 27:72] + wire [4:0] _GEN_4 = {{1'd0}, _T_110}; // @[Mux.scala 27:72] + wire [4:0] _T_111 = _GEN_4 | _T_80; // @[Mux.scala 27:72] + wire [4:0] _T_112 = _T_111 | _T_81; // @[Mux.scala 27:72] + wire [4:0] _T_113 = _T_112 | _T_82; // @[Mux.scala 27:72] + wire [4:0] _T_114 = _T_113 | _T_83; // @[Mux.scala 27:72] + wire [4:0] _T_115 = _T_114 | _T_84; // @[Mux.scala 27:72] + wire [4:0] _T_116 = _T_115 | _T_85; // @[Mux.scala 27:72] + wire [4:0] _T_117 = _T_116 | _T_86; // @[Mux.scala 27:72] + wire [4:0] _T_118 = _T_117 | _T_87; // @[Mux.scala 27:72] + wire [4:0] _T_119 = _T_118 | _T_88; // @[Mux.scala 27:72] + wire [4:0] _T_120 = _T_119 | _T_89; // @[Mux.scala 27:72] + wire [4:0] _T_121 = _T_120 | _T_90; // @[Mux.scala 27:72] + wire [4:0] _T_122 = _T_121 | _T_91; // @[Mux.scala 27:72] + wire [4:0] _T_123 = _T_122 | _T_92; // @[Mux.scala 27:72] + wire [4:0] _T_124 = _T_123 | _T_93; // @[Mux.scala 27:72] + wire [4:0] _T_125 = _T_124 | _T_94; // @[Mux.scala 27:72] + wire [4:0] cls_zeros = _T_125 | _T_95; // @[Mux.scala 27:72] + wire _T_128 = io_operand == 33'hffffffff; // @[exu_div_ctl.scala 513:19] + wire _T_136 = io_operand[31:29] == 3'h6; // @[exu_div_ctl.scala 514:76] + wire _T_141 = io_operand[31:28] == 4'he; // @[exu_div_ctl.scala 514:76] + wire _T_146 = io_operand[31:27] == 5'h1e; // @[exu_div_ctl.scala 514:76] + wire _T_151 = io_operand[31:26] == 6'h3e; // @[exu_div_ctl.scala 514:76] + wire _T_156 = io_operand[31:25] == 7'h7e; // @[exu_div_ctl.scala 514:76] + wire _T_161 = io_operand[31:24] == 8'hfe; // @[exu_div_ctl.scala 514:76] + wire _T_166 = io_operand[31:23] == 9'h1fe; // @[exu_div_ctl.scala 514:76] + wire _T_171 = io_operand[31:22] == 10'h3fe; // @[exu_div_ctl.scala 514:76] + wire _T_176 = io_operand[31:21] == 11'h7fe; // @[exu_div_ctl.scala 514:76] + wire _T_181 = io_operand[31:20] == 12'hffe; // @[exu_div_ctl.scala 514:76] + wire _T_186 = io_operand[31:19] == 13'h1ffe; // @[exu_div_ctl.scala 514:76] + wire _T_191 = io_operand[31:18] == 14'h3ffe; // @[exu_div_ctl.scala 514:76] + wire _T_196 = io_operand[31:17] == 15'h7ffe; // @[exu_div_ctl.scala 514:76] + wire _T_201 = io_operand[31:16] == 16'hfffe; // @[exu_div_ctl.scala 514:76] + wire _T_206 = io_operand[31:15] == 17'h1fffe; // @[exu_div_ctl.scala 514:76] + wire _T_211 = io_operand[31:14] == 18'h3fffe; // @[exu_div_ctl.scala 514:76] + wire _T_216 = io_operand[31:13] == 19'h7fffe; // @[exu_div_ctl.scala 514:76] + wire _T_221 = io_operand[31:12] == 20'hffffe; // @[exu_div_ctl.scala 514:76] + wire _T_226 = io_operand[31:11] == 21'h1ffffe; // @[exu_div_ctl.scala 514:76] + wire _T_231 = io_operand[31:10] == 22'h3ffffe; // @[exu_div_ctl.scala 514:76] + wire _T_236 = io_operand[31:9] == 23'h7ffffe; // @[exu_div_ctl.scala 514:76] + wire _T_241 = io_operand[31:8] == 24'hfffffe; // @[exu_div_ctl.scala 514:76] + wire _T_246 = io_operand[31:7] == 25'h1fffffe; // @[exu_div_ctl.scala 514:76] + wire _T_251 = io_operand[31:6] == 26'h3fffffe; // @[exu_div_ctl.scala 514:76] + wire _T_256 = io_operand[31:5] == 27'h7fffffe; // @[exu_div_ctl.scala 514:76] + wire _T_261 = io_operand[31:4] == 28'hffffffe; // @[exu_div_ctl.scala 514:76] + wire _T_266 = io_operand[31:3] == 29'h1ffffffe; // @[exu_div_ctl.scala 514:76] + wire _T_271 = io_operand[31:2] == 30'h3ffffffe; // @[exu_div_ctl.scala 514:76] + wire _T_276 = io_operand[31:1] == 31'h7ffffffe; // @[exu_div_ctl.scala 514:76] + wire _T_281 = io_operand[31:0] == 32'hfffffffe; // @[exu_div_ctl.scala 514:76] + wire [1:0] _T_285 = _T_141 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_286 = _T_146 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_287 = _T_151 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_288 = _T_156 ? 3'h5 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_289 = _T_161 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_290 = _T_166 ? 3'h7 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_291 = _T_171 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_292 = _T_176 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_293 = _T_181 ? 4'ha : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_294 = _T_186 ? 4'hb : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_295 = _T_191 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_296 = _T_196 ? 4'hd : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_297 = _T_201 ? 4'he : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_298 = _T_206 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_299 = _T_211 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_300 = _T_216 ? 5'h11 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_301 = _T_221 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_302 = _T_226 ? 5'h13 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_303 = _T_231 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_304 = _T_236 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_305 = _T_241 ? 5'h16 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_306 = _T_246 ? 5'h17 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_307 = _T_251 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_308 = _T_256 ? 5'h19 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_309 = _T_261 ? 5'h1a : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_310 = _T_266 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_311 = _T_271 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_312 = _T_276 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_313 = _T_281 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_5 = {{1'd0}, _T_136}; // @[Mux.scala 27:72] + wire [1:0] _T_315 = _GEN_5 | _T_285; // @[Mux.scala 27:72] + wire [1:0] _T_316 = _T_315 | _T_286; // @[Mux.scala 27:72] + wire [2:0] _GEN_6 = {{1'd0}, _T_316}; // @[Mux.scala 27:72] + wire [2:0] _T_317 = _GEN_6 | _T_287; // @[Mux.scala 27:72] + wire [2:0] _T_318 = _T_317 | _T_288; // @[Mux.scala 27:72] + wire [2:0] _T_319 = _T_318 | _T_289; // @[Mux.scala 27:72] + wire [2:0] _T_320 = _T_319 | _T_290; // @[Mux.scala 27:72] + wire [3:0] _GEN_7 = {{1'd0}, _T_320}; // @[Mux.scala 27:72] + wire [3:0] _T_321 = _GEN_7 | _T_291; // @[Mux.scala 27:72] + wire [3:0] _T_322 = _T_321 | _T_292; // @[Mux.scala 27:72] + wire [3:0] _T_323 = _T_322 | _T_293; // @[Mux.scala 27:72] + wire [3:0] _T_324 = _T_323 | _T_294; // @[Mux.scala 27:72] + wire [3:0] _T_325 = _T_324 | _T_295; // @[Mux.scala 27:72] + wire [3:0] _T_326 = _T_325 | _T_296; // @[Mux.scala 27:72] + wire [3:0] _T_327 = _T_326 | _T_297; // @[Mux.scala 27:72] + wire [3:0] _T_328 = _T_327 | _T_298; // @[Mux.scala 27:72] + wire [4:0] _GEN_8 = {{1'd0}, _T_328}; // @[Mux.scala 27:72] + wire [4:0] _T_329 = _GEN_8 | _T_299; // @[Mux.scala 27:72] + wire [4:0] _T_330 = _T_329 | _T_300; // @[Mux.scala 27:72] + wire [4:0] _T_331 = _T_330 | _T_301; // @[Mux.scala 27:72] + wire [4:0] _T_332 = _T_331 | _T_302; // @[Mux.scala 27:72] + wire [4:0] _T_333 = _T_332 | _T_303; // @[Mux.scala 27:72] + wire [4:0] _T_334 = _T_333 | _T_304; // @[Mux.scala 27:72] + wire [4:0] _T_335 = _T_334 | _T_305; // @[Mux.scala 27:72] + wire [4:0] _T_336 = _T_335 | _T_306; // @[Mux.scala 27:72] + wire [4:0] _T_337 = _T_336 | _T_307; // @[Mux.scala 27:72] + wire [4:0] _T_338 = _T_337 | _T_308; // @[Mux.scala 27:72] + wire [4:0] _T_339 = _T_338 | _T_309; // @[Mux.scala 27:72] + wire [4:0] _T_340 = _T_339 | _T_310; // @[Mux.scala 27:72] + wire [4:0] _T_341 = _T_340 | _T_311; // @[Mux.scala 27:72] + wire [4:0] _T_342 = _T_341 | _T_312; // @[Mux.scala 27:72] + wire [4:0] _T_343 = _T_342 | _T_313; // @[Mux.scala 27:72] + wire [4:0] cls_ones = _T_128 ? 5'h1f : _T_343; // @[exu_div_ctl.scala 513:38] + assign io_cls = io_operand[32] ? cls_ones : cls_zeros; // @[exu_div_ctl.scala 515:10] +endmodule +module rvclkhdr( + input io_clk, + input io_en +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = 1'h0; // @[lib.scala 338:18] +endmodule +module el2_exu_div_new_1bit_fullshortq( + input clock, + input reset, + input io_scan_mode, + input io_cancel, + input io_valid_in, + input io_signed_in, + input io_rem_in, + input [31:0] io_dividend_in, + input [31:0] io_divisor_in, + output [31:0] io_data_out, + output io_valid_out +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; +`endif // RANDOMIZE_REG_INIT + wire [32:0] a_enc_io_operand; // @[exu_div_ctl.scala 429:21] + wire [4:0] a_enc_io_cls; // @[exu_div_ctl.scala 429:21] + wire [32:0] b_enc_io_operand; // @[exu_div_ctl.scala 432:21] + wire [4:0] b_enc_io_cls; // @[exu_div_ctl.scala 432:21] + wire rvclkhdr_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_io_en; // @[lib.scala 390:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_1_io_en; // @[lib.scala 390:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_2_io_en; // @[lib.scala 390:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_3_io_en; // @[lib.scala 390:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_4_io_en; // @[lib.scala 390:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_5_io_en; // @[lib.scala 390:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_6_io_en; // @[lib.scala 390:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_7_io_en; // @[lib.scala 390:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_8_io_en; // @[lib.scala 390:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_9_io_en; // @[lib.scala 390:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_10_io_en; // @[lib.scala 390:23] + reg [2:0] control_ff; // @[Reg.scala 27:20] + wire dividend_sign_ff = control_ff[2]; // @[exu_div_ctl.scala 343:40] + wire divisor_sign_ff = control_ff[1]; // @[exu_div_ctl.scala 344:40] + wire rem_ff = control_ff[0]; // @[exu_div_ctl.scala 345:40] + reg [32:0] b_ff; // @[Reg.scala 27:20] + wire _T_1 = b_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 346:54] + reg valid_ff; // @[Reg.scala 27:20] + wire by_zero_case = valid_ff & _T_1; // @[exu_div_ctl.scala 346:40] + reg [31:0] a_ff; // @[Reg.scala 27:20] + wire _T_3 = a_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 347:37] + wire _T_5 = b_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 347:60] + wire _T_6 = _T_3 & _T_5; // @[exu_div_ctl.scala 347:46] + wire _T_7 = ~by_zero_case; // @[exu_div_ctl.scala 347:71] + wire _T_8 = _T_6 & _T_7; // @[exu_div_ctl.scala 347:69] + wire _T_9 = ~rem_ff; // @[exu_div_ctl.scala 347:87] + wire _T_10 = _T_8 & _T_9; // @[exu_div_ctl.scala 347:85] + wire _T_11 = _T_10 & valid_ff; // @[exu_div_ctl.scala 347:95] + wire _T_12 = ~io_cancel; // @[exu_div_ctl.scala 347:108] + wire _T_13 = _T_11 & _T_12; // @[exu_div_ctl.scala 347:106] + wire _T_15 = a_ff == 32'h0; // @[exu_div_ctl.scala 348:18] + wire _T_17 = _T_15 & _T_7; // @[exu_div_ctl.scala 348:27] + wire _T_19 = _T_17 & _T_9; // @[exu_div_ctl.scala 348:43] + wire _T_20 = _T_19 & valid_ff; // @[exu_div_ctl.scala 348:53] + wire _T_22 = _T_20 & _T_12; // @[exu_div_ctl.scala 348:64] + wire smallnum_case = _T_13 | _T_22; // @[exu_div_ctl.scala 347:120] + wire valid_ff_in = io_valid_in & _T_12; // @[exu_div_ctl.scala 349:43] + wire _T_24 = ~io_valid_in; // @[exu_div_ctl.scala 350:35] + wire _T_26 = _T_24 & dividend_sign_ff; // @[exu_div_ctl.scala 350:48] + wire _T_27 = io_valid_in & io_signed_in; // @[exu_div_ctl.scala 350:80] + wire _T_29 = _T_27 & io_dividend_in[31]; // @[exu_div_ctl.scala 350:96] + wire _T_30 = _T_26 | _T_29; // @[exu_div_ctl.scala 350:65] + wire _T_33 = _T_24 & divisor_sign_ff; // @[exu_div_ctl.scala 350:133] + wire _T_36 = _T_27 & io_divisor_in[31]; // @[exu_div_ctl.scala 350:181] + wire _T_37 = _T_33 | _T_36; // @[exu_div_ctl.scala 350:150] + wire _T_40 = _T_24 & rem_ff; // @[exu_div_ctl.scala 350:218] + wire _T_41 = io_valid_in & io_rem_in; // @[exu_div_ctl.scala 350:250] + wire _T_42 = _T_40 | _T_41; // @[exu_div_ctl.scala 350:235] + wire [2:0] control_in = {_T_30,_T_37,_T_42}; // @[Cat.scala 29:58] + reg [6:0] count_ff; // @[Reg.scala 27:20] + wire _T_44 = |count_ff; // @[exu_div_ctl.scala 351:42] + reg shortq_enable_ff; // @[Reg.scala 27:20] + wire running_state = _T_44 | shortq_enable_ff; // @[exu_div_ctl.scala 351:45] + wire _T_45 = io_valid_in | valid_ff; // @[exu_div_ctl.scala 352:43] + wire _T_46 = _T_45 | io_cancel; // @[exu_div_ctl.scala 352:54] + wire _T_47 = _T_46 | running_state; // @[exu_div_ctl.scala 352:66] + reg finish_ff; // @[Reg.scala 27:20] + wire misc_enable = _T_47 | finish_ff; // @[exu_div_ctl.scala 352:82] + wire _T_48 = smallnum_case | by_zero_case; // @[exu_div_ctl.scala 353:45] + wire _T_49 = count_ff == 7'h20; // @[exu_div_ctl.scala 353:72] + wire finish_raw = _T_48 | _T_49; // @[exu_div_ctl.scala 353:60] + wire finish = finish_raw & _T_12; // @[exu_div_ctl.scala 354:41] + wire _T_51 = valid_ff | running_state; // @[exu_div_ctl.scala 355:40] + wire _T_52 = ~finish; // @[exu_div_ctl.scala 355:59] + wire _T_53 = _T_51 & _T_52; // @[exu_div_ctl.scala 355:57] + wire _T_54 = ~finish_ff; // @[exu_div_ctl.scala 355:69] + wire _T_55 = _T_53 & _T_54; // @[exu_div_ctl.scala 355:67] + wire _T_57 = _T_55 & _T_12; // @[exu_div_ctl.scala 355:80] + wire [6:0] _T_841 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_842 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_844 = _T_841 - _T_842; // @[exu_div_ctl.scala 437:41] + wire [7:0] _T_847 = {{1'd0}, _T_844}; // @[exu_div_ctl.scala 437:61] + wire [6:0] dw_shortq_raw = _T_847[6:0]; // @[exu_div_ctl.scala 437:61] + wire [5:0] shortq = dw_shortq_raw[6] ? 6'h0 : dw_shortq_raw[5:0]; // @[exu_div_ctl.scala 438:19] + wire _T_852 = ~shortq[5]; // @[exu_div_ctl.scala 439:31] + wire _T_853 = valid_ff & _T_852; // @[exu_div_ctl.scala 439:29] + wire _T_855 = shortq[4:1] == 4'hf; // @[exu_div_ctl.scala 439:58] + wire _T_856 = ~_T_855; // @[exu_div_ctl.scala 439:44] + wire _T_857 = _T_853 & _T_856; // @[exu_div_ctl.scala 439:42] + wire shortq_enable = _T_857 & _T_12; // @[exu_div_ctl.scala 439:74] + wire _T_58 = ~shortq_enable; // @[exu_div_ctl.scala 355:95] + wire count_enable = _T_57 & _T_58; // @[exu_div_ctl.scala 355:93] + wire [6:0] _T_60 = count_enable ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] + wire [6:0] _T_63 = count_ff + 7'h1; // @[exu_div_ctl.scala 356:63] + reg [4:0] shortq_shift_ff; // @[Reg.scala 27:20] + wire [6:0] _T_64 = {2'h0,shortq_shift_ff}; // @[Cat.scala 29:58] + wire [6:0] _T_66 = _T_63 + _T_64; // @[exu_div_ctl.scala 356:83] + wire [6:0] count_in = _T_60 & _T_66; // @[exu_div_ctl.scala 356:51] + wire a_enable = io_valid_in | running_state; // @[exu_div_ctl.scala 357:43] + wire _T_67 = ~shortq_enable_ff; // @[exu_div_ctl.scala 358:47] + wire a_shift = running_state & _T_67; // @[exu_div_ctl.scala 358:45] + wire [31:0] _T_69 = dividend_sign_ff ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_70 = {_T_69,a_ff}; // @[Cat.scala 29:58] + wire [94:0] _GEN_11 = {{31'd0}, _T_70}; // @[exu_div_ctl.scala 359:68] + wire [94:0] _T_71 = _GEN_11 << shortq_shift_ff; // @[exu_div_ctl.scala 359:68] + wire _T_72 = dividend_sign_ff ^ divisor_sign_ff; // @[exu_div_ctl.scala 360:61] + wire _T_73 = ~_T_72; // @[exu_div_ctl.scala 360:42] + wire b_twos_comp = valid_ff & _T_73; // @[exu_div_ctl.scala 360:40] + wire _T_76 = ~valid_ff; // @[exu_div_ctl.scala 362:30] + wire _T_78 = _T_76 & _T_9; // @[exu_div_ctl.scala 362:40] + wire _T_80 = _T_78 & _T_72; // @[exu_div_ctl.scala 362:50] + reg by_zero_case_ff; // @[Reg.scala 27:20] + wire _T_81 = ~by_zero_case_ff; // @[exu_div_ctl.scala 362:92] + wire twos_comp_q_sel = _T_80 & _T_81; // @[exu_div_ctl.scala 362:90] + wire b_enable = io_valid_in | b_twos_comp; // @[exu_div_ctl.scala 363:43] + wire rq_enable = _T_45 | running_state; // @[exu_div_ctl.scala 364:54] + wire _T_83 = valid_ff & dividend_sign_ff; // @[exu_div_ctl.scala 365:40] + wire r_sign_sel = _T_83 & _T_7; // @[exu_div_ctl.scala 365:59] + reg [31:0] r_ff; // @[Reg.scala 27:20] + wire [32:0] _T_360 = {r_ff,a_ff[31]}; // @[Cat.scala 29:58] + wire [32:0] adder_out = _T_360 + b_ff; // @[exu_div_ctl.scala 395:35] + wire _T_364 = ~adder_out[32]; // @[exu_div_ctl.scala 396:20] + wire _T_365 = _T_364 ^ dividend_sign_ff; // @[exu_div_ctl.scala 396:35] + wire _T_367 = a_ff[30:0] == 31'h0; // @[exu_div_ctl.scala 396:70] + wire _T_368 = adder_out == 33'h0; // @[exu_div_ctl.scala 396:92] + wire _T_369 = _T_367 & _T_368; // @[exu_div_ctl.scala 396:79] + wire quotient_set = _T_365 | _T_369; // @[exu_div_ctl.scala 396:55] + wire _T_85 = ~quotient_set; // @[exu_div_ctl.scala 366:47] + wire _T_86 = running_state & _T_85; // @[exu_div_ctl.scala 366:45] + wire r_restore_sel = _T_86 & _T_67; // @[exu_div_ctl.scala 366:61] + wire _T_88 = running_state & quotient_set; // @[exu_div_ctl.scala 367:45] + wire r_adder_sel = _T_88 & _T_67; // @[exu_div_ctl.scala 367:61] + reg [31:0] q_ff; // @[Reg.scala 27:20] + wire [31:0] _T_91 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_92 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] twos_comp_in = _T_91 | _T_92; // @[Mux.scala 27:72] + wire _T_96 = |twos_comp_in[0]; // @[lib.scala 428:35] + wire _T_98 = ~twos_comp_in[1]; // @[lib.scala 428:40] + wire _T_100 = _T_96 ? _T_98 : twos_comp_in[1]; // @[lib.scala 428:23] + wire _T_102 = |twos_comp_in[1:0]; // @[lib.scala 428:35] + wire _T_104 = ~twos_comp_in[2]; // @[lib.scala 428:40] + wire _T_106 = _T_102 ? _T_104 : twos_comp_in[2]; // @[lib.scala 428:23] + wire _T_108 = |twos_comp_in[2:0]; // @[lib.scala 428:35] + wire _T_110 = ~twos_comp_in[3]; // @[lib.scala 428:40] + wire _T_112 = _T_108 ? _T_110 : twos_comp_in[3]; // @[lib.scala 428:23] + wire _T_114 = |twos_comp_in[3:0]; // @[lib.scala 428:35] + wire _T_116 = ~twos_comp_in[4]; // @[lib.scala 428:40] + wire _T_118 = _T_114 ? _T_116 : twos_comp_in[4]; // @[lib.scala 428:23] + wire _T_120 = |twos_comp_in[4:0]; // @[lib.scala 428:35] + wire _T_122 = ~twos_comp_in[5]; // @[lib.scala 428:40] + wire _T_124 = _T_120 ? _T_122 : twos_comp_in[5]; // @[lib.scala 428:23] + wire _T_126 = |twos_comp_in[5:0]; // @[lib.scala 428:35] + wire _T_128 = ~twos_comp_in[6]; // @[lib.scala 428:40] + wire _T_130 = _T_126 ? _T_128 : twos_comp_in[6]; // @[lib.scala 428:23] + wire _T_132 = |twos_comp_in[6:0]; // @[lib.scala 428:35] + wire _T_134 = ~twos_comp_in[7]; // @[lib.scala 428:40] + wire _T_136 = _T_132 ? _T_134 : twos_comp_in[7]; // @[lib.scala 428:23] + wire _T_138 = |twos_comp_in[7:0]; // @[lib.scala 428:35] + wire _T_140 = ~twos_comp_in[8]; // @[lib.scala 428:40] + wire _T_142 = _T_138 ? _T_140 : twos_comp_in[8]; // @[lib.scala 428:23] + wire _T_144 = |twos_comp_in[8:0]; // @[lib.scala 428:35] + wire _T_146 = ~twos_comp_in[9]; // @[lib.scala 428:40] + wire _T_148 = _T_144 ? _T_146 : twos_comp_in[9]; // @[lib.scala 428:23] + wire _T_150 = |twos_comp_in[9:0]; // @[lib.scala 428:35] + wire _T_152 = ~twos_comp_in[10]; // @[lib.scala 428:40] + wire _T_154 = _T_150 ? _T_152 : twos_comp_in[10]; // @[lib.scala 428:23] + wire _T_156 = |twos_comp_in[10:0]; // @[lib.scala 428:35] + wire _T_158 = ~twos_comp_in[11]; // @[lib.scala 428:40] + wire _T_160 = _T_156 ? _T_158 : twos_comp_in[11]; // @[lib.scala 428:23] + wire _T_162 = |twos_comp_in[11:0]; // @[lib.scala 428:35] + wire _T_164 = ~twos_comp_in[12]; // @[lib.scala 428:40] + wire _T_166 = _T_162 ? _T_164 : twos_comp_in[12]; // @[lib.scala 428:23] + wire _T_168 = |twos_comp_in[12:0]; // @[lib.scala 428:35] + wire _T_170 = ~twos_comp_in[13]; // @[lib.scala 428:40] + wire _T_172 = _T_168 ? _T_170 : twos_comp_in[13]; // @[lib.scala 428:23] + wire _T_174 = |twos_comp_in[13:0]; // @[lib.scala 428:35] + wire _T_176 = ~twos_comp_in[14]; // @[lib.scala 428:40] + wire _T_178 = _T_174 ? _T_176 : twos_comp_in[14]; // @[lib.scala 428:23] + wire _T_180 = |twos_comp_in[14:0]; // @[lib.scala 428:35] + wire _T_182 = ~twos_comp_in[15]; // @[lib.scala 428:40] + wire _T_184 = _T_180 ? _T_182 : twos_comp_in[15]; // @[lib.scala 428:23] + wire _T_186 = |twos_comp_in[15:0]; // @[lib.scala 428:35] + wire _T_188 = ~twos_comp_in[16]; // @[lib.scala 428:40] + wire _T_190 = _T_186 ? _T_188 : twos_comp_in[16]; // @[lib.scala 428:23] + wire _T_192 = |twos_comp_in[16:0]; // @[lib.scala 428:35] + wire _T_194 = ~twos_comp_in[17]; // @[lib.scala 428:40] + wire _T_196 = _T_192 ? _T_194 : twos_comp_in[17]; // @[lib.scala 428:23] + wire _T_198 = |twos_comp_in[17:0]; // @[lib.scala 428:35] + wire _T_200 = ~twos_comp_in[18]; // @[lib.scala 428:40] + wire _T_202 = _T_198 ? _T_200 : twos_comp_in[18]; // @[lib.scala 428:23] + wire _T_204 = |twos_comp_in[18:0]; // @[lib.scala 428:35] + wire _T_206 = ~twos_comp_in[19]; // @[lib.scala 428:40] + wire _T_208 = _T_204 ? _T_206 : twos_comp_in[19]; // @[lib.scala 428:23] + wire _T_210 = |twos_comp_in[19:0]; // @[lib.scala 428:35] + wire _T_212 = ~twos_comp_in[20]; // @[lib.scala 428:40] + wire _T_214 = _T_210 ? _T_212 : twos_comp_in[20]; // @[lib.scala 428:23] + wire _T_216 = |twos_comp_in[20:0]; // @[lib.scala 428:35] + wire _T_218 = ~twos_comp_in[21]; // @[lib.scala 428:40] + wire _T_220 = _T_216 ? _T_218 : twos_comp_in[21]; // @[lib.scala 428:23] + wire _T_222 = |twos_comp_in[21:0]; // @[lib.scala 428:35] + wire _T_224 = ~twos_comp_in[22]; // @[lib.scala 428:40] + wire _T_226 = _T_222 ? _T_224 : twos_comp_in[22]; // @[lib.scala 428:23] + wire _T_228 = |twos_comp_in[22:0]; // @[lib.scala 428:35] + wire _T_230 = ~twos_comp_in[23]; // @[lib.scala 428:40] + wire _T_232 = _T_228 ? _T_230 : twos_comp_in[23]; // @[lib.scala 428:23] + wire _T_234 = |twos_comp_in[23:0]; // @[lib.scala 428:35] + wire _T_236 = ~twos_comp_in[24]; // @[lib.scala 428:40] + wire _T_238 = _T_234 ? _T_236 : twos_comp_in[24]; // @[lib.scala 428:23] + wire _T_240 = |twos_comp_in[24:0]; // @[lib.scala 428:35] + wire _T_242 = ~twos_comp_in[25]; // @[lib.scala 428:40] + wire _T_244 = _T_240 ? _T_242 : twos_comp_in[25]; // @[lib.scala 428:23] + wire _T_246 = |twos_comp_in[25:0]; // @[lib.scala 428:35] + wire _T_248 = ~twos_comp_in[26]; // @[lib.scala 428:40] + wire _T_250 = _T_246 ? _T_248 : twos_comp_in[26]; // @[lib.scala 428:23] + wire _T_252 = |twos_comp_in[26:0]; // @[lib.scala 428:35] + wire _T_254 = ~twos_comp_in[27]; // @[lib.scala 428:40] + wire _T_256 = _T_252 ? _T_254 : twos_comp_in[27]; // @[lib.scala 428:23] + wire _T_258 = |twos_comp_in[27:0]; // @[lib.scala 428:35] + wire _T_260 = ~twos_comp_in[28]; // @[lib.scala 428:40] + wire _T_262 = _T_258 ? _T_260 : twos_comp_in[28]; // @[lib.scala 428:23] + wire _T_264 = |twos_comp_in[28:0]; // @[lib.scala 428:35] + wire _T_266 = ~twos_comp_in[29]; // @[lib.scala 428:40] + wire _T_268 = _T_264 ? _T_266 : twos_comp_in[29]; // @[lib.scala 428:23] + wire _T_270 = |twos_comp_in[29:0]; // @[lib.scala 428:35] + wire _T_272 = ~twos_comp_in[30]; // @[lib.scala 428:40] + wire _T_274 = _T_270 ? _T_272 : twos_comp_in[30]; // @[lib.scala 428:23] + wire _T_276 = |twos_comp_in[30:0]; // @[lib.scala 428:35] + wire _T_278 = ~twos_comp_in[31]; // @[lib.scala 428:40] + wire _T_280 = _T_276 ? _T_278 : twos_comp_in[31]; // @[lib.scala 428:23] + wire [6:0] _T_286 = {_T_136,_T_130,_T_124,_T_118,_T_112,_T_106,_T_100}; // @[lib.scala 430:14] + wire [14:0] _T_294 = {_T_184,_T_178,_T_172,_T_166,_T_160,_T_154,_T_148,_T_142,_T_286}; // @[lib.scala 430:14] + wire [7:0] _T_301 = {_T_232,_T_226,_T_220,_T_214,_T_208,_T_202,_T_196,_T_190}; // @[lib.scala 430:14] + wire [30:0] _T_310 = {_T_280,_T_274,_T_268,_T_262,_T_256,_T_250,_T_244,_T_238,_T_301,_T_294}; // @[lib.scala 430:14] + wire [31:0] twos_comp_out = {_T_310,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire _T_312 = ~a_shift; // @[exu_div_ctl.scala 375:6] + wire _T_314 = _T_312 & _T_67; // @[exu_div_ctl.scala 375:15] + wire [31:0] _T_317 = {a_ff[30:0],1'h0}; // @[Cat.scala 29:58] + wire [63:0] ar_shifted = _T_71[63:0]; // @[exu_div_ctl.scala 359:28] + wire [31:0] _T_319 = _T_314 ? io_dividend_in : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_320 = a_shift ? _T_317 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_321 = shortq_enable_ff ? ar_shifted[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_322 = _T_319 | _T_320; // @[Mux.scala 27:72] + wire [31:0] a_in = _T_322 | _T_321; // @[Mux.scala 27:72] + wire _T_324 = ~b_twos_comp; // @[exu_div_ctl.scala 380:5] + wire _T_326 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 380:63] + wire [32:0] _T_328 = {_T_326,io_divisor_in}; // @[Cat.scala 29:58] + wire _T_329 = ~divisor_sign_ff; // @[exu_div_ctl.scala 381:50] + wire [32:0] _T_331 = {_T_329,_T_310,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire [32:0] _T_332 = _T_324 ? _T_328 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_333 = b_twos_comp ? _T_331 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] b_in = _T_332 | _T_333; // @[Mux.scala 27:72] + wire [31:0] _T_337 = {r_ff[30:0],a_ff[31]}; // @[Cat.scala 29:58] + wire [31:0] _T_340 = r_sign_sel ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_341 = r_restore_sel ? _T_337 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_342 = r_adder_sel ? adder_out[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_343 = shortq_enable_ff ? ar_shifted[63:32] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_344 = by_zero_case ? a_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_345 = _T_340 | _T_341; // @[Mux.scala 27:72] + wire [31:0] _T_346 = _T_345 | _T_342; // @[Mux.scala 27:72] + wire [31:0] _T_347 = _T_346 | _T_343; // @[Mux.scala 27:72] + wire [31:0] r_in = _T_347 | _T_344; // @[Mux.scala 27:72] + wire [31:0] _T_351 = {q_ff[30:0],quotient_set}; // @[Cat.scala 29:58] + wire _T_385 = ~b_ff[3]; // @[exu_div_ctl.scala 405:70] + wire _T_387 = ~b_ff[2]; // @[exu_div_ctl.scala 405:70] + wire _T_390 = _T_385 & _T_387; // @[exu_div_ctl.scala 405:95] + wire _T_389 = ~b_ff[1]; // @[exu_div_ctl.scala 405:70] + wire _T_391 = _T_390 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_392 = a_ff[3] & _T_391; // @[exu_div_ctl.scala 406:11] + wire _T_399 = a_ff[3] & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_401 = ~b_ff[0]; // @[exu_div_ctl.scala 412:33] + wire _T_402 = _T_399 & _T_401; // @[exu_div_ctl.scala 412:31] + wire _T_412 = a_ff[2] & _T_391; // @[exu_div_ctl.scala 406:11] + wire _T_413 = _T_402 | _T_412; // @[exu_div_ctl.scala 412:42] + wire _T_416 = a_ff[3] & a_ff[2]; // @[exu_div_ctl.scala 404:95] + wire _T_422 = _T_416 & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_423 = _T_413 | _T_422; // @[exu_div_ctl.scala 412:75] + wire _T_430 = a_ff[2] & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_433 = _T_430 & _T_401; // @[exu_div_ctl.scala 414:31] + wire _T_443 = a_ff[1] & _T_391; // @[exu_div_ctl.scala 406:11] + wire _T_444 = _T_433 | _T_443; // @[exu_div_ctl.scala 414:42] + wire _T_450 = _T_385 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_451 = a_ff[3] & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_454 = _T_451 & _T_401; // @[exu_div_ctl.scala 414:106] + wire _T_455 = _T_444 | _T_454; // @[exu_div_ctl.scala 414:78] + wire _T_458 = ~a_ff[2]; // @[exu_div_ctl.scala 404:70] + wire _T_459 = a_ff[3] & _T_458; // @[exu_div_ctl.scala 404:95] + wire _T_467 = _T_390 & b_ff[1]; // @[exu_div_ctl.scala 405:95] + wire _T_468 = _T_467 & b_ff[0]; // @[exu_div_ctl.scala 405:95] + wire _T_469 = _T_459 & _T_468; // @[exu_div_ctl.scala 406:11] + wire _T_470 = _T_455 | _T_469; // @[exu_div_ctl.scala 414:117] + wire _T_472 = ~a_ff[3]; // @[exu_div_ctl.scala 404:70] + wire _T_475 = _T_472 & a_ff[2]; // @[exu_div_ctl.scala 404:95] + wire _T_476 = _T_475 & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_482 = _T_476 & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_483 = _T_470 | _T_482; // @[exu_div_ctl.scala 415:44] + wire _T_489 = _T_416 & _T_385; // @[exu_div_ctl.scala 406:11] + wire _T_492 = _T_489 & _T_401; // @[exu_div_ctl.scala 415:107] + wire _T_493 = _T_483 | _T_492; // @[exu_div_ctl.scala 415:80] + wire _T_502 = _T_385 & b_ff[2]; // @[exu_div_ctl.scala 405:95] + wire _T_503 = _T_502 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_504 = _T_416 & _T_503; // @[exu_div_ctl.scala 406:11] + wire _T_505 = _T_493 | _T_504; // @[exu_div_ctl.scala 415:119] + wire _T_508 = a_ff[3] & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_514 = _T_508 & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_515 = _T_505 | _T_514; // @[exu_div_ctl.scala 416:44] + wire _T_520 = _T_416 & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_525 = _T_520 & _T_502; // @[exu_div_ctl.scala 406:11] + wire _T_526 = _T_515 | _T_525; // @[exu_div_ctl.scala 416:79] + wire _T_530 = a_ff[2] & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_531 = _T_530 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_537 = _T_531 & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_543 = _T_459 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_548 = _T_385 & b_ff[1]; // @[exu_div_ctl.scala 405:95] + wire _T_549 = _T_548 & b_ff[0]; // @[exu_div_ctl.scala 405:95] + wire _T_550 = _T_543 & _T_549; // @[exu_div_ctl.scala 406:11] + wire _T_551 = _T_537 | _T_550; // @[exu_div_ctl.scala 418:45] + wire _T_558 = a_ff[2] & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_561 = _T_558 & _T_401; // @[exu_div_ctl.scala 418:114] + wire _T_562 = _T_551 | _T_561; // @[exu_div_ctl.scala 418:86] + wire _T_569 = a_ff[1] & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_572 = _T_569 & _T_401; // @[exu_div_ctl.scala 419:33] + wire _T_573 = _T_562 | _T_572; // @[exu_div_ctl.scala 418:129] + wire _T_583 = a_ff[0] & _T_391; // @[exu_div_ctl.scala 406:11] + wire _T_584 = _T_573 | _T_583; // @[exu_div_ctl.scala 419:47] + wire _T_589 = ~a_ff[1]; // @[exu_div_ctl.scala 404:70] + wire _T_591 = _T_475 & _T_589; // @[exu_div_ctl.scala 404:95] + wire _T_601 = _T_591 & _T_468; // @[exu_div_ctl.scala 406:11] + wire _T_602 = _T_584 | _T_601; // @[exu_div_ctl.scala 419:88] + wire _T_611 = _T_476 & _T_385; // @[exu_div_ctl.scala 406:11] + wire _T_614 = _T_611 & _T_401; // @[exu_div_ctl.scala 420:36] + wire _T_615 = _T_602 | _T_614; // @[exu_div_ctl.scala 419:131] + wire _T_621 = _T_387 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_622 = a_ff[3] & _T_621; // @[exu_div_ctl.scala 406:11] + wire _T_625 = _T_622 & _T_401; // @[exu_div_ctl.scala 420:76] + wire _T_626 = _T_615 | _T_625; // @[exu_div_ctl.scala 420:47] + wire _T_636 = _T_502 & b_ff[1]; // @[exu_div_ctl.scala 405:95] + wire _T_637 = _T_459 & _T_636; // @[exu_div_ctl.scala 406:11] + wire _T_638 = _T_626 | _T_637; // @[exu_div_ctl.scala 420:88] + wire _T_652 = _T_476 & _T_503; // @[exu_div_ctl.scala 406:11] + wire _T_653 = _T_638 | _T_652; // @[exu_div_ctl.scala 420:131] + wire _T_659 = _T_475 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_665 = _T_659 & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_666 = _T_653 | _T_665; // @[exu_div_ctl.scala 421:47] + wire _T_673 = _T_459 & _T_589; // @[exu_div_ctl.scala 404:95] + wire _T_679 = _T_502 & b_ff[0]; // @[exu_div_ctl.scala 405:95] + wire _T_680 = _T_673 & _T_679; // @[exu_div_ctl.scala 406:11] + wire _T_681 = _T_666 | _T_680; // @[exu_div_ctl.scala 421:88] + wire _T_686 = _T_458 & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_687 = _T_686 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_693 = _T_687 & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_694 = _T_681 | _T_693; // @[exu_div_ctl.scala 421:131] + wire _T_700 = _T_416 & _T_389; // @[exu_div_ctl.scala 406:11] + wire _T_703 = _T_700 & _T_401; // @[exu_div_ctl.scala 422:75] + wire _T_704 = _T_694 | _T_703; // @[exu_div_ctl.scala 422:47] + wire _T_712 = _T_476 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_717 = _T_712 & _T_502; // @[exu_div_ctl.scala 406:11] + wire _T_718 = _T_704 | _T_717; // @[exu_div_ctl.scala 422:88] + wire _T_725 = b_ff[3] & _T_387; // @[exu_div_ctl.scala 405:95] + wire _T_726 = _T_416 & _T_725; // @[exu_div_ctl.scala 406:11] + wire _T_727 = _T_718 | _T_726; // @[exu_div_ctl.scala 422:131] + wire _T_737 = _T_725 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_738 = _T_508 & _T_737; // @[exu_div_ctl.scala 406:11] + wire _T_739 = _T_727 | _T_738; // @[exu_div_ctl.scala 423:47] + wire _T_742 = a_ff[3] & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_748 = _T_742 & _T_621; // @[exu_div_ctl.scala 406:11] + wire _T_749 = _T_739 | _T_748; // @[exu_div_ctl.scala 423:88] + wire _T_753 = a_ff[3] & _T_589; // @[exu_div_ctl.scala 404:95] + wire _T_761 = _T_636 & b_ff[0]; // @[exu_div_ctl.scala 405:95] + wire _T_762 = _T_753 & _T_761; // @[exu_div_ctl.scala 406:11] + wire _T_763 = _T_749 | _T_762; // @[exu_div_ctl.scala 423:131] + wire _T_770 = _T_520 & b_ff[3]; // @[exu_div_ctl.scala 406:11] + wire _T_773 = _T_770 & _T_401; // @[exu_div_ctl.scala 424:77] + wire _T_774 = _T_763 | _T_773; // @[exu_div_ctl.scala 424:47] + wire _T_783 = b_ff[3] & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_784 = _T_520 & _T_783; // @[exu_div_ctl.scala 406:11] + wire _T_785 = _T_774 | _T_784; // @[exu_div_ctl.scala 424:88] + wire _T_790 = _T_416 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_795 = _T_790 & _T_783; // @[exu_div_ctl.scala 406:11] + wire _T_796 = _T_785 | _T_795; // @[exu_div_ctl.scala 424:131] + wire _T_802 = _T_459 & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_807 = _T_802 & _T_548; // @[exu_div_ctl.scala 406:11] + wire _T_808 = _T_796 | _T_807; // @[exu_div_ctl.scala 425:47] + wire _T_813 = _T_508 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_816 = _T_813 & _T_387; // @[exu_div_ctl.scala 406:11] + wire _T_817 = _T_808 | _T_816; // @[exu_div_ctl.scala 425:88] + wire _T_824 = _T_520 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_826 = _T_824 & b_ff[3]; // @[exu_div_ctl.scala 406:11] + wire _T_827 = _T_817 | _T_826; // @[exu_div_ctl.scala 425:131] + wire _T_833 = _T_508 & _T_387; // @[exu_div_ctl.scala 406:11] + wire _T_836 = _T_833 & _T_401; // @[exu_div_ctl.scala 426:74] + wire _T_837 = _T_827 | _T_836; // @[exu_div_ctl.scala 426:47] + wire [31:0] _T_352 = {28'h0,_T_392,_T_423,_T_526,_T_837}; // @[Cat.scala 29:58] + wire [31:0] _T_354 = _T_76 ? _T_351 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_355 = smallnum_case ? _T_352 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_356 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_357 = _T_354 | _T_355; // @[Mux.scala 27:72] + wire [31:0] q_in = _T_357 | _T_356; // @[Mux.scala 27:72] + wire _T_374 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 399:16] + wire _T_375 = _T_9 & _T_374; // @[exu_div_ctl.scala 399:14] + wire [31:0] _T_377 = _T_375 ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_378 = rem_ff ? r_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_379 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_380 = _T_377 | _T_378; // @[Mux.scala 27:72] + wire [4:0] _T_863 = 5'h1f - shortq[4:0]; // @[exu_div_ctl.scala 440:57] + el2_exu_div_cls a_enc ( // @[exu_div_ctl.scala 429:21] + .io_operand(a_enc_io_operand), + .io_cls(a_enc_io_cls) + ); + el2_exu_div_cls b_enc ( // @[exu_div_ctl.scala 432:21] + .io_operand(b_enc_io_operand), + .io_cls(b_enc_io_cls) + ); + rvclkhdr rvclkhdr ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en) + ); + assign io_data_out = _T_380 | _T_379; // @[exu_div_ctl.scala 398:15] + assign io_valid_out = finish_ff & _T_12; // @[exu_div_ctl.scala 397:16] + assign a_enc_io_operand = {dividend_sign_ff,a_ff}; // @[exu_div_ctl.scala 430:20] + assign b_enc_io_operand = b_ff; // @[exu_div_ctl.scala 433:20] + assign rvclkhdr_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_1_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_2_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_3_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_4_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_5_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_6_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_7_io_en = io_valid_in | running_state; // @[lib.scala 393:17] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_8_io_en = io_valid_in | b_twos_comp; // @[lib.scala 393:17] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_9_io_en = _T_45 | running_state; // @[lib.scala 393:17] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_10_io_en = _T_45 | running_state; // @[lib.scala 393:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + control_ff = _RAND_0[2:0]; + _RAND_1 = {2{`RANDOM}}; + b_ff = _RAND_1[32:0]; + _RAND_2 = {1{`RANDOM}}; + valid_ff = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + a_ff = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + count_ff = _RAND_4[6:0]; + _RAND_5 = {1{`RANDOM}}; + shortq_enable_ff = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + finish_ff = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + shortq_shift_ff = _RAND_7[4:0]; + _RAND_8 = {1{`RANDOM}}; + by_zero_case_ff = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + r_ff = _RAND_9[31:0]; + _RAND_10 = {1{`RANDOM}}; + q_ff = _RAND_10[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + control_ff = 3'h0; + end + if (reset) begin + b_ff = 33'h0; + end + if (reset) begin + valid_ff = 1'h0; + end + if (reset) begin + a_ff = 32'h0; + end + if (reset) begin + count_ff = 7'h0; + end + if (reset) begin + shortq_enable_ff = 1'h0; + end + if (reset) begin + finish_ff = 1'h0; + end + if (reset) begin + shortq_shift_ff = 5'h0; + end + if (reset) begin + by_zero_case_ff = 1'h0; + end + if (reset) begin + r_ff = 32'h0; + end + if (reset) begin + q_ff = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + control_ff <= 3'h0; + end else if (misc_enable) begin + control_ff <= control_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + b_ff <= 33'h0; + end else if (b_enable) begin + b_ff <= b_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + valid_ff <= 1'h0; + end else if (misc_enable) begin + valid_ff <= valid_ff_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + a_ff <= 32'h0; + end else if (a_enable) begin + a_ff <= a_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + count_ff <= 7'h0; + end else if (misc_enable) begin + count_ff <= count_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_enable_ff <= 1'h0; + end else if (misc_enable) begin + shortq_enable_ff <= shortq_enable; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + finish_ff <= 1'h0; + end else if (misc_enable) begin + finish_ff <= finish; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_shift_ff <= 5'h0; + end else if (misc_enable) begin + if (_T_58) begin + shortq_shift_ff <= 5'h0; + end else begin + shortq_shift_ff <= _T_863; + end + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + by_zero_case_ff <= 1'h0; + end else if (misc_enable) begin + by_zero_case_ff <= by_zero_case; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + r_ff <= 32'h0; + end else if (rq_enable) begin + r_ff <= r_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + q_ff <= 32'h0; + end else if (rq_enable) begin + q_ff <= q_in; + end + end +endmodule diff --git a/exu_div_ctl.anno.json b/exu_div_ctl.anno.json new file mode 100644 index 00000000..468b27ba --- /dev/null +++ b/exu_div_ctl.anno.json @@ -0,0 +1,38 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_div_ctl|exu_div_ctl>io_exu_div_wren", + "sources":[ + "~exu_div_ctl|exu_div_ctl>io_dec_div_dec_div_cancel" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_div_ctl|exu_div_ctl>io_exu_div_result", + "sources":[ + "~exu_div_ctl|exu_div_ctl>io_exu_div_wren", + "~exu_div_ctl|exu_div_ctl>io_dec_div_dec_div_cancel" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"exu_div_ctl.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"exu_div_ctl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/exu_div_ctl.fir b/exu_div_ctl.fir new file mode 100644 index 00000000..12846221 --- /dev/null +++ b/exu_div_ctl.fir @@ -0,0 +1,2270 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit exu_div_ctl : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module el2_exu_div_existing_1bit_cheapshortq : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip cancel : UInt<1>, flip valid_in : UInt<1>, flip signed_in : UInt<1>, flip rem_in : UInt<1>, flip dividend_in : UInt<32>, flip divisor_in : UInt<32>, data_out : UInt<32>, valid_out : UInt<1>} + + wire run_state : UInt<1> + run_state <= UInt<1>("h00") + wire count : UInt<6> + count <= UInt<6>("h00") + wire m_ff : UInt<33> + m_ff <= UInt<33>("h00") + wire q_in : UInt<33> + q_in <= UInt<33>("h00") + wire q_ff : UInt<33> + q_ff <= UInt<33>("h00") + wire a_in : UInt<33> + a_in <= UInt<33>("h00") + wire a_ff : UInt<33> + a_ff <= UInt<33>("h00") + wire m_eff : UInt<33> + m_eff <= UInt<33>("h00") + wire dividend_neg_ff : UInt<1> + dividend_neg_ff <= UInt<1>("h00") + wire divisor_neg_ff : UInt<1> + divisor_neg_ff <= UInt<1>("h00") + wire dividend_comp : UInt<32> + dividend_comp <= UInt<32>("h00") + wire q_ff_comp : UInt<32> + q_ff_comp <= UInt<32>("h00") + wire a_ff_comp : UInt<32> + a_ff_comp <= UInt<32>("h00") + wire sign_ff : UInt<1> + sign_ff <= UInt<1>("h00") + wire rem_ff : UInt<1> + rem_ff <= UInt<1>("h00") + wire add : UInt<1> + add <= UInt<1>("h00") + wire a_eff : UInt<33> + a_eff <= UInt<33>("h00") + wire a_eff_shift : UInt<65> + a_eff_shift <= UInt<65>("h00") + wire rem_correct : UInt<1> + rem_correct <= UInt<1>("h00") + wire valid_ff_x : UInt<1> + valid_ff_x <= UInt<1>("h00") + wire finish_ff : UInt<1> + finish_ff <= UInt<1>("h00") + wire smallnum_case_ff : UInt<1> + smallnum_case_ff <= UInt<1>("h00") + wire smallnum_ff : UInt<4> + smallnum_ff <= UInt<4>("h00") + wire smallnum_case : UInt<1> + smallnum_case <= UInt<1>("h00") + wire count_in : UInt<6> + count_in <= UInt<6>("h00") + wire dividend_eff : UInt<32> + dividend_eff <= UInt<32>("h00") + wire a_shift : UInt<33> + a_shift <= UInt<33>("h00") + wire shortq : UInt<6> + shortq <= UInt<6>("h00") + node _T = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 127:30] + node valid_x = and(valid_ff_x, _T) @[exu_div_ctl.scala 127:28] + node _T_1 = bits(q_ff, 31, 4) @[exu_div_ctl.scala 133:27] + node _T_2 = eq(_T_1, UInt<1>("h00")) @[exu_div_ctl.scala 133:34] + node _T_3 = bits(m_ff, 31, 4) @[exu_div_ctl.scala 133:50] + node _T_4 = eq(_T_3, UInt<1>("h00")) @[exu_div_ctl.scala 133:57] + node _T_5 = and(_T_2, _T_4) @[exu_div_ctl.scala 133:43] + node _T_6 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 133:73] + node _T_7 = neq(_T_6, UInt<1>("h00")) @[exu_div_ctl.scala 133:80] + node _T_8 = and(_T_5, _T_7) @[exu_div_ctl.scala 133:66] + node _T_9 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 133:91] + node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 133:89] + node _T_11 = and(_T_10, valid_x) @[exu_div_ctl.scala 133:99] + node _T_12 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 134:11] + node _T_13 = eq(_T_12, UInt<1>("h00")) @[exu_div_ctl.scala 134:18] + node _T_14 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 134:34] + node _T_15 = neq(_T_14, UInt<1>("h00")) @[exu_div_ctl.scala 134:41] + node _T_16 = and(_T_13, _T_15) @[exu_div_ctl.scala 134:27] + node _T_17 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 134:52] + node _T_18 = and(_T_16, _T_17) @[exu_div_ctl.scala 134:50] + node _T_19 = and(_T_18, valid_x) @[exu_div_ctl.scala 134:60] + node _T_20 = or(_T_11, _T_19) @[exu_div_ctl.scala 133:110] + smallnum_case <= _T_20 @[exu_div_ctl.scala 133:17] + node _T_21 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_22 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_24 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_25 = eq(_T_24, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_26 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_27 = eq(_T_26, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_28 = and(_T_23, _T_25) @[exu_div_ctl.scala 138:94] + node _T_29 = and(_T_28, _T_27) @[exu_div_ctl.scala 138:94] + node _T_30 = and(_T_21, _T_29) @[exu_div_ctl.scala 139:10] + node _T_31 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_32 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_34 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_36 = and(_T_33, _T_35) @[exu_div_ctl.scala 138:94] + node _T_37 = and(_T_31, _T_36) @[exu_div_ctl.scala 139:10] + node _T_38 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 145:37] + node _T_39 = eq(_T_38, UInt<1>("h00")) @[exu_div_ctl.scala 145:32] + node _T_40 = and(_T_37, _T_39) @[exu_div_ctl.scala 145:30] + node _T_41 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_42 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_43 = eq(_T_42, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_44 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_45 = eq(_T_44, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_46 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_47 = eq(_T_46, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_48 = and(_T_43, _T_45) @[exu_div_ctl.scala 138:94] + node _T_49 = and(_T_48, _T_47) @[exu_div_ctl.scala 138:94] + node _T_50 = and(_T_41, _T_49) @[exu_div_ctl.scala 139:10] + node _T_51 = or(_T_40, _T_50) @[exu_div_ctl.scala 145:41] + node _T_52 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_53 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_54 = and(_T_52, _T_53) @[exu_div_ctl.scala 137:94] + node _T_55 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_57 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_58 = eq(_T_57, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_59 = and(_T_56, _T_58) @[exu_div_ctl.scala 138:94] + node _T_60 = and(_T_54, _T_59) @[exu_div_ctl.scala 139:10] + node _T_61 = or(_T_51, _T_60) @[exu_div_ctl.scala 145:73] + node _T_62 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_63 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_65 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_67 = and(_T_64, _T_66) @[exu_div_ctl.scala 138:94] + node _T_68 = and(_T_62, _T_67) @[exu_div_ctl.scala 139:10] + node _T_69 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 147:37] + node _T_70 = eq(_T_69, UInt<1>("h00")) @[exu_div_ctl.scala 147:32] + node _T_71 = and(_T_68, _T_70) @[exu_div_ctl.scala 147:30] + node _T_72 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_73 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_74 = eq(_T_73, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_75 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_76 = eq(_T_75, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_77 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_79 = and(_T_74, _T_76) @[exu_div_ctl.scala 138:94] + node _T_80 = and(_T_79, _T_78) @[exu_div_ctl.scala 138:94] + node _T_81 = and(_T_72, _T_80) @[exu_div_ctl.scala 139:10] + node _T_82 = or(_T_71, _T_81) @[exu_div_ctl.scala 147:41] + node _T_83 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_84 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_86 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_87 = eq(_T_86, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_88 = and(_T_85, _T_87) @[exu_div_ctl.scala 138:94] + node _T_89 = and(_T_83, _T_88) @[exu_div_ctl.scala 139:10] + node _T_90 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 147:110] + node _T_91 = eq(_T_90, UInt<1>("h00")) @[exu_div_ctl.scala 147:105] + node _T_92 = and(_T_89, _T_91) @[exu_div_ctl.scala 147:103] + node _T_93 = or(_T_82, _T_92) @[exu_div_ctl.scala 147:76] + node _T_94 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_95 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_96 = eq(_T_95, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_97 = and(_T_94, _T_96) @[exu_div_ctl.scala 137:94] + node _T_98 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_99 = eq(_T_98, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_100 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_101 = eq(_T_100, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_102 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_103 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_104 = and(_T_99, _T_101) @[exu_div_ctl.scala 138:94] + node _T_105 = and(_T_104, _T_102) @[exu_div_ctl.scala 138:94] + node _T_106 = and(_T_105, _T_103) @[exu_div_ctl.scala 138:94] + node _T_107 = and(_T_97, _T_106) @[exu_div_ctl.scala 139:10] + node _T_108 = or(_T_93, _T_107) @[exu_div_ctl.scala 147:114] + node _T_109 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_111 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_112 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_113 = and(_T_110, _T_111) @[exu_div_ctl.scala 137:94] + node _T_114 = and(_T_113, _T_112) @[exu_div_ctl.scala 137:94] + node _T_115 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_117 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_119 = and(_T_116, _T_118) @[exu_div_ctl.scala 138:94] + node _T_120 = and(_T_114, _T_119) @[exu_div_ctl.scala 139:10] + node _T_121 = or(_T_108, _T_120) @[exu_div_ctl.scala 148:43] + node _T_122 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_123 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_124 = and(_T_122, _T_123) @[exu_div_ctl.scala 137:94] + node _T_125 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_127 = and(_T_124, _T_126) @[exu_div_ctl.scala 139:10] + node _T_128 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 148:111] + node _T_129 = eq(_T_128, UInt<1>("h00")) @[exu_div_ctl.scala 148:106] + node _T_130 = and(_T_127, _T_129) @[exu_div_ctl.scala 148:104] + node _T_131 = or(_T_121, _T_130) @[exu_div_ctl.scala 148:78] + node _T_132 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_133 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_134 = and(_T_132, _T_133) @[exu_div_ctl.scala 137:94] + node _T_135 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_136 = eq(_T_135, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_137 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_138 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_139 = eq(_T_138, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_140 = and(_T_136, _T_137) @[exu_div_ctl.scala 138:94] + node _T_141 = and(_T_140, _T_139) @[exu_div_ctl.scala 138:94] + node _T_142 = and(_T_134, _T_141) @[exu_div_ctl.scala 139:10] + node _T_143 = or(_T_131, _T_142) @[exu_div_ctl.scala 148:116] + node _T_144 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_145 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_146 = and(_T_144, _T_145) @[exu_div_ctl.scala 137:94] + node _T_147 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_148 = eq(_T_147, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_149 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_151 = and(_T_148, _T_150) @[exu_div_ctl.scala 138:94] + node _T_152 = and(_T_146, _T_151) @[exu_div_ctl.scala 139:10] + node _T_153 = or(_T_143, _T_152) @[exu_div_ctl.scala 149:43] + node _T_154 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_155 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_156 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_157 = and(_T_154, _T_155) @[exu_div_ctl.scala 137:94] + node _T_158 = and(_T_157, _T_156) @[exu_div_ctl.scala 137:94] + node _T_159 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_160 = eq(_T_159, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_161 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_162 = and(_T_160, _T_161) @[exu_div_ctl.scala 138:94] + node _T_163 = and(_T_158, _T_162) @[exu_div_ctl.scala 139:10] + node _T_164 = or(_T_153, _T_163) @[exu_div_ctl.scala 149:77] + node _T_165 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_166 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_167 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_168 = and(_T_165, _T_166) @[exu_div_ctl.scala 137:94] + node _T_169 = and(_T_168, _T_167) @[exu_div_ctl.scala 137:94] + node _T_170 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_171 = eq(_T_170, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_172 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_173 = eq(_T_172, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_174 = and(_T_171, _T_173) @[exu_div_ctl.scala 138:94] + node _T_175 = and(_T_169, _T_174) @[exu_div_ctl.scala 139:10] + node _T_176 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_177 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_178 = eq(_T_177, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_179 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_180 = and(_T_176, _T_178) @[exu_div_ctl.scala 137:94] + node _T_181 = and(_T_180, _T_179) @[exu_div_ctl.scala 137:94] + node _T_182 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_183 = eq(_T_182, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_184 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_185 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_186 = and(_T_183, _T_184) @[exu_div_ctl.scala 138:94] + node _T_187 = and(_T_186, _T_185) @[exu_div_ctl.scala 138:94] + node _T_188 = and(_T_181, _T_187) @[exu_div_ctl.scala 139:10] + node _T_189 = or(_T_175, _T_188) @[exu_div_ctl.scala 151:44] + node _T_190 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_191 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_192 = eq(_T_191, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_193 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_194 = eq(_T_193, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_195 = and(_T_192, _T_194) @[exu_div_ctl.scala 138:94] + node _T_196 = and(_T_190, _T_195) @[exu_div_ctl.scala 139:10] + node _T_197 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 151:118] + node _T_198 = eq(_T_197, UInt<1>("h00")) @[exu_div_ctl.scala 151:113] + node _T_199 = and(_T_196, _T_198) @[exu_div_ctl.scala 151:111] + node _T_200 = or(_T_189, _T_199) @[exu_div_ctl.scala 151:84] + node _T_201 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_202 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_204 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_205 = eq(_T_204, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_206 = and(_T_203, _T_205) @[exu_div_ctl.scala 138:94] + node _T_207 = and(_T_201, _T_206) @[exu_div_ctl.scala 139:10] + node _T_208 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 152:39] + node _T_209 = eq(_T_208, UInt<1>("h00")) @[exu_div_ctl.scala 152:34] + node _T_210 = and(_T_207, _T_209) @[exu_div_ctl.scala 152:32] + node _T_211 = or(_T_200, _T_210) @[exu_div_ctl.scala 151:126] + node _T_212 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_213 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_215 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_216 = eq(_T_215, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_217 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_218 = eq(_T_217, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_219 = and(_T_214, _T_216) @[exu_div_ctl.scala 138:94] + node _T_220 = and(_T_219, _T_218) @[exu_div_ctl.scala 138:94] + node _T_221 = and(_T_212, _T_220) @[exu_div_ctl.scala 139:10] + node _T_222 = or(_T_211, _T_221) @[exu_div_ctl.scala 152:46] + node _T_223 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_224 = eq(_T_223, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_225 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_226 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:74] + node _T_227 = eq(_T_226, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_228 = and(_T_224, _T_225) @[exu_div_ctl.scala 137:94] + node _T_229 = and(_T_228, _T_227) @[exu_div_ctl.scala 137:94] + node _T_230 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_231 = eq(_T_230, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_232 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_234 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_235 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_236 = and(_T_231, _T_233) @[exu_div_ctl.scala 138:94] + node _T_237 = and(_T_236, _T_234) @[exu_div_ctl.scala 138:94] + node _T_238 = and(_T_237, _T_235) @[exu_div_ctl.scala 138:94] + node _T_239 = and(_T_229, _T_238) @[exu_div_ctl.scala 139:10] + node _T_240 = or(_T_222, _T_239) @[exu_div_ctl.scala 152:86] + node _T_241 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_242 = eq(_T_241, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_243 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_244 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_245 = and(_T_242, _T_243) @[exu_div_ctl.scala 137:94] + node _T_246 = and(_T_245, _T_244) @[exu_div_ctl.scala 137:94] + node _T_247 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_248 = eq(_T_247, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_249 = and(_T_246, _T_248) @[exu_div_ctl.scala 139:10] + node _T_250 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 153:42] + node _T_251 = eq(_T_250, UInt<1>("h00")) @[exu_div_ctl.scala 153:37] + node _T_252 = and(_T_249, _T_251) @[exu_div_ctl.scala 153:35] + node _T_253 = or(_T_240, _T_252) @[exu_div_ctl.scala 152:128] + node _T_254 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_255 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_256 = eq(_T_255, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_257 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_258 = eq(_T_257, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_259 = and(_T_256, _T_258) @[exu_div_ctl.scala 138:94] + node _T_260 = and(_T_254, _T_259) @[exu_div_ctl.scala 139:10] + node _T_261 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 153:81] + node _T_262 = eq(_T_261, UInt<1>("h00")) @[exu_div_ctl.scala 153:76] + node _T_263 = and(_T_260, _T_262) @[exu_div_ctl.scala 153:74] + node _T_264 = or(_T_253, _T_263) @[exu_div_ctl.scala 153:46] + node _T_265 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_266 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_267 = eq(_T_266, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_268 = and(_T_265, _T_267) @[exu_div_ctl.scala 137:94] + node _T_269 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_270 = eq(_T_269, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_271 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_272 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_273 = and(_T_270, _T_271) @[exu_div_ctl.scala 138:94] + node _T_274 = and(_T_273, _T_272) @[exu_div_ctl.scala 138:94] + node _T_275 = and(_T_268, _T_274) @[exu_div_ctl.scala 139:10] + node _T_276 = or(_T_264, _T_275) @[exu_div_ctl.scala 153:86] + node _T_277 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_278 = eq(_T_277, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_279 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_280 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_281 = and(_T_278, _T_279) @[exu_div_ctl.scala 137:94] + node _T_282 = and(_T_281, _T_280) @[exu_div_ctl.scala 137:94] + node _T_283 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_285 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_286 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_288 = and(_T_284, _T_285) @[exu_div_ctl.scala 138:94] + node _T_289 = and(_T_288, _T_287) @[exu_div_ctl.scala 138:94] + node _T_290 = and(_T_282, _T_289) @[exu_div_ctl.scala 139:10] + node _T_291 = or(_T_276, _T_290) @[exu_div_ctl.scala 153:128] + node _T_292 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_293 = eq(_T_292, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_294 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_295 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_296 = and(_T_293, _T_294) @[exu_div_ctl.scala 137:94] + node _T_297 = and(_T_296, _T_295) @[exu_div_ctl.scala 137:94] + node _T_298 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_299 = eq(_T_298, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_300 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_301 = eq(_T_300, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_302 = and(_T_299, _T_301) @[exu_div_ctl.scala 138:94] + node _T_303 = and(_T_297, _T_302) @[exu_div_ctl.scala 139:10] + node _T_304 = or(_T_291, _T_303) @[exu_div_ctl.scala 154:46] + node _T_305 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_306 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_307 = eq(_T_306, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_308 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:74] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_310 = and(_T_305, _T_307) @[exu_div_ctl.scala 137:94] + node _T_311 = and(_T_310, _T_309) @[exu_div_ctl.scala 137:94] + node _T_312 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_314 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_315 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_316 = and(_T_313, _T_314) @[exu_div_ctl.scala 138:94] + node _T_317 = and(_T_316, _T_315) @[exu_div_ctl.scala 138:94] + node _T_318 = and(_T_311, _T_317) @[exu_div_ctl.scala 139:10] + node _T_319 = or(_T_304, _T_318) @[exu_div_ctl.scala 154:86] + node _T_320 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_321 = eq(_T_320, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_322 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_323 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_324 = and(_T_321, _T_322) @[exu_div_ctl.scala 137:94] + node _T_325 = and(_T_324, _T_323) @[exu_div_ctl.scala 137:94] + node _T_326 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_328 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_330 = and(_T_327, _T_329) @[exu_div_ctl.scala 138:94] + node _T_331 = and(_T_325, _T_330) @[exu_div_ctl.scala 139:10] + node _T_332 = or(_T_319, _T_331) @[exu_div_ctl.scala 154:128] + node _T_333 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_334 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_335 = and(_T_333, _T_334) @[exu_div_ctl.scala 137:94] + node _T_336 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_337 = eq(_T_336, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_338 = and(_T_335, _T_337) @[exu_div_ctl.scala 139:10] + node _T_339 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 155:80] + node _T_340 = eq(_T_339, UInt<1>("h00")) @[exu_div_ctl.scala 155:75] + node _T_341 = and(_T_338, _T_340) @[exu_div_ctl.scala 155:73] + node _T_342 = or(_T_332, _T_341) @[exu_div_ctl.scala 155:46] + node _T_343 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:74] + node _T_344 = eq(_T_343, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_345 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_346 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_347 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_348 = and(_T_344, _T_345) @[exu_div_ctl.scala 137:94] + node _T_349 = and(_T_348, _T_346) @[exu_div_ctl.scala 137:94] + node _T_350 = and(_T_349, _T_347) @[exu_div_ctl.scala 137:94] + node _T_351 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_352 = eq(_T_351, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_353 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_354 = and(_T_352, _T_353) @[exu_div_ctl.scala 138:94] + node _T_355 = and(_T_350, _T_354) @[exu_div_ctl.scala 139:10] + node _T_356 = or(_T_342, _T_355) @[exu_div_ctl.scala 155:86] + node _T_357 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_358 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_359 = and(_T_357, _T_358) @[exu_div_ctl.scala 137:94] + node _T_360 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_361 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_363 = and(_T_360, _T_362) @[exu_div_ctl.scala 138:94] + node _T_364 = and(_T_359, _T_363) @[exu_div_ctl.scala 139:10] + node _T_365 = or(_T_356, _T_364) @[exu_div_ctl.scala 155:128] + node _T_366 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_367 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_368 = and(_T_366, _T_367) @[exu_div_ctl.scala 137:94] + node _T_369 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_370 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_371 = eq(_T_370, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_372 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_374 = and(_T_369, _T_371) @[exu_div_ctl.scala 138:94] + node _T_375 = and(_T_374, _T_373) @[exu_div_ctl.scala 138:94] + node _T_376 = and(_T_368, _T_375) @[exu_div_ctl.scala 139:10] + node _T_377 = or(_T_365, _T_376) @[exu_div_ctl.scala 156:46] + node _T_378 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_379 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_380 = and(_T_378, _T_379) @[exu_div_ctl.scala 137:94] + node _T_381 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_382 = eq(_T_381, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_383 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_385 = and(_T_382, _T_384) @[exu_div_ctl.scala 138:94] + node _T_386 = and(_T_380, _T_385) @[exu_div_ctl.scala 139:10] + node _T_387 = or(_T_377, _T_386) @[exu_div_ctl.scala 156:86] + node _T_388 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_389 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:74] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_391 = and(_T_388, _T_390) @[exu_div_ctl.scala 137:94] + node _T_392 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_393 = eq(_T_392, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_394 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:57] + node _T_395 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_396 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 138:57] + node _T_397 = and(_T_393, _T_394) @[exu_div_ctl.scala 138:94] + node _T_398 = and(_T_397, _T_395) @[exu_div_ctl.scala 138:94] + node _T_399 = and(_T_398, _T_396) @[exu_div_ctl.scala 138:94] + node _T_400 = and(_T_391, _T_399) @[exu_div_ctl.scala 139:10] + node _T_401 = or(_T_387, _T_400) @[exu_div_ctl.scala 156:128] + node _T_402 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_403 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_404 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_405 = and(_T_402, _T_403) @[exu_div_ctl.scala 137:94] + node _T_406 = and(_T_405, _T_404) @[exu_div_ctl.scala 137:94] + node _T_407 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_408 = and(_T_406, _T_407) @[exu_div_ctl.scala 139:10] + node _T_409 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 157:82] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[exu_div_ctl.scala 157:77] + node _T_411 = and(_T_408, _T_410) @[exu_div_ctl.scala 157:75] + node _T_412 = or(_T_401, _T_411) @[exu_div_ctl.scala 157:46] + node _T_413 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_414 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_415 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_416 = and(_T_413, _T_414) @[exu_div_ctl.scala 137:94] + node _T_417 = and(_T_416, _T_415) @[exu_div_ctl.scala 137:94] + node _T_418 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_419 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_421 = and(_T_418, _T_420) @[exu_div_ctl.scala 138:94] + node _T_422 = and(_T_417, _T_421) @[exu_div_ctl.scala 139:10] + node _T_423 = or(_T_412, _T_422) @[exu_div_ctl.scala 157:86] + node _T_424 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_425 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_426 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_427 = and(_T_424, _T_425) @[exu_div_ctl.scala 137:94] + node _T_428 = and(_T_427, _T_426) @[exu_div_ctl.scala 137:94] + node _T_429 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_430 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:74] + node _T_431 = eq(_T_430, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_432 = and(_T_429, _T_431) @[exu_div_ctl.scala 138:94] + node _T_433 = and(_T_428, _T_432) @[exu_div_ctl.scala 139:10] + node _T_434 = or(_T_423, _T_433) @[exu_div_ctl.scala 157:128] + node _T_435 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_436 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:74] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[exu_div_ctl.scala 137:69] + node _T_438 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_439 = and(_T_435, _T_437) @[exu_div_ctl.scala 137:94] + node _T_440 = and(_T_439, _T_438) @[exu_div_ctl.scala 137:94] + node _T_441 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:74] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_443 = bits(m_ff, 1, 1) @[exu_div_ctl.scala 138:57] + node _T_444 = and(_T_442, _T_443) @[exu_div_ctl.scala 138:94] + node _T_445 = and(_T_440, _T_444) @[exu_div_ctl.scala 139:10] + node _T_446 = or(_T_434, _T_445) @[exu_div_ctl.scala 158:46] + node _T_447 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_448 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_449 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_450 = and(_T_447, _T_448) @[exu_div_ctl.scala 137:94] + node _T_451 = and(_T_450, _T_449) @[exu_div_ctl.scala 137:94] + node _T_452 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_454 = and(_T_451, _T_453) @[exu_div_ctl.scala 139:10] + node _T_455 = or(_T_446, _T_454) @[exu_div_ctl.scala 158:86] + node _T_456 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_457 = bits(q_ff, 2, 2) @[exu_div_ctl.scala 137:57] + node _T_458 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_459 = bits(q_ff, 0, 0) @[exu_div_ctl.scala 137:57] + node _T_460 = and(_T_456, _T_457) @[exu_div_ctl.scala 137:94] + node _T_461 = and(_T_460, _T_458) @[exu_div_ctl.scala 137:94] + node _T_462 = and(_T_461, _T_459) @[exu_div_ctl.scala 137:94] + node _T_463 = bits(m_ff, 3, 3) @[exu_div_ctl.scala 138:57] + node _T_464 = and(_T_462, _T_463) @[exu_div_ctl.scala 139:10] + node _T_465 = or(_T_455, _T_464) @[exu_div_ctl.scala 158:128] + node _T_466 = bits(q_ff, 3, 3) @[exu_div_ctl.scala 137:57] + node _T_467 = bits(q_ff, 1, 1) @[exu_div_ctl.scala 137:57] + node _T_468 = and(_T_466, _T_467) @[exu_div_ctl.scala 137:94] + node _T_469 = bits(m_ff, 2, 2) @[exu_div_ctl.scala 138:74] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[exu_div_ctl.scala 138:69] + node _T_471 = and(_T_468, _T_470) @[exu_div_ctl.scala 139:10] + node _T_472 = bits(m_ff, 0, 0) @[exu_div_ctl.scala 159:79] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[exu_div_ctl.scala 159:74] + node _T_474 = and(_T_471, _T_473) @[exu_div_ctl.scala 159:72] + node _T_475 = or(_T_465, _T_474) @[exu_div_ctl.scala 159:46] + node _T_476 = cat(_T_164, _T_475) @[Cat.scala 29:58] + node _T_477 = cat(_T_30, _T_61) @[Cat.scala 29:58] + node smallnum = cat(_T_477, _T_476) @[Cat.scala 29:58] + wire shortq_enable_ff : UInt<1> + shortq_enable_ff <= UInt<1>("h00") + wire short_dividend : UInt<33> + short_dividend <= UInt<33>("h00") + wire shortq_shift_xx : UInt<4> + shortq_shift_xx <= UInt<4>("h00") + node _T_478 = bits(q_ff, 31, 31) @[exu_div_ctl.scala 168:40] + node _T_479 = and(sign_ff, _T_478) @[exu_div_ctl.scala 168:34] + node _T_480 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 168:49] + node _T_481 = cat(_T_479, _T_480) @[Cat.scala 29:58] + short_dividend <= _T_481 @[exu_div_ctl.scala 168:18] + node _T_482 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 173:22] + node _T_483 = bits(_T_482, 0, 0) @[exu_div_ctl.scala 173:27] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[exu_div_ctl.scala 173:7] + node _T_485 = bits(short_dividend, 31, 24) @[exu_div_ctl.scala 173:52] + node _T_486 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_487 = neq(_T_485, _T_486) @[exu_div_ctl.scala 173:60] + node _T_488 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 174:21] + node _T_489 = bits(_T_488, 0, 0) @[exu_div_ctl.scala 174:26] + node _T_490 = bits(short_dividend, 31, 23) @[exu_div_ctl.scala 174:51] + node _T_491 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_492 = neq(_T_490, _T_491) @[exu_div_ctl.scala 174:59] + node _T_493 = mux(_T_484, _T_487, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_494 = mux(_T_489, _T_492, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_495 = or(_T_493, _T_494) @[Mux.scala 27:72] + wire _T_496 : UInt<1> @[Mux.scala 27:72] + _T_496 <= _T_495 @[Mux.scala 27:72] + node _T_497 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 177:22] + node _T_498 = bits(_T_497, 0, 0) @[exu_div_ctl.scala 177:27] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[exu_div_ctl.scala 177:7] + node _T_500 = bits(short_dividend, 23, 16) @[exu_div_ctl.scala 177:52] + node _T_501 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_502 = neq(_T_500, _T_501) @[exu_div_ctl.scala 177:60] + node _T_503 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 178:21] + node _T_504 = bits(_T_503, 0, 0) @[exu_div_ctl.scala 178:26] + node _T_505 = bits(short_dividend, 22, 15) @[exu_div_ctl.scala 178:51] + node _T_506 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_507 = neq(_T_505, _T_506) @[exu_div_ctl.scala 178:59] + node _T_508 = mux(_T_499, _T_502, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_509 = mux(_T_504, _T_507, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_510 = or(_T_508, _T_509) @[Mux.scala 27:72] + wire _T_511 : UInt<1> @[Mux.scala 27:72] + _T_511 <= _T_510 @[Mux.scala 27:72] + node _T_512 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 181:22] + node _T_513 = bits(_T_512, 0, 0) @[exu_div_ctl.scala 181:27] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[exu_div_ctl.scala 181:7] + node _T_515 = bits(short_dividend, 15, 8) @[exu_div_ctl.scala 181:52] + node _T_516 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_517 = neq(_T_515, _T_516) @[exu_div_ctl.scala 181:59] + node _T_518 = bits(short_dividend, 32, 32) @[exu_div_ctl.scala 182:21] + node _T_519 = bits(_T_518, 0, 0) @[exu_div_ctl.scala 182:26] + node _T_520 = bits(short_dividend, 14, 7) @[exu_div_ctl.scala 182:51] + node _T_521 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_522 = neq(_T_520, _T_521) @[exu_div_ctl.scala 182:58] + node _T_523 = mux(_T_514, _T_517, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_524 = mux(_T_519, _T_522, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_525 = or(_T_523, _T_524) @[Mux.scala 27:72] + wire _T_526 : UInt<1> @[Mux.scala 27:72] + _T_526 <= _T_525 @[Mux.scala 27:72] + node _T_527 = cat(_T_511, _T_526) @[Cat.scala 29:58] + node _T_528 = cat(UInt<2>("h00"), _T_496) @[Cat.scala 29:58] + node a_cls = cat(_T_528, _T_527) @[Cat.scala 29:58] + node _T_529 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 187:12] + node _T_530 = bits(_T_529, 0, 0) @[exu_div_ctl.scala 187:17] + node _T_531 = eq(_T_530, UInt<1>("h00")) @[exu_div_ctl.scala 187:7] + node _T_532 = bits(m_ff, 31, 24) @[exu_div_ctl.scala 187:32] + node _T_533 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = neq(_T_532, _T_533) @[exu_div_ctl.scala 187:40] + node _T_535 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 188:11] + node _T_536 = bits(_T_535, 0, 0) @[exu_div_ctl.scala 188:16] + node _T_537 = bits(m_ff, 31, 24) @[exu_div_ctl.scala 188:31] + node _T_538 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_539 = neq(_T_537, _T_538) @[exu_div_ctl.scala 188:39] + node _T_540 = mux(_T_531, _T_534, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_541 = mux(_T_536, _T_539, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_542 = or(_T_540, _T_541) @[Mux.scala 27:72] + wire _T_543 : UInt<1> @[Mux.scala 27:72] + _T_543 <= _T_542 @[Mux.scala 27:72] + node _T_544 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 191:12] + node _T_545 = bits(_T_544, 0, 0) @[exu_div_ctl.scala 191:17] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[exu_div_ctl.scala 191:7] + node _T_547 = bits(m_ff, 23, 16) @[exu_div_ctl.scala 191:32] + node _T_548 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_549 = neq(_T_547, _T_548) @[exu_div_ctl.scala 191:40] + node _T_550 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 192:11] + node _T_551 = bits(_T_550, 0, 0) @[exu_div_ctl.scala 192:16] + node _T_552 = bits(m_ff, 23, 16) @[exu_div_ctl.scala 192:31] + node _T_553 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = neq(_T_552, _T_553) @[exu_div_ctl.scala 192:39] + node _T_555 = mux(_T_546, _T_549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_556 = mux(_T_551, _T_554, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_557 = or(_T_555, _T_556) @[Mux.scala 27:72] + wire _T_558 : UInt<1> @[Mux.scala 27:72] + _T_558 <= _T_557 @[Mux.scala 27:72] + node _T_559 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 195:12] + node _T_560 = bits(_T_559, 0, 0) @[exu_div_ctl.scala 195:17] + node _T_561 = eq(_T_560, UInt<1>("h00")) @[exu_div_ctl.scala 195:7] + node _T_562 = bits(m_ff, 15, 8) @[exu_div_ctl.scala 195:32] + node _T_563 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = neq(_T_562, _T_563) @[exu_div_ctl.scala 195:39] + node _T_565 = bits(m_ff, 32, 32) @[exu_div_ctl.scala 196:11] + node _T_566 = bits(_T_565, 0, 0) @[exu_div_ctl.scala 196:16] + node _T_567 = bits(m_ff, 15, 8) @[exu_div_ctl.scala 196:31] + node _T_568 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = neq(_T_567, _T_568) @[exu_div_ctl.scala 196:38] + node _T_570 = mux(_T_561, _T_564, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_571 = mux(_T_566, _T_569, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_572 = or(_T_570, _T_571) @[Mux.scala 27:72] + wire _T_573 : UInt<1> @[Mux.scala 27:72] + _T_573 <= _T_572 @[Mux.scala 27:72] + node _T_574 = cat(_T_558, _T_573) @[Cat.scala 29:58] + node _T_575 = cat(UInt<2>("h00"), _T_543) @[Cat.scala 29:58] + node b_cls = cat(_T_575, _T_574) @[Cat.scala 29:58] + node _T_576 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 200:13] + node _T_577 = eq(_T_576, UInt<1>("h01")) @[exu_div_ctl.scala 200:19] + node _T_578 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 200:42] + node _T_579 = eq(_T_578, UInt<1>("h01")) @[exu_div_ctl.scala 200:48] + node _T_580 = and(_T_577, _T_579) @[exu_div_ctl.scala 200:34] + node _T_581 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 201:15] + node _T_582 = eq(_T_581, UInt<1>("h01")) @[exu_div_ctl.scala 201:21] + node _T_583 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 201:44] + node _T_584 = eq(_T_583, UInt<1>("h01")) @[exu_div_ctl.scala 201:50] + node _T_585 = and(_T_582, _T_584) @[exu_div_ctl.scala 201:36] + node _T_586 = or(_T_580, _T_585) @[exu_div_ctl.scala 200:65] + node _T_587 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 202:15] + node _T_588 = eq(_T_587, UInt<1>("h00")) @[exu_div_ctl.scala 202:21] + node _T_589 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 202:44] + node _T_590 = eq(_T_589, UInt<1>("h01")) @[exu_div_ctl.scala 202:50] + node _T_591 = and(_T_588, _T_590) @[exu_div_ctl.scala 202:36] + node _T_592 = or(_T_586, _T_591) @[exu_div_ctl.scala 201:67] + node _T_593 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 203:15] + node _T_594 = eq(_T_593, UInt<1>("h01")) @[exu_div_ctl.scala 203:21] + node _T_595 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 203:44] + node _T_596 = eq(_T_595, UInt<1>("h01")) @[exu_div_ctl.scala 203:50] + node _T_597 = and(_T_594, _T_596) @[exu_div_ctl.scala 203:36] + node _T_598 = or(_T_592, _T_597) @[exu_div_ctl.scala 202:67] + node _T_599 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 204:15] + node _T_600 = eq(_T_599, UInt<1>("h00")) @[exu_div_ctl.scala 204:21] + node _T_601 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 204:44] + node _T_602 = eq(_T_601, UInt<1>("h01")) @[exu_div_ctl.scala 204:50] + node _T_603 = and(_T_600, _T_602) @[exu_div_ctl.scala 204:36] + node _T_604 = or(_T_598, _T_603) @[exu_div_ctl.scala 203:67] + node _T_605 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 205:15] + node _T_606 = eq(_T_605, UInt<1>("h00")) @[exu_div_ctl.scala 205:21] + node _T_607 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 205:44] + node _T_608 = eq(_T_607, UInt<1>("h01")) @[exu_div_ctl.scala 205:50] + node _T_609 = and(_T_606, _T_608) @[exu_div_ctl.scala 205:36] + node _T_610 = or(_T_604, _T_609) @[exu_div_ctl.scala 204:67] + node _T_611 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 207:13] + node _T_612 = eq(_T_611, UInt<1>("h01")) @[exu_div_ctl.scala 207:19] + node _T_613 = bits(b_cls, 2, 2) @[exu_div_ctl.scala 207:42] + node _T_614 = eq(_T_613, UInt<1>("h01")) @[exu_div_ctl.scala 207:48] + node _T_615 = and(_T_612, _T_614) @[exu_div_ctl.scala 207:34] + node _T_616 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 208:15] + node _T_617 = eq(_T_616, UInt<1>("h01")) @[exu_div_ctl.scala 208:21] + node _T_618 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 208:44] + node _T_619 = eq(_T_618, UInt<1>("h01")) @[exu_div_ctl.scala 208:50] + node _T_620 = and(_T_617, _T_619) @[exu_div_ctl.scala 208:36] + node _T_621 = or(_T_615, _T_620) @[exu_div_ctl.scala 207:65] + node _T_622 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 209:15] + node _T_623 = eq(_T_622, UInt<1>("h01")) @[exu_div_ctl.scala 209:21] + node _T_624 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 209:44] + node _T_625 = eq(_T_624, UInt<1>("h01")) @[exu_div_ctl.scala 209:50] + node _T_626 = and(_T_623, _T_625) @[exu_div_ctl.scala 209:36] + node _T_627 = or(_T_621, _T_626) @[exu_div_ctl.scala 208:67] + node _T_628 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 210:15] + node _T_629 = eq(_T_628, UInt<1>("h00")) @[exu_div_ctl.scala 210:21] + node _T_630 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 210:44] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[exu_div_ctl.scala 210:50] + node _T_632 = and(_T_629, _T_631) @[exu_div_ctl.scala 210:36] + node _T_633 = or(_T_627, _T_632) @[exu_div_ctl.scala 209:67] + node _T_634 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 212:13] + node _T_635 = eq(_T_634, UInt<1>("h01")) @[exu_div_ctl.scala 212:19] + node _T_636 = bits(b_cls, 2, 1) @[exu_div_ctl.scala 212:42] + node _T_637 = eq(_T_636, UInt<1>("h01")) @[exu_div_ctl.scala 212:48] + node _T_638 = and(_T_635, _T_637) @[exu_div_ctl.scala 212:34] + node _T_639 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 213:15] + node _T_640 = eq(_T_639, UInt<1>("h01")) @[exu_div_ctl.scala 213:21] + node _T_641 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 213:44] + node _T_642 = eq(_T_641, UInt<1>("h01")) @[exu_div_ctl.scala 213:50] + node _T_643 = and(_T_640, _T_642) @[exu_div_ctl.scala 213:36] + node _T_644 = or(_T_638, _T_643) @[exu_div_ctl.scala 212:65] + node _T_645 = bits(a_cls, 2, 0) @[exu_div_ctl.scala 214:15] + node _T_646 = eq(_T_645, UInt<1>("h01")) @[exu_div_ctl.scala 214:21] + node _T_647 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 214:44] + node _T_648 = eq(_T_647, UInt<1>("h00")) @[exu_div_ctl.scala 214:50] + node _T_649 = and(_T_646, _T_648) @[exu_div_ctl.scala 214:36] + node _T_650 = or(_T_644, _T_649) @[exu_div_ctl.scala 213:67] + node _T_651 = bits(a_cls, 2, 2) @[exu_div_ctl.scala 216:13] + node _T_652 = eq(_T_651, UInt<1>("h01")) @[exu_div_ctl.scala 216:19] + node _T_653 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 216:42] + node _T_654 = eq(_T_653, UInt<1>("h01")) @[exu_div_ctl.scala 216:48] + node _T_655 = and(_T_652, _T_654) @[exu_div_ctl.scala 216:34] + node _T_656 = bits(a_cls, 2, 1) @[exu_div_ctl.scala 217:15] + node _T_657 = eq(_T_656, UInt<1>("h01")) @[exu_div_ctl.scala 217:21] + node _T_658 = bits(b_cls, 2, 0) @[exu_div_ctl.scala 217:44] + node _T_659 = eq(_T_658, UInt<1>("h00")) @[exu_div_ctl.scala 217:50] + node _T_660 = and(_T_657, _T_659) @[exu_div_ctl.scala 217:36] + node _T_661 = or(_T_655, _T_660) @[exu_div_ctl.scala 216:65] + node _T_662 = cat(_T_650, _T_661) @[Cat.scala 29:58] + node _T_663 = cat(_T_610, _T_633) @[Cat.scala 29:58] + node shortq_raw = cat(_T_663, _T_662) @[Cat.scala 29:58] + node _T_664 = bits(m_ff, 31, 0) @[exu_div_ctl.scala 220:42] + node _T_665 = neq(_T_664, UInt<32>("h00")) @[exu_div_ctl.scala 220:49] + node _T_666 = and(valid_ff_x, _T_665) @[exu_div_ctl.scala 220:35] + node _T_667 = neq(shortq_raw, UInt<4>("h00")) @[exu_div_ctl.scala 220:78] + node shortq_enable = and(_T_666, _T_667) @[exu_div_ctl.scala 220:64] + node _T_668 = bits(shortq_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_669 = mux(_T_668, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_670 = and(_T_669, shortq_raw) @[exu_div_ctl.scala 221:57] + node shortq_shift = cat(UInt<2>("h00"), _T_670) @[Cat.scala 29:58] + node _T_671 = bits(shortq_shift_xx, 3, 3) @[exu_div_ctl.scala 223:20] + node _T_672 = bits(_T_671, 0, 0) @[exu_div_ctl.scala 223:24] + node _T_673 = bits(shortq_shift_xx, 2, 2) @[exu_div_ctl.scala 224:20] + node _T_674 = bits(_T_673, 0, 0) @[exu_div_ctl.scala 224:24] + node _T_675 = bits(shortq_shift_xx, 1, 1) @[exu_div_ctl.scala 225:20] + node _T_676 = bits(_T_675, 0, 0) @[exu_div_ctl.scala 225:24] + node _T_677 = bits(shortq_shift_xx, 0, 0) @[exu_div_ctl.scala 226:20] + node _T_678 = bits(_T_677, 0, 0) @[exu_div_ctl.scala 226:24] + node _T_679 = mux(_T_672, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_680 = mux(_T_674, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_681 = mux(_T_676, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_682 = mux(_T_678, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_683 = or(_T_679, _T_680) @[Mux.scala 27:72] + node _T_684 = or(_T_683, _T_681) @[Mux.scala 27:72] + node _T_685 = or(_T_684, _T_682) @[Mux.scala 27:72] + wire _T_686 : UInt<5> @[Mux.scala 27:72] + _T_686 <= _T_685 @[Mux.scala 27:72] + node shortq_shift_ff = cat(UInt<1>("h00"), _T_686) @[Cat.scala 29:58] + node _T_687 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 230:40] + node _T_688 = eq(count, UInt<6>("h020")) @[exu_div_ctl.scala 230:55] + node _T_689 = eq(count, UInt<6>("h021")) @[exu_div_ctl.scala 230:76] + node _T_690 = mux(_T_687, _T_688, _T_689) @[exu_div_ctl.scala 230:39] + node finish = or(smallnum_case, _T_690) @[exu_div_ctl.scala 230:34] + node _T_691 = or(io.valid_in, run_state) @[exu_div_ctl.scala 231:32] + node _T_692 = or(_T_691, finish) @[exu_div_ctl.scala 231:44] + node div_clken = or(_T_692, finish_ff) @[exu_div_ctl.scala 231:53] + node _T_693 = or(io.valid_in, run_state) @[exu_div_ctl.scala 232:33] + node _T_694 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 232:48] + node _T_695 = and(_T_693, _T_694) @[exu_div_ctl.scala 232:46] + node _T_696 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 232:58] + node run_in = and(_T_695, _T_696) @[exu_div_ctl.scala 232:56] + node _T_697 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 233:37] + node _T_698 = and(run_state, _T_697) @[exu_div_ctl.scala 233:35] + node _T_699 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 233:47] + node _T_700 = and(_T_698, _T_699) @[exu_div_ctl.scala 233:45] + node _T_701 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 233:60] + node _T_702 = and(_T_700, _T_701) @[exu_div_ctl.scala 233:58] + node _T_703 = bits(_T_702, 0, 0) @[Bitwise.scala 72:15] + node _T_704 = mux(_T_703, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_705 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 233:111] + node _T_706 = cat(UInt<1>("h00"), _T_705) @[Cat.scala 29:58] + node _T_707 = add(count, _T_706) @[exu_div_ctl.scala 233:86] + node _T_708 = tail(_T_707, 1) @[exu_div_ctl.scala 233:86] + node _T_709 = add(_T_708, UInt<6>("h01")) @[exu_div_ctl.scala 233:118] + node _T_710 = tail(_T_709, 1) @[exu_div_ctl.scala 233:118] + node _T_711 = and(_T_704, _T_710) @[exu_div_ctl.scala 233:77] + count_in <= _T_711 @[exu_div_ctl.scala 233:14] + node _T_712 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 234:33] + node _T_713 = and(finish_ff, _T_712) @[exu_div_ctl.scala 234:31] + io.valid_out <= _T_713 @[exu_div_ctl.scala 234:17] + node _T_714 = eq(io.signed_in, UInt<1>("h00")) @[exu_div_ctl.scala 235:20] + node _T_715 = neq(io.divisor_in, UInt<32>("h00")) @[exu_div_ctl.scala 235:51] + node sign_eff = and(_T_714, _T_715) @[exu_div_ctl.scala 235:34] + node _T_716 = eq(run_state, UInt<1>("h00")) @[exu_div_ctl.scala 238:6] + node _T_717 = bits(_T_716, 0, 0) @[exu_div_ctl.scala 238:18] + node _T_718 = cat(UInt<1>("h00"), io.dividend_in) @[Cat.scala 29:58] + node _T_719 = or(valid_ff_x, shortq_enable_ff) @[exu_div_ctl.scala 239:30] + node _T_720 = and(run_state, _T_719) @[exu_div_ctl.scala 239:16] + node _T_721 = bits(_T_720, 0, 0) @[exu_div_ctl.scala 239:51] + node _T_722 = bits(dividend_eff, 31, 0) @[exu_div_ctl.scala 239:78] + node _T_723 = bits(a_in, 32, 32) @[exu_div_ctl.scala 239:90] + node _T_724 = eq(_T_723, UInt<1>("h00")) @[exu_div_ctl.scala 239:85] + node _T_725 = cat(_T_722, _T_724) @[Cat.scala 29:58] + node _T_726 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 239:114] + node _T_727 = dshl(_T_725, _T_726) @[exu_div_ctl.scala 239:96] + node _T_728 = or(valid_ff_x, shortq_enable_ff) @[exu_div_ctl.scala 240:31] + node _T_729 = eq(_T_728, UInt<1>("h00")) @[exu_div_ctl.scala 240:18] + node _T_730 = and(run_state, _T_729) @[exu_div_ctl.scala 240:16] + node _T_731 = bits(_T_730, 0, 0) @[exu_div_ctl.scala 240:52] + node _T_732 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 240:70] + node _T_733 = bits(a_in, 32, 32) @[exu_div_ctl.scala 240:82] + node _T_734 = eq(_T_733, UInt<1>("h00")) @[exu_div_ctl.scala 240:77] + node _T_735 = cat(_T_732, _T_734) @[Cat.scala 29:58] + node _T_736 = mux(_T_717, _T_718, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_737 = mux(_T_721, _T_727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_738 = mux(_T_731, _T_735, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_739 = or(_T_736, _T_737) @[Mux.scala 27:72] + node _T_740 = or(_T_739, _T_738) @[Mux.scala 27:72] + wire _T_741 : UInt<64> @[Mux.scala 27:72] + _T_741 <= _T_740 @[Mux.scala 27:72] + q_in <= _T_741 @[exu_div_ctl.scala 237:8] + node _T_742 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 242:50] + node _T_743 = and(run_state, _T_742) @[exu_div_ctl.scala 242:48] + node qff_enable = or(io.valid_in, _T_743) @[exu_div_ctl.scala 242:35] + node _T_744 = and(sign_ff, dividend_neg_ff) @[exu_div_ctl.scala 243:32] + node _T_745 = bits(_T_744, 0, 0) @[exu_div_ctl.scala 243:51] + node _T_746 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 243:74] + wire _T_747 : UInt<1>[31] @[lib.scala 426:20] + node _T_748 = bits(_T_746, 0, 0) @[lib.scala 428:27] + node _T_749 = orr(_T_748) @[lib.scala 428:35] + node _T_750 = bits(_T_746, 1, 1) @[lib.scala 428:44] + node _T_751 = not(_T_750) @[lib.scala 428:40] + node _T_752 = bits(_T_746, 1, 1) @[lib.scala 428:51] + node _T_753 = mux(_T_749, _T_751, _T_752) @[lib.scala 428:23] + _T_747[0] <= _T_753 @[lib.scala 428:17] + node _T_754 = bits(_T_746, 1, 0) @[lib.scala 428:27] + node _T_755 = orr(_T_754) @[lib.scala 428:35] + node _T_756 = bits(_T_746, 2, 2) @[lib.scala 428:44] + node _T_757 = not(_T_756) @[lib.scala 428:40] + node _T_758 = bits(_T_746, 2, 2) @[lib.scala 428:51] + node _T_759 = mux(_T_755, _T_757, _T_758) @[lib.scala 428:23] + _T_747[1] <= _T_759 @[lib.scala 428:17] + node _T_760 = bits(_T_746, 2, 0) @[lib.scala 428:27] + node _T_761 = orr(_T_760) @[lib.scala 428:35] + node _T_762 = bits(_T_746, 3, 3) @[lib.scala 428:44] + node _T_763 = not(_T_762) @[lib.scala 428:40] + node _T_764 = bits(_T_746, 3, 3) @[lib.scala 428:51] + node _T_765 = mux(_T_761, _T_763, _T_764) @[lib.scala 428:23] + _T_747[2] <= _T_765 @[lib.scala 428:17] + node _T_766 = bits(_T_746, 3, 0) @[lib.scala 428:27] + node _T_767 = orr(_T_766) @[lib.scala 428:35] + node _T_768 = bits(_T_746, 4, 4) @[lib.scala 428:44] + node _T_769 = not(_T_768) @[lib.scala 428:40] + node _T_770 = bits(_T_746, 4, 4) @[lib.scala 428:51] + node _T_771 = mux(_T_767, _T_769, _T_770) @[lib.scala 428:23] + _T_747[3] <= _T_771 @[lib.scala 428:17] + node _T_772 = bits(_T_746, 4, 0) @[lib.scala 428:27] + node _T_773 = orr(_T_772) @[lib.scala 428:35] + node _T_774 = bits(_T_746, 5, 5) @[lib.scala 428:44] + node _T_775 = not(_T_774) @[lib.scala 428:40] + node _T_776 = bits(_T_746, 5, 5) @[lib.scala 428:51] + node _T_777 = mux(_T_773, _T_775, _T_776) @[lib.scala 428:23] + _T_747[4] <= _T_777 @[lib.scala 428:17] + node _T_778 = bits(_T_746, 5, 0) @[lib.scala 428:27] + node _T_779 = orr(_T_778) @[lib.scala 428:35] + node _T_780 = bits(_T_746, 6, 6) @[lib.scala 428:44] + node _T_781 = not(_T_780) @[lib.scala 428:40] + node _T_782 = bits(_T_746, 6, 6) @[lib.scala 428:51] + node _T_783 = mux(_T_779, _T_781, _T_782) @[lib.scala 428:23] + _T_747[5] <= _T_783 @[lib.scala 428:17] + node _T_784 = bits(_T_746, 6, 0) @[lib.scala 428:27] + node _T_785 = orr(_T_784) @[lib.scala 428:35] + node _T_786 = bits(_T_746, 7, 7) @[lib.scala 428:44] + node _T_787 = not(_T_786) @[lib.scala 428:40] + node _T_788 = bits(_T_746, 7, 7) @[lib.scala 428:51] + node _T_789 = mux(_T_785, _T_787, _T_788) @[lib.scala 428:23] + _T_747[6] <= _T_789 @[lib.scala 428:17] + node _T_790 = bits(_T_746, 7, 0) @[lib.scala 428:27] + node _T_791 = orr(_T_790) @[lib.scala 428:35] + node _T_792 = bits(_T_746, 8, 8) @[lib.scala 428:44] + node _T_793 = not(_T_792) @[lib.scala 428:40] + node _T_794 = bits(_T_746, 8, 8) @[lib.scala 428:51] + node _T_795 = mux(_T_791, _T_793, _T_794) @[lib.scala 428:23] + _T_747[7] <= _T_795 @[lib.scala 428:17] + node _T_796 = bits(_T_746, 8, 0) @[lib.scala 428:27] + node _T_797 = orr(_T_796) @[lib.scala 428:35] + node _T_798 = bits(_T_746, 9, 9) @[lib.scala 428:44] + node _T_799 = not(_T_798) @[lib.scala 428:40] + node _T_800 = bits(_T_746, 9, 9) @[lib.scala 428:51] + node _T_801 = mux(_T_797, _T_799, _T_800) @[lib.scala 428:23] + _T_747[8] <= _T_801 @[lib.scala 428:17] + node _T_802 = bits(_T_746, 9, 0) @[lib.scala 428:27] + node _T_803 = orr(_T_802) @[lib.scala 428:35] + node _T_804 = bits(_T_746, 10, 10) @[lib.scala 428:44] + node _T_805 = not(_T_804) @[lib.scala 428:40] + node _T_806 = bits(_T_746, 10, 10) @[lib.scala 428:51] + node _T_807 = mux(_T_803, _T_805, _T_806) @[lib.scala 428:23] + _T_747[9] <= _T_807 @[lib.scala 428:17] + node _T_808 = bits(_T_746, 10, 0) @[lib.scala 428:27] + node _T_809 = orr(_T_808) @[lib.scala 428:35] + node _T_810 = bits(_T_746, 11, 11) @[lib.scala 428:44] + node _T_811 = not(_T_810) @[lib.scala 428:40] + node _T_812 = bits(_T_746, 11, 11) @[lib.scala 428:51] + node _T_813 = mux(_T_809, _T_811, _T_812) @[lib.scala 428:23] + _T_747[10] <= _T_813 @[lib.scala 428:17] + node _T_814 = bits(_T_746, 11, 0) @[lib.scala 428:27] + node _T_815 = orr(_T_814) @[lib.scala 428:35] + node _T_816 = bits(_T_746, 12, 12) @[lib.scala 428:44] + node _T_817 = not(_T_816) @[lib.scala 428:40] + node _T_818 = bits(_T_746, 12, 12) @[lib.scala 428:51] + node _T_819 = mux(_T_815, _T_817, _T_818) @[lib.scala 428:23] + _T_747[11] <= _T_819 @[lib.scala 428:17] + node _T_820 = bits(_T_746, 12, 0) @[lib.scala 428:27] + node _T_821 = orr(_T_820) @[lib.scala 428:35] + node _T_822 = bits(_T_746, 13, 13) @[lib.scala 428:44] + node _T_823 = not(_T_822) @[lib.scala 428:40] + node _T_824 = bits(_T_746, 13, 13) @[lib.scala 428:51] + node _T_825 = mux(_T_821, _T_823, _T_824) @[lib.scala 428:23] + _T_747[12] <= _T_825 @[lib.scala 428:17] + node _T_826 = bits(_T_746, 13, 0) @[lib.scala 428:27] + node _T_827 = orr(_T_826) @[lib.scala 428:35] + node _T_828 = bits(_T_746, 14, 14) @[lib.scala 428:44] + node _T_829 = not(_T_828) @[lib.scala 428:40] + node _T_830 = bits(_T_746, 14, 14) @[lib.scala 428:51] + node _T_831 = mux(_T_827, _T_829, _T_830) @[lib.scala 428:23] + _T_747[13] <= _T_831 @[lib.scala 428:17] + node _T_832 = bits(_T_746, 14, 0) @[lib.scala 428:27] + node _T_833 = orr(_T_832) @[lib.scala 428:35] + node _T_834 = bits(_T_746, 15, 15) @[lib.scala 428:44] + node _T_835 = not(_T_834) @[lib.scala 428:40] + node _T_836 = bits(_T_746, 15, 15) @[lib.scala 428:51] + node _T_837 = mux(_T_833, _T_835, _T_836) @[lib.scala 428:23] + _T_747[14] <= _T_837 @[lib.scala 428:17] + node _T_838 = bits(_T_746, 15, 0) @[lib.scala 428:27] + node _T_839 = orr(_T_838) @[lib.scala 428:35] + node _T_840 = bits(_T_746, 16, 16) @[lib.scala 428:44] + node _T_841 = not(_T_840) @[lib.scala 428:40] + node _T_842 = bits(_T_746, 16, 16) @[lib.scala 428:51] + node _T_843 = mux(_T_839, _T_841, _T_842) @[lib.scala 428:23] + _T_747[15] <= _T_843 @[lib.scala 428:17] + node _T_844 = bits(_T_746, 16, 0) @[lib.scala 428:27] + node _T_845 = orr(_T_844) @[lib.scala 428:35] + node _T_846 = bits(_T_746, 17, 17) @[lib.scala 428:44] + node _T_847 = not(_T_846) @[lib.scala 428:40] + node _T_848 = bits(_T_746, 17, 17) @[lib.scala 428:51] + node _T_849 = mux(_T_845, _T_847, _T_848) @[lib.scala 428:23] + _T_747[16] <= _T_849 @[lib.scala 428:17] + node _T_850 = bits(_T_746, 17, 0) @[lib.scala 428:27] + node _T_851 = orr(_T_850) @[lib.scala 428:35] + node _T_852 = bits(_T_746, 18, 18) @[lib.scala 428:44] + node _T_853 = not(_T_852) @[lib.scala 428:40] + node _T_854 = bits(_T_746, 18, 18) @[lib.scala 428:51] + node _T_855 = mux(_T_851, _T_853, _T_854) @[lib.scala 428:23] + _T_747[17] <= _T_855 @[lib.scala 428:17] + node _T_856 = bits(_T_746, 18, 0) @[lib.scala 428:27] + node _T_857 = orr(_T_856) @[lib.scala 428:35] + node _T_858 = bits(_T_746, 19, 19) @[lib.scala 428:44] + node _T_859 = not(_T_858) @[lib.scala 428:40] + node _T_860 = bits(_T_746, 19, 19) @[lib.scala 428:51] + node _T_861 = mux(_T_857, _T_859, _T_860) @[lib.scala 428:23] + _T_747[18] <= _T_861 @[lib.scala 428:17] + node _T_862 = bits(_T_746, 19, 0) @[lib.scala 428:27] + node _T_863 = orr(_T_862) @[lib.scala 428:35] + node _T_864 = bits(_T_746, 20, 20) @[lib.scala 428:44] + node _T_865 = not(_T_864) @[lib.scala 428:40] + node _T_866 = bits(_T_746, 20, 20) @[lib.scala 428:51] + node _T_867 = mux(_T_863, _T_865, _T_866) @[lib.scala 428:23] + _T_747[19] <= _T_867 @[lib.scala 428:17] + node _T_868 = bits(_T_746, 20, 0) @[lib.scala 428:27] + node _T_869 = orr(_T_868) @[lib.scala 428:35] + node _T_870 = bits(_T_746, 21, 21) @[lib.scala 428:44] + node _T_871 = not(_T_870) @[lib.scala 428:40] + node _T_872 = bits(_T_746, 21, 21) @[lib.scala 428:51] + node _T_873 = mux(_T_869, _T_871, _T_872) @[lib.scala 428:23] + _T_747[20] <= _T_873 @[lib.scala 428:17] + node _T_874 = bits(_T_746, 21, 0) @[lib.scala 428:27] + node _T_875 = orr(_T_874) @[lib.scala 428:35] + node _T_876 = bits(_T_746, 22, 22) @[lib.scala 428:44] + node _T_877 = not(_T_876) @[lib.scala 428:40] + node _T_878 = bits(_T_746, 22, 22) @[lib.scala 428:51] + node _T_879 = mux(_T_875, _T_877, _T_878) @[lib.scala 428:23] + _T_747[21] <= _T_879 @[lib.scala 428:17] + node _T_880 = bits(_T_746, 22, 0) @[lib.scala 428:27] + node _T_881 = orr(_T_880) @[lib.scala 428:35] + node _T_882 = bits(_T_746, 23, 23) @[lib.scala 428:44] + node _T_883 = not(_T_882) @[lib.scala 428:40] + node _T_884 = bits(_T_746, 23, 23) @[lib.scala 428:51] + node _T_885 = mux(_T_881, _T_883, _T_884) @[lib.scala 428:23] + _T_747[22] <= _T_885 @[lib.scala 428:17] + node _T_886 = bits(_T_746, 23, 0) @[lib.scala 428:27] + node _T_887 = orr(_T_886) @[lib.scala 428:35] + node _T_888 = bits(_T_746, 24, 24) @[lib.scala 428:44] + node _T_889 = not(_T_888) @[lib.scala 428:40] + node _T_890 = bits(_T_746, 24, 24) @[lib.scala 428:51] + node _T_891 = mux(_T_887, _T_889, _T_890) @[lib.scala 428:23] + _T_747[23] <= _T_891 @[lib.scala 428:17] + node _T_892 = bits(_T_746, 24, 0) @[lib.scala 428:27] + node _T_893 = orr(_T_892) @[lib.scala 428:35] + node _T_894 = bits(_T_746, 25, 25) @[lib.scala 428:44] + node _T_895 = not(_T_894) @[lib.scala 428:40] + node _T_896 = bits(_T_746, 25, 25) @[lib.scala 428:51] + node _T_897 = mux(_T_893, _T_895, _T_896) @[lib.scala 428:23] + _T_747[24] <= _T_897 @[lib.scala 428:17] + node _T_898 = bits(_T_746, 25, 0) @[lib.scala 428:27] + node _T_899 = orr(_T_898) @[lib.scala 428:35] + node _T_900 = bits(_T_746, 26, 26) @[lib.scala 428:44] + node _T_901 = not(_T_900) @[lib.scala 428:40] + node _T_902 = bits(_T_746, 26, 26) @[lib.scala 428:51] + node _T_903 = mux(_T_899, _T_901, _T_902) @[lib.scala 428:23] + _T_747[25] <= _T_903 @[lib.scala 428:17] + node _T_904 = bits(_T_746, 26, 0) @[lib.scala 428:27] + node _T_905 = orr(_T_904) @[lib.scala 428:35] + node _T_906 = bits(_T_746, 27, 27) @[lib.scala 428:44] + node _T_907 = not(_T_906) @[lib.scala 428:40] + node _T_908 = bits(_T_746, 27, 27) @[lib.scala 428:51] + node _T_909 = mux(_T_905, _T_907, _T_908) @[lib.scala 428:23] + _T_747[26] <= _T_909 @[lib.scala 428:17] + node _T_910 = bits(_T_746, 27, 0) @[lib.scala 428:27] + node _T_911 = orr(_T_910) @[lib.scala 428:35] + node _T_912 = bits(_T_746, 28, 28) @[lib.scala 428:44] + node _T_913 = not(_T_912) @[lib.scala 428:40] + node _T_914 = bits(_T_746, 28, 28) @[lib.scala 428:51] + node _T_915 = mux(_T_911, _T_913, _T_914) @[lib.scala 428:23] + _T_747[27] <= _T_915 @[lib.scala 428:17] + node _T_916 = bits(_T_746, 28, 0) @[lib.scala 428:27] + node _T_917 = orr(_T_916) @[lib.scala 428:35] + node _T_918 = bits(_T_746, 29, 29) @[lib.scala 428:44] + node _T_919 = not(_T_918) @[lib.scala 428:40] + node _T_920 = bits(_T_746, 29, 29) @[lib.scala 428:51] + node _T_921 = mux(_T_917, _T_919, _T_920) @[lib.scala 428:23] + _T_747[28] <= _T_921 @[lib.scala 428:17] + node _T_922 = bits(_T_746, 29, 0) @[lib.scala 428:27] + node _T_923 = orr(_T_922) @[lib.scala 428:35] + node _T_924 = bits(_T_746, 30, 30) @[lib.scala 428:44] + node _T_925 = not(_T_924) @[lib.scala 428:40] + node _T_926 = bits(_T_746, 30, 30) @[lib.scala 428:51] + node _T_927 = mux(_T_923, _T_925, _T_926) @[lib.scala 428:23] + _T_747[29] <= _T_927 @[lib.scala 428:17] + node _T_928 = bits(_T_746, 30, 0) @[lib.scala 428:27] + node _T_929 = orr(_T_928) @[lib.scala 428:35] + node _T_930 = bits(_T_746, 31, 31) @[lib.scala 428:44] + node _T_931 = not(_T_930) @[lib.scala 428:40] + node _T_932 = bits(_T_746, 31, 31) @[lib.scala 428:51] + node _T_933 = mux(_T_929, _T_931, _T_932) @[lib.scala 428:23] + _T_747[30] <= _T_933 @[lib.scala 428:17] + node _T_934 = cat(_T_747[2], _T_747[1]) @[lib.scala 430:14] + node _T_935 = cat(_T_934, _T_747[0]) @[lib.scala 430:14] + node _T_936 = cat(_T_747[4], _T_747[3]) @[lib.scala 430:14] + node _T_937 = cat(_T_747[6], _T_747[5]) @[lib.scala 430:14] + node _T_938 = cat(_T_937, _T_936) @[lib.scala 430:14] + node _T_939 = cat(_T_938, _T_935) @[lib.scala 430:14] + node _T_940 = cat(_T_747[8], _T_747[7]) @[lib.scala 430:14] + node _T_941 = cat(_T_747[10], _T_747[9]) @[lib.scala 430:14] + node _T_942 = cat(_T_941, _T_940) @[lib.scala 430:14] + node _T_943 = cat(_T_747[12], _T_747[11]) @[lib.scala 430:14] + node _T_944 = cat(_T_747[14], _T_747[13]) @[lib.scala 430:14] + node _T_945 = cat(_T_944, _T_943) @[lib.scala 430:14] + node _T_946 = cat(_T_945, _T_942) @[lib.scala 430:14] + node _T_947 = cat(_T_946, _T_939) @[lib.scala 430:14] + node _T_948 = cat(_T_747[16], _T_747[15]) @[lib.scala 430:14] + node _T_949 = cat(_T_747[18], _T_747[17]) @[lib.scala 430:14] + node _T_950 = cat(_T_949, _T_948) @[lib.scala 430:14] + node _T_951 = cat(_T_747[20], _T_747[19]) @[lib.scala 430:14] + node _T_952 = cat(_T_747[22], _T_747[21]) @[lib.scala 430:14] + node _T_953 = cat(_T_952, _T_951) @[lib.scala 430:14] + node _T_954 = cat(_T_953, _T_950) @[lib.scala 430:14] + node _T_955 = cat(_T_747[24], _T_747[23]) @[lib.scala 430:14] + node _T_956 = cat(_T_747[26], _T_747[25]) @[lib.scala 430:14] + node _T_957 = cat(_T_956, _T_955) @[lib.scala 430:14] + node _T_958 = cat(_T_747[28], _T_747[27]) @[lib.scala 430:14] + node _T_959 = cat(_T_747[30], _T_747[29]) @[lib.scala 430:14] + node _T_960 = cat(_T_959, _T_958) @[lib.scala 430:14] + node _T_961 = cat(_T_960, _T_957) @[lib.scala 430:14] + node _T_962 = cat(_T_961, _T_954) @[lib.scala 430:14] + node _T_963 = cat(_T_962, _T_947) @[lib.scala 430:14] + node _T_964 = bits(_T_746, 0, 0) @[lib.scala 430:24] + node _T_965 = cat(_T_963, _T_964) @[Cat.scala 29:58] + node _T_966 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 243:86] + node _T_967 = mux(_T_745, _T_965, _T_966) @[exu_div_ctl.scala 243:22] + dividend_eff <= _T_967 @[exu_div_ctl.scala 243:16] + node _T_968 = bits(add, 0, 0) @[exu_div_ctl.scala 244:20] + node _T_969 = not(m_ff) @[exu_div_ctl.scala 244:35] + node _T_970 = mux(_T_968, m_ff, _T_969) @[exu_div_ctl.scala 244:15] + m_eff <= _T_970 @[exu_div_ctl.scala 244:9] + node _T_971 = cat(UInt<33>("h00"), dividend_eff) @[Cat.scala 29:58] + node _T_972 = bits(shortq_shift_ff, 4, 0) @[exu_div_ctl.scala 245:65] + node _T_973 = dshl(_T_971, _T_972) @[exu_div_ctl.scala 245:47] + a_eff_shift <= _T_973 @[exu_div_ctl.scala 245:15] + node _T_974 = bits(rem_correct, 0, 0) @[exu_div_ctl.scala 247:17] + node _T_975 = eq(rem_correct, UInt<1>("h00")) @[exu_div_ctl.scala 248:6] + node _T_976 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 248:21] + node _T_977 = and(_T_975, _T_976) @[exu_div_ctl.scala 248:19] + node _T_978 = bits(_T_977, 0, 0) @[exu_div_ctl.scala 248:40] + node _T_979 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 248:58] + node _T_980 = bits(q_ff, 32, 32) @[exu_div_ctl.scala 248:70] + node _T_981 = cat(_T_979, _T_980) @[Cat.scala 29:58] + node _T_982 = eq(rem_correct, UInt<1>("h00")) @[exu_div_ctl.scala 249:6] + node _T_983 = and(_T_982, shortq_enable_ff) @[exu_div_ctl.scala 249:19] + node _T_984 = bits(_T_983, 0, 0) @[exu_div_ctl.scala 249:40] + node _T_985 = bits(a_eff_shift, 64, 32) @[exu_div_ctl.scala 249:61] + node _T_986 = mux(_T_974, a_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_987 = mux(_T_978, _T_981, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_988 = mux(_T_984, _T_985, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_989 = or(_T_986, _T_987) @[Mux.scala 27:72] + node _T_990 = or(_T_989, _T_988) @[Mux.scala 27:72] + wire _T_991 : UInt<33> @[Mux.scala 27:72] + _T_991 <= _T_990 @[Mux.scala 27:72] + a_eff <= _T_991 @[exu_div_ctl.scala 246:9] + node _T_992 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 251:49] + node _T_993 = and(run_state, _T_992) @[exu_div_ctl.scala 251:47] + node _T_994 = neq(count, UInt<6>("h021")) @[exu_div_ctl.scala 251:73] + node _T_995 = and(_T_993, _T_994) @[exu_div_ctl.scala 251:64] + node _T_996 = or(io.valid_in, _T_995) @[exu_div_ctl.scala 251:34] + node aff_enable = or(_T_996, rem_correct) @[exu_div_ctl.scala 251:89] + node _T_997 = bits(run_state, 0, 0) @[Bitwise.scala 72:15] + node _T_998 = mux(_T_997, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] + node _T_999 = and(_T_998, a_eff) @[exu_div_ctl.scala 252:33] + a_shift <= _T_999 @[exu_div_ctl.scala 252:11] + node _T_1000 = bits(run_state, 0, 0) @[Bitwise.scala 72:15] + node _T_1001 = mux(_T_1000, UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] + node _T_1002 = add(a_shift, m_eff) @[exu_div_ctl.scala 253:41] + node _T_1003 = tail(_T_1002, 1) @[exu_div_ctl.scala 253:41] + node _T_1004 = eq(add, UInt<1>("h00")) @[exu_div_ctl.scala 253:65] + node _T_1005 = cat(UInt<32>("h00"), _T_1004) @[Cat.scala 29:58] + node _T_1006 = add(_T_1003, _T_1005) @[exu_div_ctl.scala 253:49] + node _T_1007 = tail(_T_1006, 1) @[exu_div_ctl.scala 253:49] + node _T_1008 = and(_T_1001, _T_1007) @[exu_div_ctl.scala 253:30] + a_in <= _T_1008 @[exu_div_ctl.scala 253:8] + node m_already_comp = and(divisor_neg_ff, sign_ff) @[exu_div_ctl.scala 254:48] + node _T_1009 = bits(a_ff, 32, 32) @[exu_div_ctl.scala 256:16] + node _T_1010 = or(_T_1009, rem_correct) @[exu_div_ctl.scala 256:21] + node _T_1011 = xor(_T_1010, m_already_comp) @[exu_div_ctl.scala 256:36] + add <= _T_1011 @[exu_div_ctl.scala 256:8] + node _T_1012 = eq(count, UInt<6>("h021")) @[exu_div_ctl.scala 257:26] + node _T_1013 = and(_T_1012, rem_ff) @[exu_div_ctl.scala 257:41] + node _T_1014 = bits(a_ff, 32, 32) @[exu_div_ctl.scala 257:56] + node _T_1015 = and(_T_1013, _T_1014) @[exu_div_ctl.scala 257:50] + rem_correct <= _T_1015 @[exu_div_ctl.scala 257:16] + node _T_1016 = xor(dividend_neg_ff, divisor_neg_ff) @[exu_div_ctl.scala 258:50] + node _T_1017 = and(sign_ff, _T_1016) @[exu_div_ctl.scala 258:31] + node _T_1018 = bits(_T_1017, 0, 0) @[exu_div_ctl.scala 258:69] + node _T_1019 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 258:91] + wire _T_1020 : UInt<1>[31] @[lib.scala 426:20] + node _T_1021 = bits(_T_1019, 0, 0) @[lib.scala 428:27] + node _T_1022 = orr(_T_1021) @[lib.scala 428:35] + node _T_1023 = bits(_T_1019, 1, 1) @[lib.scala 428:44] + node _T_1024 = not(_T_1023) @[lib.scala 428:40] + node _T_1025 = bits(_T_1019, 1, 1) @[lib.scala 428:51] + node _T_1026 = mux(_T_1022, _T_1024, _T_1025) @[lib.scala 428:23] + _T_1020[0] <= _T_1026 @[lib.scala 428:17] + node _T_1027 = bits(_T_1019, 1, 0) @[lib.scala 428:27] + node _T_1028 = orr(_T_1027) @[lib.scala 428:35] + node _T_1029 = bits(_T_1019, 2, 2) @[lib.scala 428:44] + node _T_1030 = not(_T_1029) @[lib.scala 428:40] + node _T_1031 = bits(_T_1019, 2, 2) @[lib.scala 428:51] + node _T_1032 = mux(_T_1028, _T_1030, _T_1031) @[lib.scala 428:23] + _T_1020[1] <= _T_1032 @[lib.scala 428:17] + node _T_1033 = bits(_T_1019, 2, 0) @[lib.scala 428:27] + node _T_1034 = orr(_T_1033) @[lib.scala 428:35] + node _T_1035 = bits(_T_1019, 3, 3) @[lib.scala 428:44] + node _T_1036 = not(_T_1035) @[lib.scala 428:40] + node _T_1037 = bits(_T_1019, 3, 3) @[lib.scala 428:51] + node _T_1038 = mux(_T_1034, _T_1036, _T_1037) @[lib.scala 428:23] + _T_1020[2] <= _T_1038 @[lib.scala 428:17] + node _T_1039 = bits(_T_1019, 3, 0) @[lib.scala 428:27] + node _T_1040 = orr(_T_1039) @[lib.scala 428:35] + node _T_1041 = bits(_T_1019, 4, 4) @[lib.scala 428:44] + node _T_1042 = not(_T_1041) @[lib.scala 428:40] + node _T_1043 = bits(_T_1019, 4, 4) @[lib.scala 428:51] + node _T_1044 = mux(_T_1040, _T_1042, _T_1043) @[lib.scala 428:23] + _T_1020[3] <= _T_1044 @[lib.scala 428:17] + node _T_1045 = bits(_T_1019, 4, 0) @[lib.scala 428:27] + node _T_1046 = orr(_T_1045) @[lib.scala 428:35] + node _T_1047 = bits(_T_1019, 5, 5) @[lib.scala 428:44] + node _T_1048 = not(_T_1047) @[lib.scala 428:40] + node _T_1049 = bits(_T_1019, 5, 5) @[lib.scala 428:51] + node _T_1050 = mux(_T_1046, _T_1048, _T_1049) @[lib.scala 428:23] + _T_1020[4] <= _T_1050 @[lib.scala 428:17] + node _T_1051 = bits(_T_1019, 5, 0) @[lib.scala 428:27] + node _T_1052 = orr(_T_1051) @[lib.scala 428:35] + node _T_1053 = bits(_T_1019, 6, 6) @[lib.scala 428:44] + node _T_1054 = not(_T_1053) @[lib.scala 428:40] + node _T_1055 = bits(_T_1019, 6, 6) @[lib.scala 428:51] + node _T_1056 = mux(_T_1052, _T_1054, _T_1055) @[lib.scala 428:23] + _T_1020[5] <= _T_1056 @[lib.scala 428:17] + node _T_1057 = bits(_T_1019, 6, 0) @[lib.scala 428:27] + node _T_1058 = orr(_T_1057) @[lib.scala 428:35] + node _T_1059 = bits(_T_1019, 7, 7) @[lib.scala 428:44] + node _T_1060 = not(_T_1059) @[lib.scala 428:40] + node _T_1061 = bits(_T_1019, 7, 7) @[lib.scala 428:51] + node _T_1062 = mux(_T_1058, _T_1060, _T_1061) @[lib.scala 428:23] + _T_1020[6] <= _T_1062 @[lib.scala 428:17] + node _T_1063 = bits(_T_1019, 7, 0) @[lib.scala 428:27] + node _T_1064 = orr(_T_1063) @[lib.scala 428:35] + node _T_1065 = bits(_T_1019, 8, 8) @[lib.scala 428:44] + node _T_1066 = not(_T_1065) @[lib.scala 428:40] + node _T_1067 = bits(_T_1019, 8, 8) @[lib.scala 428:51] + node _T_1068 = mux(_T_1064, _T_1066, _T_1067) @[lib.scala 428:23] + _T_1020[7] <= _T_1068 @[lib.scala 428:17] + node _T_1069 = bits(_T_1019, 8, 0) @[lib.scala 428:27] + node _T_1070 = orr(_T_1069) @[lib.scala 428:35] + node _T_1071 = bits(_T_1019, 9, 9) @[lib.scala 428:44] + node _T_1072 = not(_T_1071) @[lib.scala 428:40] + node _T_1073 = bits(_T_1019, 9, 9) @[lib.scala 428:51] + node _T_1074 = mux(_T_1070, _T_1072, _T_1073) @[lib.scala 428:23] + _T_1020[8] <= _T_1074 @[lib.scala 428:17] + node _T_1075 = bits(_T_1019, 9, 0) @[lib.scala 428:27] + node _T_1076 = orr(_T_1075) @[lib.scala 428:35] + node _T_1077 = bits(_T_1019, 10, 10) @[lib.scala 428:44] + node _T_1078 = not(_T_1077) @[lib.scala 428:40] + node _T_1079 = bits(_T_1019, 10, 10) @[lib.scala 428:51] + node _T_1080 = mux(_T_1076, _T_1078, _T_1079) @[lib.scala 428:23] + _T_1020[9] <= _T_1080 @[lib.scala 428:17] + node _T_1081 = bits(_T_1019, 10, 0) @[lib.scala 428:27] + node _T_1082 = orr(_T_1081) @[lib.scala 428:35] + node _T_1083 = bits(_T_1019, 11, 11) @[lib.scala 428:44] + node _T_1084 = not(_T_1083) @[lib.scala 428:40] + node _T_1085 = bits(_T_1019, 11, 11) @[lib.scala 428:51] + node _T_1086 = mux(_T_1082, _T_1084, _T_1085) @[lib.scala 428:23] + _T_1020[10] <= _T_1086 @[lib.scala 428:17] + node _T_1087 = bits(_T_1019, 11, 0) @[lib.scala 428:27] + node _T_1088 = orr(_T_1087) @[lib.scala 428:35] + node _T_1089 = bits(_T_1019, 12, 12) @[lib.scala 428:44] + node _T_1090 = not(_T_1089) @[lib.scala 428:40] + node _T_1091 = bits(_T_1019, 12, 12) @[lib.scala 428:51] + node _T_1092 = mux(_T_1088, _T_1090, _T_1091) @[lib.scala 428:23] + _T_1020[11] <= _T_1092 @[lib.scala 428:17] + node _T_1093 = bits(_T_1019, 12, 0) @[lib.scala 428:27] + node _T_1094 = orr(_T_1093) @[lib.scala 428:35] + node _T_1095 = bits(_T_1019, 13, 13) @[lib.scala 428:44] + node _T_1096 = not(_T_1095) @[lib.scala 428:40] + node _T_1097 = bits(_T_1019, 13, 13) @[lib.scala 428:51] + node _T_1098 = mux(_T_1094, _T_1096, _T_1097) @[lib.scala 428:23] + _T_1020[12] <= _T_1098 @[lib.scala 428:17] + node _T_1099 = bits(_T_1019, 13, 0) @[lib.scala 428:27] + node _T_1100 = orr(_T_1099) @[lib.scala 428:35] + node _T_1101 = bits(_T_1019, 14, 14) @[lib.scala 428:44] + node _T_1102 = not(_T_1101) @[lib.scala 428:40] + node _T_1103 = bits(_T_1019, 14, 14) @[lib.scala 428:51] + node _T_1104 = mux(_T_1100, _T_1102, _T_1103) @[lib.scala 428:23] + _T_1020[13] <= _T_1104 @[lib.scala 428:17] + node _T_1105 = bits(_T_1019, 14, 0) @[lib.scala 428:27] + node _T_1106 = orr(_T_1105) @[lib.scala 428:35] + node _T_1107 = bits(_T_1019, 15, 15) @[lib.scala 428:44] + node _T_1108 = not(_T_1107) @[lib.scala 428:40] + node _T_1109 = bits(_T_1019, 15, 15) @[lib.scala 428:51] + node _T_1110 = mux(_T_1106, _T_1108, _T_1109) @[lib.scala 428:23] + _T_1020[14] <= _T_1110 @[lib.scala 428:17] + node _T_1111 = bits(_T_1019, 15, 0) @[lib.scala 428:27] + node _T_1112 = orr(_T_1111) @[lib.scala 428:35] + node _T_1113 = bits(_T_1019, 16, 16) @[lib.scala 428:44] + node _T_1114 = not(_T_1113) @[lib.scala 428:40] + node _T_1115 = bits(_T_1019, 16, 16) @[lib.scala 428:51] + node _T_1116 = mux(_T_1112, _T_1114, _T_1115) @[lib.scala 428:23] + _T_1020[15] <= _T_1116 @[lib.scala 428:17] + node _T_1117 = bits(_T_1019, 16, 0) @[lib.scala 428:27] + node _T_1118 = orr(_T_1117) @[lib.scala 428:35] + node _T_1119 = bits(_T_1019, 17, 17) @[lib.scala 428:44] + node _T_1120 = not(_T_1119) @[lib.scala 428:40] + node _T_1121 = bits(_T_1019, 17, 17) @[lib.scala 428:51] + node _T_1122 = mux(_T_1118, _T_1120, _T_1121) @[lib.scala 428:23] + _T_1020[16] <= _T_1122 @[lib.scala 428:17] + node _T_1123 = bits(_T_1019, 17, 0) @[lib.scala 428:27] + node _T_1124 = orr(_T_1123) @[lib.scala 428:35] + node _T_1125 = bits(_T_1019, 18, 18) @[lib.scala 428:44] + node _T_1126 = not(_T_1125) @[lib.scala 428:40] + node _T_1127 = bits(_T_1019, 18, 18) @[lib.scala 428:51] + node _T_1128 = mux(_T_1124, _T_1126, _T_1127) @[lib.scala 428:23] + _T_1020[17] <= _T_1128 @[lib.scala 428:17] + node _T_1129 = bits(_T_1019, 18, 0) @[lib.scala 428:27] + node _T_1130 = orr(_T_1129) @[lib.scala 428:35] + node _T_1131 = bits(_T_1019, 19, 19) @[lib.scala 428:44] + node _T_1132 = not(_T_1131) @[lib.scala 428:40] + node _T_1133 = bits(_T_1019, 19, 19) @[lib.scala 428:51] + node _T_1134 = mux(_T_1130, _T_1132, _T_1133) @[lib.scala 428:23] + _T_1020[18] <= _T_1134 @[lib.scala 428:17] + node _T_1135 = bits(_T_1019, 19, 0) @[lib.scala 428:27] + node _T_1136 = orr(_T_1135) @[lib.scala 428:35] + node _T_1137 = bits(_T_1019, 20, 20) @[lib.scala 428:44] + node _T_1138 = not(_T_1137) @[lib.scala 428:40] + node _T_1139 = bits(_T_1019, 20, 20) @[lib.scala 428:51] + node _T_1140 = mux(_T_1136, _T_1138, _T_1139) @[lib.scala 428:23] + _T_1020[19] <= _T_1140 @[lib.scala 428:17] + node _T_1141 = bits(_T_1019, 20, 0) @[lib.scala 428:27] + node _T_1142 = orr(_T_1141) @[lib.scala 428:35] + node _T_1143 = bits(_T_1019, 21, 21) @[lib.scala 428:44] + node _T_1144 = not(_T_1143) @[lib.scala 428:40] + node _T_1145 = bits(_T_1019, 21, 21) @[lib.scala 428:51] + node _T_1146 = mux(_T_1142, _T_1144, _T_1145) @[lib.scala 428:23] + _T_1020[20] <= _T_1146 @[lib.scala 428:17] + node _T_1147 = bits(_T_1019, 21, 0) @[lib.scala 428:27] + node _T_1148 = orr(_T_1147) @[lib.scala 428:35] + node _T_1149 = bits(_T_1019, 22, 22) @[lib.scala 428:44] + node _T_1150 = not(_T_1149) @[lib.scala 428:40] + node _T_1151 = bits(_T_1019, 22, 22) @[lib.scala 428:51] + node _T_1152 = mux(_T_1148, _T_1150, _T_1151) @[lib.scala 428:23] + _T_1020[21] <= _T_1152 @[lib.scala 428:17] + node _T_1153 = bits(_T_1019, 22, 0) @[lib.scala 428:27] + node _T_1154 = orr(_T_1153) @[lib.scala 428:35] + node _T_1155 = bits(_T_1019, 23, 23) @[lib.scala 428:44] + node _T_1156 = not(_T_1155) @[lib.scala 428:40] + node _T_1157 = bits(_T_1019, 23, 23) @[lib.scala 428:51] + node _T_1158 = mux(_T_1154, _T_1156, _T_1157) @[lib.scala 428:23] + _T_1020[22] <= _T_1158 @[lib.scala 428:17] + node _T_1159 = bits(_T_1019, 23, 0) @[lib.scala 428:27] + node _T_1160 = orr(_T_1159) @[lib.scala 428:35] + node _T_1161 = bits(_T_1019, 24, 24) @[lib.scala 428:44] + node _T_1162 = not(_T_1161) @[lib.scala 428:40] + node _T_1163 = bits(_T_1019, 24, 24) @[lib.scala 428:51] + node _T_1164 = mux(_T_1160, _T_1162, _T_1163) @[lib.scala 428:23] + _T_1020[23] <= _T_1164 @[lib.scala 428:17] + node _T_1165 = bits(_T_1019, 24, 0) @[lib.scala 428:27] + node _T_1166 = orr(_T_1165) @[lib.scala 428:35] + node _T_1167 = bits(_T_1019, 25, 25) @[lib.scala 428:44] + node _T_1168 = not(_T_1167) @[lib.scala 428:40] + node _T_1169 = bits(_T_1019, 25, 25) @[lib.scala 428:51] + node _T_1170 = mux(_T_1166, _T_1168, _T_1169) @[lib.scala 428:23] + _T_1020[24] <= _T_1170 @[lib.scala 428:17] + node _T_1171 = bits(_T_1019, 25, 0) @[lib.scala 428:27] + node _T_1172 = orr(_T_1171) @[lib.scala 428:35] + node _T_1173 = bits(_T_1019, 26, 26) @[lib.scala 428:44] + node _T_1174 = not(_T_1173) @[lib.scala 428:40] + node _T_1175 = bits(_T_1019, 26, 26) @[lib.scala 428:51] + node _T_1176 = mux(_T_1172, _T_1174, _T_1175) @[lib.scala 428:23] + _T_1020[25] <= _T_1176 @[lib.scala 428:17] + node _T_1177 = bits(_T_1019, 26, 0) @[lib.scala 428:27] + node _T_1178 = orr(_T_1177) @[lib.scala 428:35] + node _T_1179 = bits(_T_1019, 27, 27) @[lib.scala 428:44] + node _T_1180 = not(_T_1179) @[lib.scala 428:40] + node _T_1181 = bits(_T_1019, 27, 27) @[lib.scala 428:51] + node _T_1182 = mux(_T_1178, _T_1180, _T_1181) @[lib.scala 428:23] + _T_1020[26] <= _T_1182 @[lib.scala 428:17] + node _T_1183 = bits(_T_1019, 27, 0) @[lib.scala 428:27] + node _T_1184 = orr(_T_1183) @[lib.scala 428:35] + node _T_1185 = bits(_T_1019, 28, 28) @[lib.scala 428:44] + node _T_1186 = not(_T_1185) @[lib.scala 428:40] + node _T_1187 = bits(_T_1019, 28, 28) @[lib.scala 428:51] + node _T_1188 = mux(_T_1184, _T_1186, _T_1187) @[lib.scala 428:23] + _T_1020[27] <= _T_1188 @[lib.scala 428:17] + node _T_1189 = bits(_T_1019, 28, 0) @[lib.scala 428:27] + node _T_1190 = orr(_T_1189) @[lib.scala 428:35] + node _T_1191 = bits(_T_1019, 29, 29) @[lib.scala 428:44] + node _T_1192 = not(_T_1191) @[lib.scala 428:40] + node _T_1193 = bits(_T_1019, 29, 29) @[lib.scala 428:51] + node _T_1194 = mux(_T_1190, _T_1192, _T_1193) @[lib.scala 428:23] + _T_1020[28] <= _T_1194 @[lib.scala 428:17] + node _T_1195 = bits(_T_1019, 29, 0) @[lib.scala 428:27] + node _T_1196 = orr(_T_1195) @[lib.scala 428:35] + node _T_1197 = bits(_T_1019, 30, 30) @[lib.scala 428:44] + node _T_1198 = not(_T_1197) @[lib.scala 428:40] + node _T_1199 = bits(_T_1019, 30, 30) @[lib.scala 428:51] + node _T_1200 = mux(_T_1196, _T_1198, _T_1199) @[lib.scala 428:23] + _T_1020[29] <= _T_1200 @[lib.scala 428:17] + node _T_1201 = bits(_T_1019, 30, 0) @[lib.scala 428:27] + node _T_1202 = orr(_T_1201) @[lib.scala 428:35] + node _T_1203 = bits(_T_1019, 31, 31) @[lib.scala 428:44] + node _T_1204 = not(_T_1203) @[lib.scala 428:40] + node _T_1205 = bits(_T_1019, 31, 31) @[lib.scala 428:51] + node _T_1206 = mux(_T_1202, _T_1204, _T_1205) @[lib.scala 428:23] + _T_1020[30] <= _T_1206 @[lib.scala 428:17] + node _T_1207 = cat(_T_1020[2], _T_1020[1]) @[lib.scala 430:14] + node _T_1208 = cat(_T_1207, _T_1020[0]) @[lib.scala 430:14] + node _T_1209 = cat(_T_1020[4], _T_1020[3]) @[lib.scala 430:14] + node _T_1210 = cat(_T_1020[6], _T_1020[5]) @[lib.scala 430:14] + node _T_1211 = cat(_T_1210, _T_1209) @[lib.scala 430:14] + node _T_1212 = cat(_T_1211, _T_1208) @[lib.scala 430:14] + node _T_1213 = cat(_T_1020[8], _T_1020[7]) @[lib.scala 430:14] + node _T_1214 = cat(_T_1020[10], _T_1020[9]) @[lib.scala 430:14] + node _T_1215 = cat(_T_1214, _T_1213) @[lib.scala 430:14] + node _T_1216 = cat(_T_1020[12], _T_1020[11]) @[lib.scala 430:14] + node _T_1217 = cat(_T_1020[14], _T_1020[13]) @[lib.scala 430:14] + node _T_1218 = cat(_T_1217, _T_1216) @[lib.scala 430:14] + node _T_1219 = cat(_T_1218, _T_1215) @[lib.scala 430:14] + node _T_1220 = cat(_T_1219, _T_1212) @[lib.scala 430:14] + node _T_1221 = cat(_T_1020[16], _T_1020[15]) @[lib.scala 430:14] + node _T_1222 = cat(_T_1020[18], _T_1020[17]) @[lib.scala 430:14] + node _T_1223 = cat(_T_1222, _T_1221) @[lib.scala 430:14] + node _T_1224 = cat(_T_1020[20], _T_1020[19]) @[lib.scala 430:14] + node _T_1225 = cat(_T_1020[22], _T_1020[21]) @[lib.scala 430:14] + node _T_1226 = cat(_T_1225, _T_1224) @[lib.scala 430:14] + node _T_1227 = cat(_T_1226, _T_1223) @[lib.scala 430:14] + node _T_1228 = cat(_T_1020[24], _T_1020[23]) @[lib.scala 430:14] + node _T_1229 = cat(_T_1020[26], _T_1020[25]) @[lib.scala 430:14] + node _T_1230 = cat(_T_1229, _T_1228) @[lib.scala 430:14] + node _T_1231 = cat(_T_1020[28], _T_1020[27]) @[lib.scala 430:14] + node _T_1232 = cat(_T_1020[30], _T_1020[29]) @[lib.scala 430:14] + node _T_1233 = cat(_T_1232, _T_1231) @[lib.scala 430:14] + node _T_1234 = cat(_T_1233, _T_1230) @[lib.scala 430:14] + node _T_1235 = cat(_T_1234, _T_1227) @[lib.scala 430:14] + node _T_1236 = cat(_T_1235, _T_1220) @[lib.scala 430:14] + node _T_1237 = bits(_T_1019, 0, 0) @[lib.scala 430:24] + node _T_1238 = cat(_T_1236, _T_1237) @[Cat.scala 29:58] + node _T_1239 = bits(q_ff, 31, 0) @[exu_div_ctl.scala 258:104] + node q_ff_eff = mux(_T_1018, _T_1238, _T_1239) @[exu_div_ctl.scala 258:21] + node _T_1240 = and(sign_ff, dividend_neg_ff) @[exu_div_ctl.scala 259:31] + node _T_1241 = bits(_T_1240, 0, 0) @[exu_div_ctl.scala 259:51] + node _T_1242 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 259:74] + wire _T_1243 : UInt<1>[31] @[lib.scala 426:20] + node _T_1244 = bits(_T_1242, 0, 0) @[lib.scala 428:27] + node _T_1245 = orr(_T_1244) @[lib.scala 428:35] + node _T_1246 = bits(_T_1242, 1, 1) @[lib.scala 428:44] + node _T_1247 = not(_T_1246) @[lib.scala 428:40] + node _T_1248 = bits(_T_1242, 1, 1) @[lib.scala 428:51] + node _T_1249 = mux(_T_1245, _T_1247, _T_1248) @[lib.scala 428:23] + _T_1243[0] <= _T_1249 @[lib.scala 428:17] + node _T_1250 = bits(_T_1242, 1, 0) @[lib.scala 428:27] + node _T_1251 = orr(_T_1250) @[lib.scala 428:35] + node _T_1252 = bits(_T_1242, 2, 2) @[lib.scala 428:44] + node _T_1253 = not(_T_1252) @[lib.scala 428:40] + node _T_1254 = bits(_T_1242, 2, 2) @[lib.scala 428:51] + node _T_1255 = mux(_T_1251, _T_1253, _T_1254) @[lib.scala 428:23] + _T_1243[1] <= _T_1255 @[lib.scala 428:17] + node _T_1256 = bits(_T_1242, 2, 0) @[lib.scala 428:27] + node _T_1257 = orr(_T_1256) @[lib.scala 428:35] + node _T_1258 = bits(_T_1242, 3, 3) @[lib.scala 428:44] + node _T_1259 = not(_T_1258) @[lib.scala 428:40] + node _T_1260 = bits(_T_1242, 3, 3) @[lib.scala 428:51] + node _T_1261 = mux(_T_1257, _T_1259, _T_1260) @[lib.scala 428:23] + _T_1243[2] <= _T_1261 @[lib.scala 428:17] + node _T_1262 = bits(_T_1242, 3, 0) @[lib.scala 428:27] + node _T_1263 = orr(_T_1262) @[lib.scala 428:35] + node _T_1264 = bits(_T_1242, 4, 4) @[lib.scala 428:44] + node _T_1265 = not(_T_1264) @[lib.scala 428:40] + node _T_1266 = bits(_T_1242, 4, 4) @[lib.scala 428:51] + node _T_1267 = mux(_T_1263, _T_1265, _T_1266) @[lib.scala 428:23] + _T_1243[3] <= _T_1267 @[lib.scala 428:17] + node _T_1268 = bits(_T_1242, 4, 0) @[lib.scala 428:27] + node _T_1269 = orr(_T_1268) @[lib.scala 428:35] + node _T_1270 = bits(_T_1242, 5, 5) @[lib.scala 428:44] + node _T_1271 = not(_T_1270) @[lib.scala 428:40] + node _T_1272 = bits(_T_1242, 5, 5) @[lib.scala 428:51] + node _T_1273 = mux(_T_1269, _T_1271, _T_1272) @[lib.scala 428:23] + _T_1243[4] <= _T_1273 @[lib.scala 428:17] + node _T_1274 = bits(_T_1242, 5, 0) @[lib.scala 428:27] + node _T_1275 = orr(_T_1274) @[lib.scala 428:35] + node _T_1276 = bits(_T_1242, 6, 6) @[lib.scala 428:44] + node _T_1277 = not(_T_1276) @[lib.scala 428:40] + node _T_1278 = bits(_T_1242, 6, 6) @[lib.scala 428:51] + node _T_1279 = mux(_T_1275, _T_1277, _T_1278) @[lib.scala 428:23] + _T_1243[5] <= _T_1279 @[lib.scala 428:17] + node _T_1280 = bits(_T_1242, 6, 0) @[lib.scala 428:27] + node _T_1281 = orr(_T_1280) @[lib.scala 428:35] + node _T_1282 = bits(_T_1242, 7, 7) @[lib.scala 428:44] + node _T_1283 = not(_T_1282) @[lib.scala 428:40] + node _T_1284 = bits(_T_1242, 7, 7) @[lib.scala 428:51] + node _T_1285 = mux(_T_1281, _T_1283, _T_1284) @[lib.scala 428:23] + _T_1243[6] <= _T_1285 @[lib.scala 428:17] + node _T_1286 = bits(_T_1242, 7, 0) @[lib.scala 428:27] + node _T_1287 = orr(_T_1286) @[lib.scala 428:35] + node _T_1288 = bits(_T_1242, 8, 8) @[lib.scala 428:44] + node _T_1289 = not(_T_1288) @[lib.scala 428:40] + node _T_1290 = bits(_T_1242, 8, 8) @[lib.scala 428:51] + node _T_1291 = mux(_T_1287, _T_1289, _T_1290) @[lib.scala 428:23] + _T_1243[7] <= _T_1291 @[lib.scala 428:17] + node _T_1292 = bits(_T_1242, 8, 0) @[lib.scala 428:27] + node _T_1293 = orr(_T_1292) @[lib.scala 428:35] + node _T_1294 = bits(_T_1242, 9, 9) @[lib.scala 428:44] + node _T_1295 = not(_T_1294) @[lib.scala 428:40] + node _T_1296 = bits(_T_1242, 9, 9) @[lib.scala 428:51] + node _T_1297 = mux(_T_1293, _T_1295, _T_1296) @[lib.scala 428:23] + _T_1243[8] <= _T_1297 @[lib.scala 428:17] + node _T_1298 = bits(_T_1242, 9, 0) @[lib.scala 428:27] + node _T_1299 = orr(_T_1298) @[lib.scala 428:35] + node _T_1300 = bits(_T_1242, 10, 10) @[lib.scala 428:44] + node _T_1301 = not(_T_1300) @[lib.scala 428:40] + node _T_1302 = bits(_T_1242, 10, 10) @[lib.scala 428:51] + node _T_1303 = mux(_T_1299, _T_1301, _T_1302) @[lib.scala 428:23] + _T_1243[9] <= _T_1303 @[lib.scala 428:17] + node _T_1304 = bits(_T_1242, 10, 0) @[lib.scala 428:27] + node _T_1305 = orr(_T_1304) @[lib.scala 428:35] + node _T_1306 = bits(_T_1242, 11, 11) @[lib.scala 428:44] + node _T_1307 = not(_T_1306) @[lib.scala 428:40] + node _T_1308 = bits(_T_1242, 11, 11) @[lib.scala 428:51] + node _T_1309 = mux(_T_1305, _T_1307, _T_1308) @[lib.scala 428:23] + _T_1243[10] <= _T_1309 @[lib.scala 428:17] + node _T_1310 = bits(_T_1242, 11, 0) @[lib.scala 428:27] + node _T_1311 = orr(_T_1310) @[lib.scala 428:35] + node _T_1312 = bits(_T_1242, 12, 12) @[lib.scala 428:44] + node _T_1313 = not(_T_1312) @[lib.scala 428:40] + node _T_1314 = bits(_T_1242, 12, 12) @[lib.scala 428:51] + node _T_1315 = mux(_T_1311, _T_1313, _T_1314) @[lib.scala 428:23] + _T_1243[11] <= _T_1315 @[lib.scala 428:17] + node _T_1316 = bits(_T_1242, 12, 0) @[lib.scala 428:27] + node _T_1317 = orr(_T_1316) @[lib.scala 428:35] + node _T_1318 = bits(_T_1242, 13, 13) @[lib.scala 428:44] + node _T_1319 = not(_T_1318) @[lib.scala 428:40] + node _T_1320 = bits(_T_1242, 13, 13) @[lib.scala 428:51] + node _T_1321 = mux(_T_1317, _T_1319, _T_1320) @[lib.scala 428:23] + _T_1243[12] <= _T_1321 @[lib.scala 428:17] + node _T_1322 = bits(_T_1242, 13, 0) @[lib.scala 428:27] + node _T_1323 = orr(_T_1322) @[lib.scala 428:35] + node _T_1324 = bits(_T_1242, 14, 14) @[lib.scala 428:44] + node _T_1325 = not(_T_1324) @[lib.scala 428:40] + node _T_1326 = bits(_T_1242, 14, 14) @[lib.scala 428:51] + node _T_1327 = mux(_T_1323, _T_1325, _T_1326) @[lib.scala 428:23] + _T_1243[13] <= _T_1327 @[lib.scala 428:17] + node _T_1328 = bits(_T_1242, 14, 0) @[lib.scala 428:27] + node _T_1329 = orr(_T_1328) @[lib.scala 428:35] + node _T_1330 = bits(_T_1242, 15, 15) @[lib.scala 428:44] + node _T_1331 = not(_T_1330) @[lib.scala 428:40] + node _T_1332 = bits(_T_1242, 15, 15) @[lib.scala 428:51] + node _T_1333 = mux(_T_1329, _T_1331, _T_1332) @[lib.scala 428:23] + _T_1243[14] <= _T_1333 @[lib.scala 428:17] + node _T_1334 = bits(_T_1242, 15, 0) @[lib.scala 428:27] + node _T_1335 = orr(_T_1334) @[lib.scala 428:35] + node _T_1336 = bits(_T_1242, 16, 16) @[lib.scala 428:44] + node _T_1337 = not(_T_1336) @[lib.scala 428:40] + node _T_1338 = bits(_T_1242, 16, 16) @[lib.scala 428:51] + node _T_1339 = mux(_T_1335, _T_1337, _T_1338) @[lib.scala 428:23] + _T_1243[15] <= _T_1339 @[lib.scala 428:17] + node _T_1340 = bits(_T_1242, 16, 0) @[lib.scala 428:27] + node _T_1341 = orr(_T_1340) @[lib.scala 428:35] + node _T_1342 = bits(_T_1242, 17, 17) @[lib.scala 428:44] + node _T_1343 = not(_T_1342) @[lib.scala 428:40] + node _T_1344 = bits(_T_1242, 17, 17) @[lib.scala 428:51] + node _T_1345 = mux(_T_1341, _T_1343, _T_1344) @[lib.scala 428:23] + _T_1243[16] <= _T_1345 @[lib.scala 428:17] + node _T_1346 = bits(_T_1242, 17, 0) @[lib.scala 428:27] + node _T_1347 = orr(_T_1346) @[lib.scala 428:35] + node _T_1348 = bits(_T_1242, 18, 18) @[lib.scala 428:44] + node _T_1349 = not(_T_1348) @[lib.scala 428:40] + node _T_1350 = bits(_T_1242, 18, 18) @[lib.scala 428:51] + node _T_1351 = mux(_T_1347, _T_1349, _T_1350) @[lib.scala 428:23] + _T_1243[17] <= _T_1351 @[lib.scala 428:17] + node _T_1352 = bits(_T_1242, 18, 0) @[lib.scala 428:27] + node _T_1353 = orr(_T_1352) @[lib.scala 428:35] + node _T_1354 = bits(_T_1242, 19, 19) @[lib.scala 428:44] + node _T_1355 = not(_T_1354) @[lib.scala 428:40] + node _T_1356 = bits(_T_1242, 19, 19) @[lib.scala 428:51] + node _T_1357 = mux(_T_1353, _T_1355, _T_1356) @[lib.scala 428:23] + _T_1243[18] <= _T_1357 @[lib.scala 428:17] + node _T_1358 = bits(_T_1242, 19, 0) @[lib.scala 428:27] + node _T_1359 = orr(_T_1358) @[lib.scala 428:35] + node _T_1360 = bits(_T_1242, 20, 20) @[lib.scala 428:44] + node _T_1361 = not(_T_1360) @[lib.scala 428:40] + node _T_1362 = bits(_T_1242, 20, 20) @[lib.scala 428:51] + node _T_1363 = mux(_T_1359, _T_1361, _T_1362) @[lib.scala 428:23] + _T_1243[19] <= _T_1363 @[lib.scala 428:17] + node _T_1364 = bits(_T_1242, 20, 0) @[lib.scala 428:27] + node _T_1365 = orr(_T_1364) @[lib.scala 428:35] + node _T_1366 = bits(_T_1242, 21, 21) @[lib.scala 428:44] + node _T_1367 = not(_T_1366) @[lib.scala 428:40] + node _T_1368 = bits(_T_1242, 21, 21) @[lib.scala 428:51] + node _T_1369 = mux(_T_1365, _T_1367, _T_1368) @[lib.scala 428:23] + _T_1243[20] <= _T_1369 @[lib.scala 428:17] + node _T_1370 = bits(_T_1242, 21, 0) @[lib.scala 428:27] + node _T_1371 = orr(_T_1370) @[lib.scala 428:35] + node _T_1372 = bits(_T_1242, 22, 22) @[lib.scala 428:44] + node _T_1373 = not(_T_1372) @[lib.scala 428:40] + node _T_1374 = bits(_T_1242, 22, 22) @[lib.scala 428:51] + node _T_1375 = mux(_T_1371, _T_1373, _T_1374) @[lib.scala 428:23] + _T_1243[21] <= _T_1375 @[lib.scala 428:17] + node _T_1376 = bits(_T_1242, 22, 0) @[lib.scala 428:27] + node _T_1377 = orr(_T_1376) @[lib.scala 428:35] + node _T_1378 = bits(_T_1242, 23, 23) @[lib.scala 428:44] + node _T_1379 = not(_T_1378) @[lib.scala 428:40] + node _T_1380 = bits(_T_1242, 23, 23) @[lib.scala 428:51] + node _T_1381 = mux(_T_1377, _T_1379, _T_1380) @[lib.scala 428:23] + _T_1243[22] <= _T_1381 @[lib.scala 428:17] + node _T_1382 = bits(_T_1242, 23, 0) @[lib.scala 428:27] + node _T_1383 = orr(_T_1382) @[lib.scala 428:35] + node _T_1384 = bits(_T_1242, 24, 24) @[lib.scala 428:44] + node _T_1385 = not(_T_1384) @[lib.scala 428:40] + node _T_1386 = bits(_T_1242, 24, 24) @[lib.scala 428:51] + node _T_1387 = mux(_T_1383, _T_1385, _T_1386) @[lib.scala 428:23] + _T_1243[23] <= _T_1387 @[lib.scala 428:17] + node _T_1388 = bits(_T_1242, 24, 0) @[lib.scala 428:27] + node _T_1389 = orr(_T_1388) @[lib.scala 428:35] + node _T_1390 = bits(_T_1242, 25, 25) @[lib.scala 428:44] + node _T_1391 = not(_T_1390) @[lib.scala 428:40] + node _T_1392 = bits(_T_1242, 25, 25) @[lib.scala 428:51] + node _T_1393 = mux(_T_1389, _T_1391, _T_1392) @[lib.scala 428:23] + _T_1243[24] <= _T_1393 @[lib.scala 428:17] + node _T_1394 = bits(_T_1242, 25, 0) @[lib.scala 428:27] + node _T_1395 = orr(_T_1394) @[lib.scala 428:35] + node _T_1396 = bits(_T_1242, 26, 26) @[lib.scala 428:44] + node _T_1397 = not(_T_1396) @[lib.scala 428:40] + node _T_1398 = bits(_T_1242, 26, 26) @[lib.scala 428:51] + node _T_1399 = mux(_T_1395, _T_1397, _T_1398) @[lib.scala 428:23] + _T_1243[25] <= _T_1399 @[lib.scala 428:17] + node _T_1400 = bits(_T_1242, 26, 0) @[lib.scala 428:27] + node _T_1401 = orr(_T_1400) @[lib.scala 428:35] + node _T_1402 = bits(_T_1242, 27, 27) @[lib.scala 428:44] + node _T_1403 = not(_T_1402) @[lib.scala 428:40] + node _T_1404 = bits(_T_1242, 27, 27) @[lib.scala 428:51] + node _T_1405 = mux(_T_1401, _T_1403, _T_1404) @[lib.scala 428:23] + _T_1243[26] <= _T_1405 @[lib.scala 428:17] + node _T_1406 = bits(_T_1242, 27, 0) @[lib.scala 428:27] + node _T_1407 = orr(_T_1406) @[lib.scala 428:35] + node _T_1408 = bits(_T_1242, 28, 28) @[lib.scala 428:44] + node _T_1409 = not(_T_1408) @[lib.scala 428:40] + node _T_1410 = bits(_T_1242, 28, 28) @[lib.scala 428:51] + node _T_1411 = mux(_T_1407, _T_1409, _T_1410) @[lib.scala 428:23] + _T_1243[27] <= _T_1411 @[lib.scala 428:17] + node _T_1412 = bits(_T_1242, 28, 0) @[lib.scala 428:27] + node _T_1413 = orr(_T_1412) @[lib.scala 428:35] + node _T_1414 = bits(_T_1242, 29, 29) @[lib.scala 428:44] + node _T_1415 = not(_T_1414) @[lib.scala 428:40] + node _T_1416 = bits(_T_1242, 29, 29) @[lib.scala 428:51] + node _T_1417 = mux(_T_1413, _T_1415, _T_1416) @[lib.scala 428:23] + _T_1243[28] <= _T_1417 @[lib.scala 428:17] + node _T_1418 = bits(_T_1242, 29, 0) @[lib.scala 428:27] + node _T_1419 = orr(_T_1418) @[lib.scala 428:35] + node _T_1420 = bits(_T_1242, 30, 30) @[lib.scala 428:44] + node _T_1421 = not(_T_1420) @[lib.scala 428:40] + node _T_1422 = bits(_T_1242, 30, 30) @[lib.scala 428:51] + node _T_1423 = mux(_T_1419, _T_1421, _T_1422) @[lib.scala 428:23] + _T_1243[29] <= _T_1423 @[lib.scala 428:17] + node _T_1424 = bits(_T_1242, 30, 0) @[lib.scala 428:27] + node _T_1425 = orr(_T_1424) @[lib.scala 428:35] + node _T_1426 = bits(_T_1242, 31, 31) @[lib.scala 428:44] + node _T_1427 = not(_T_1426) @[lib.scala 428:40] + node _T_1428 = bits(_T_1242, 31, 31) @[lib.scala 428:51] + node _T_1429 = mux(_T_1425, _T_1427, _T_1428) @[lib.scala 428:23] + _T_1243[30] <= _T_1429 @[lib.scala 428:17] + node _T_1430 = cat(_T_1243[2], _T_1243[1]) @[lib.scala 430:14] + node _T_1431 = cat(_T_1430, _T_1243[0]) @[lib.scala 430:14] + node _T_1432 = cat(_T_1243[4], _T_1243[3]) @[lib.scala 430:14] + node _T_1433 = cat(_T_1243[6], _T_1243[5]) @[lib.scala 430:14] + node _T_1434 = cat(_T_1433, _T_1432) @[lib.scala 430:14] + node _T_1435 = cat(_T_1434, _T_1431) @[lib.scala 430:14] + node _T_1436 = cat(_T_1243[8], _T_1243[7]) @[lib.scala 430:14] + node _T_1437 = cat(_T_1243[10], _T_1243[9]) @[lib.scala 430:14] + node _T_1438 = cat(_T_1437, _T_1436) @[lib.scala 430:14] + node _T_1439 = cat(_T_1243[12], _T_1243[11]) @[lib.scala 430:14] + node _T_1440 = cat(_T_1243[14], _T_1243[13]) @[lib.scala 430:14] + node _T_1441 = cat(_T_1440, _T_1439) @[lib.scala 430:14] + node _T_1442 = cat(_T_1441, _T_1438) @[lib.scala 430:14] + node _T_1443 = cat(_T_1442, _T_1435) @[lib.scala 430:14] + node _T_1444 = cat(_T_1243[16], _T_1243[15]) @[lib.scala 430:14] + node _T_1445 = cat(_T_1243[18], _T_1243[17]) @[lib.scala 430:14] + node _T_1446 = cat(_T_1445, _T_1444) @[lib.scala 430:14] + node _T_1447 = cat(_T_1243[20], _T_1243[19]) @[lib.scala 430:14] + node _T_1448 = cat(_T_1243[22], _T_1243[21]) @[lib.scala 430:14] + node _T_1449 = cat(_T_1448, _T_1447) @[lib.scala 430:14] + node _T_1450 = cat(_T_1449, _T_1446) @[lib.scala 430:14] + node _T_1451 = cat(_T_1243[24], _T_1243[23]) @[lib.scala 430:14] + node _T_1452 = cat(_T_1243[26], _T_1243[25]) @[lib.scala 430:14] + node _T_1453 = cat(_T_1452, _T_1451) @[lib.scala 430:14] + node _T_1454 = cat(_T_1243[28], _T_1243[27]) @[lib.scala 430:14] + node _T_1455 = cat(_T_1243[30], _T_1243[29]) @[lib.scala 430:14] + node _T_1456 = cat(_T_1455, _T_1454) @[lib.scala 430:14] + node _T_1457 = cat(_T_1456, _T_1453) @[lib.scala 430:14] + node _T_1458 = cat(_T_1457, _T_1450) @[lib.scala 430:14] + node _T_1459 = cat(_T_1458, _T_1443) @[lib.scala 430:14] + node _T_1460 = bits(_T_1242, 0, 0) @[lib.scala 430:24] + node _T_1461 = cat(_T_1459, _T_1460) @[Cat.scala 29:58] + node _T_1462 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 259:87] + node a_ff_eff = mux(_T_1241, _T_1461, _T_1462) @[exu_div_ctl.scala 259:21] + node _T_1463 = bits(smallnum_case_ff, 0, 0) @[exu_div_ctl.scala 262:22] + node _T_1464 = cat(UInt<28>("h00"), smallnum_ff) @[Cat.scala 29:58] + node _T_1465 = bits(rem_ff, 0, 0) @[exu_div_ctl.scala 263:12] + node _T_1466 = eq(smallnum_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 264:6] + node _T_1467 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 264:26] + node _T_1468 = and(_T_1466, _T_1467) @[exu_div_ctl.scala 264:24] + node _T_1469 = bits(_T_1468, 0, 0) @[exu_div_ctl.scala 264:35] + node _T_1470 = mux(_T_1463, _T_1464, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1465, a_ff_eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = mux(_T_1469, q_ff_eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1473 = or(_T_1470, _T_1471) @[Mux.scala 27:72] + node _T_1474 = or(_T_1473, _T_1472) @[Mux.scala 27:72] + wire _T_1475 : UInt<32> @[Mux.scala 27:72] + _T_1475 <= _T_1474 @[Mux.scala 27:72] + io.data_out <= _T_1475 @[exu_div_ctl.scala 261:15] + node _T_1476 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 266:40] + node _T_1477 = and(io.valid_in, _T_1476) @[exu_div_ctl.scala 266:38] + node _T_1478 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr of rvclkhdr @[lib.scala 390:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 392:18] + rvclkhdr.io.en <= _T_1478 @[lib.scala 393:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1479 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1478 : @[Reg.scala 28:19] + _T_1479 <= _T_1477 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + valid_ff_x <= _T_1479 @[exu_div_ctl.scala 266:16] + node _T_1480 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 267:34] + node _T_1481 = and(finish, _T_1480) @[exu_div_ctl.scala 267:32] + node _T_1482 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_1.io.en <= _T_1482 @[lib.scala 393:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1483 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1482 : @[Reg.scala 28:19] + _T_1483 <= _T_1481 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + finish_ff <= _T_1483 @[exu_div_ctl.scala 267:15] + node _T_1484 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_2.io.en <= _T_1484 @[lib.scala 393:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1485 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1484 : @[Reg.scala 28:19] + _T_1485 <= run_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + run_state <= _T_1485 @[exu_div_ctl.scala 268:15] + node _T_1486 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_3.io.en <= _T_1486 @[lib.scala 393:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1487 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1486 : @[Reg.scala 28:19] + _T_1487 <= count_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + count <= _T_1487 @[exu_div_ctl.scala 269:11] + node _T_1488 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 270:60] + node _T_1489 = and(io.valid_in, _T_1488) @[exu_div_ctl.scala 270:44] + node _T_1490 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 270:69] + node _T_1491 = and(_T_1490, dividend_neg_ff) @[exu_div_ctl.scala 270:82] + node _T_1492 = or(_T_1489, _T_1491) @[exu_div_ctl.scala 270:66] + node _T_1493 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_4.io.en <= _T_1493 @[lib.scala 393:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1494 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1493 : @[Reg.scala 28:19] + _T_1494 <= _T_1492 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + dividend_neg_ff <= _T_1494 @[exu_div_ctl.scala 270:21] + node _T_1495 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 271:58] + node _T_1496 = and(io.valid_in, _T_1495) @[exu_div_ctl.scala 271:43] + node _T_1497 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 271:67] + node _T_1498 = and(_T_1497, divisor_neg_ff) @[exu_div_ctl.scala 271:80] + node _T_1499 = or(_T_1496, _T_1498) @[exu_div_ctl.scala 271:64] + node _T_1500 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_5.io.en <= _T_1500 @[lib.scala 393:17] + rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1501 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1500 : @[Reg.scala 28:19] + _T_1501 <= _T_1499 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + divisor_neg_ff <= _T_1501 @[exu_div_ctl.scala 271:20] + node _T_1502 = and(io.valid_in, sign_eff) @[exu_div_ctl.scala 272:36] + node _T_1503 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 272:51] + node _T_1504 = and(_T_1503, sign_ff) @[exu_div_ctl.scala 272:64] + node _T_1505 = or(_T_1502, _T_1504) @[exu_div_ctl.scala 272:48] + node _T_1506 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_6.io.en <= _T_1506 @[lib.scala 393:17] + rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1507 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1506 : @[Reg.scala 28:19] + _T_1507 <= _T_1505 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + sign_ff <= _T_1507 @[exu_div_ctl.scala 272:13] + node _T_1508 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 273:37] + node _T_1509 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 273:53] + node _T_1510 = and(_T_1509, rem_ff) @[exu_div_ctl.scala 273:66] + node _T_1511 = or(_T_1508, _T_1510) @[exu_div_ctl.scala 273:50] + node _T_1512 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_7.io.en <= _T_1512 @[lib.scala 393:17] + rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1513 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1512 : @[Reg.scala 28:19] + _T_1513 <= _T_1511 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + rem_ff <= _T_1513 @[exu_div_ctl.scala 273:14] + node _T_1514 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_8.io.en <= _T_1514 @[lib.scala 393:17] + rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1515 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1514 : @[Reg.scala 28:19] + _T_1515 <= smallnum_case @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + smallnum_case_ff <= _T_1515 @[exu_div_ctl.scala 274:22] + node _T_1516 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_9.io.en <= _T_1516 @[lib.scala 393:17] + rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1517 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1516 : @[Reg.scala 28:19] + _T_1517 <= smallnum @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + smallnum_ff <= _T_1517 @[exu_div_ctl.scala 275:17] + node _T_1518 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_10.io.en <= _T_1518 @[lib.scala 393:17] + rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1519 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1518 : @[Reg.scala 28:19] + _T_1519 <= shortq_enable @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_enable_ff <= _T_1519 @[exu_div_ctl.scala 276:22] + node _T_1520 = bits(div_clken, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 390:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_11.io.en <= _T_1520 @[lib.scala 393:17] + rvclkhdr_11.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1521 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1520 : @[Reg.scala 28:19] + _T_1521 <= shortq_shift @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_shift_xx <= _T_1521 @[exu_div_ctl.scala 277:21] + node _T_1522 = bits(qff_enable, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_12 of rvclkhdr_12 @[lib.scala 390:23] + rvclkhdr_12.clock <= clock + rvclkhdr_12.reset <= reset + rvclkhdr_12.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_12.io.en <= _T_1522 @[lib.scala 393:17] + rvclkhdr_12.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1523 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1522 : @[Reg.scala 28:19] + _T_1523 <= q_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + q_ff <= _T_1523 @[exu_div_ctl.scala 279:8] + node _T_1524 = bits(aff_enable, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_13 of rvclkhdr_13 @[lib.scala 390:23] + rvclkhdr_13.clock <= clock + rvclkhdr_13.reset <= reset + rvclkhdr_13.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_13.io.en <= _T_1524 @[lib.scala 393:17] + rvclkhdr_13.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1525 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1524 : @[Reg.scala 28:19] + _T_1525 <= a_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + a_ff <= _T_1525 @[exu_div_ctl.scala 280:8] + node _T_1526 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 281:50] + node _T_1527 = and(io.signed_in, _T_1526) @[exu_div_ctl.scala 281:35] + node _T_1528 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 281:69] + node _T_1529 = cat(_T_1527, _T_1528) @[Cat.scala 29:58] + inst rvclkhdr_14 of rvclkhdr_14 @[lib.scala 390:23] + rvclkhdr_14.clock <= clock + rvclkhdr_14.reset <= reset + rvclkhdr_14.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_14.io.en <= io.valid_in @[lib.scala 393:17] + rvclkhdr_14.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_1530 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.valid_in : @[Reg.scala 28:19] + _T_1530 <= _T_1529 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + m_ff <= _T_1530 @[exu_div_ctl.scala 281:8] + + module exu_div_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip dividend : UInt<32>, flip divisor : UInt<32>, exu_div_result : UInt<32>, exu_div_wren : UInt<1>, dec_div : {flip div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, flip dec_div_cancel : UInt<1>}} + + wire out_raw : UInt<32> + out_raw <= UInt<32>("h00") + node _T = bits(io.exu_div_wren, 0, 0) @[Bitwise.scala 72:15] + node _T_1 = mux(_T, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_2 = and(_T_1, out_raw) @[exu_div_ctl.scala 21:49] + io.exu_div_result <= _T_2 @[exu_div_ctl.scala 21:21] + inst divider_old of el2_exu_div_existing_1bit_cheapshortq @[exu_div_ctl.scala 23:27] + divider_old.clock <= clock + divider_old.reset <= reset + divider_old.io.scan_mode <= io.scan_mode @[exu_div_ctl.scala 24:31] + divider_old.io.cancel <= io.dec_div.dec_div_cancel @[exu_div_ctl.scala 25:31] + divider_old.io.valid_in <= io.dec_div.div_p.valid @[exu_div_ctl.scala 26:31] + node _T_3 = not(io.dec_div.div_p.bits.unsign) @[exu_div_ctl.scala 27:34] + divider_old.io.signed_in <= _T_3 @[exu_div_ctl.scala 27:31] + divider_old.io.rem_in <= io.dec_div.div_p.bits.rem @[exu_div_ctl.scala 28:31] + divider_old.io.dividend_in <= io.dividend @[exu_div_ctl.scala 29:31] + divider_old.io.divisor_in <= io.divisor @[exu_div_ctl.scala 30:31] + out_raw <= divider_old.io.data_out @[exu_div_ctl.scala 31:27] + io.exu_div_wren <= divider_old.io.valid_out @[exu_div_ctl.scala 32:27] + diff --git a/exu_div_ctl.v b/exu_div_ctl.v new file mode 100644 index 00000000..b02c146d --- /dev/null +++ b/exu_div_ctl.v @@ -0,0 +1,986 @@ +module rvclkhdr( + input io_clk, + input io_en +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = 1'h0; // @[lib.scala 338:18] +endmodule +module el2_exu_div_existing_1bit_cheapshortq( + input clock, + input reset, + input io_cancel, + input io_valid_in, + input io_signed_in, + input io_rem_in, + input [31:0] io_dividend_in, + input [31:0] io_divisor_in, + output [31:0] io_data_out, + output io_valid_out +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [63:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [63:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_io_en; // @[lib.scala 390:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_1_io_en; // @[lib.scala 390:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_2_io_en; // @[lib.scala 390:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_3_io_en; // @[lib.scala 390:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_4_io_en; // @[lib.scala 390:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_5_io_en; // @[lib.scala 390:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_6_io_en; // @[lib.scala 390:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_7_io_en; // @[lib.scala 390:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_8_io_en; // @[lib.scala 390:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_9_io_en; // @[lib.scala 390:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_10_io_en; // @[lib.scala 390:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_11_io_en; // @[lib.scala 390:23] + wire rvclkhdr_12_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_12_io_en; // @[lib.scala 390:23] + wire rvclkhdr_13_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_13_io_en; // @[lib.scala 390:23] + wire rvclkhdr_14_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_14_io_en; // @[lib.scala 390:23] + wire _T = ~io_cancel; // @[exu_div_ctl.scala 127:30] + reg valid_ff_x; // @[Reg.scala 27:20] + wire valid_x = valid_ff_x & _T; // @[exu_div_ctl.scala 127:28] + reg [32:0] q_ff; // @[Reg.scala 27:20] + wire _T_2 = q_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 133:34] + reg [32:0] m_ff; // @[Reg.scala 27:20] + wire _T_4 = m_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 133:57] + wire _T_5 = _T_2 & _T_4; // @[exu_div_ctl.scala 133:43] + wire _T_7 = m_ff[31:0] != 32'h0; // @[exu_div_ctl.scala 133:80] + wire _T_8 = _T_5 & _T_7; // @[exu_div_ctl.scala 133:66] + reg rem_ff; // @[Reg.scala 27:20] + wire _T_9 = ~rem_ff; // @[exu_div_ctl.scala 133:91] + wire _T_10 = _T_8 & _T_9; // @[exu_div_ctl.scala 133:89] + wire _T_11 = _T_10 & valid_x; // @[exu_div_ctl.scala 133:99] + wire _T_13 = q_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 134:18] + wire _T_16 = _T_13 & _T_7; // @[exu_div_ctl.scala 134:27] + wire _T_18 = _T_16 & _T_9; // @[exu_div_ctl.scala 134:50] + wire _T_19 = _T_18 & valid_x; // @[exu_div_ctl.scala 134:60] + wire smallnum_case = _T_11 | _T_19; // @[exu_div_ctl.scala 133:110] + wire _T_23 = ~m_ff[3]; // @[exu_div_ctl.scala 138:69] + wire _T_25 = ~m_ff[2]; // @[exu_div_ctl.scala 138:69] + wire _T_27 = ~m_ff[1]; // @[exu_div_ctl.scala 138:69] + wire _T_28 = _T_23 & _T_25; // @[exu_div_ctl.scala 138:94] + wire _T_29 = _T_28 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_30 = q_ff[3] & _T_29; // @[exu_div_ctl.scala 139:10] + wire _T_37 = q_ff[3] & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_39 = ~m_ff[0]; // @[exu_div_ctl.scala 145:32] + wire _T_40 = _T_37 & _T_39; // @[exu_div_ctl.scala 145:30] + wire _T_50 = q_ff[2] & _T_29; // @[exu_div_ctl.scala 139:10] + wire _T_51 = _T_40 | _T_50; // @[exu_div_ctl.scala 145:41] + wire _T_54 = q_ff[3] & q_ff[2]; // @[exu_div_ctl.scala 137:94] + wire _T_60 = _T_54 & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_61 = _T_51 | _T_60; // @[exu_div_ctl.scala 145:73] + wire _T_68 = q_ff[2] & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_71 = _T_68 & _T_39; // @[exu_div_ctl.scala 147:30] + wire _T_81 = q_ff[1] & _T_29; // @[exu_div_ctl.scala 139:10] + wire _T_82 = _T_71 | _T_81; // @[exu_div_ctl.scala 147:41] + wire _T_88 = _T_23 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_89 = q_ff[3] & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_92 = _T_89 & _T_39; // @[exu_div_ctl.scala 147:103] + wire _T_93 = _T_82 | _T_92; // @[exu_div_ctl.scala 147:76] + wire _T_96 = ~q_ff[2]; // @[exu_div_ctl.scala 137:69] + wire _T_97 = q_ff[3] & _T_96; // @[exu_div_ctl.scala 137:94] + wire _T_105 = _T_28 & m_ff[1]; // @[exu_div_ctl.scala 138:94] + wire _T_106 = _T_105 & m_ff[0]; // @[exu_div_ctl.scala 138:94] + wire _T_107 = _T_97 & _T_106; // @[exu_div_ctl.scala 139:10] + wire _T_108 = _T_93 | _T_107; // @[exu_div_ctl.scala 147:114] + wire _T_110 = ~q_ff[3]; // @[exu_div_ctl.scala 137:69] + wire _T_113 = _T_110 & q_ff[2]; // @[exu_div_ctl.scala 137:94] + wire _T_114 = _T_113 & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_120 = _T_114 & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_121 = _T_108 | _T_120; // @[exu_div_ctl.scala 148:43] + wire _T_127 = _T_54 & _T_23; // @[exu_div_ctl.scala 139:10] + wire _T_130 = _T_127 & _T_39; // @[exu_div_ctl.scala 148:104] + wire _T_131 = _T_121 | _T_130; // @[exu_div_ctl.scala 148:78] + wire _T_140 = _T_23 & m_ff[2]; // @[exu_div_ctl.scala 138:94] + wire _T_141 = _T_140 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_142 = _T_54 & _T_141; // @[exu_div_ctl.scala 139:10] + wire _T_143 = _T_131 | _T_142; // @[exu_div_ctl.scala 148:116] + wire _T_146 = q_ff[3] & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_152 = _T_146 & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_153 = _T_143 | _T_152; // @[exu_div_ctl.scala 149:43] + wire _T_158 = _T_54 & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_163 = _T_158 & _T_140; // @[exu_div_ctl.scala 139:10] + wire _T_164 = _T_153 | _T_163; // @[exu_div_ctl.scala 149:77] + wire _T_168 = q_ff[2] & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_169 = _T_168 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_175 = _T_169 & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_181 = _T_97 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_186 = _T_23 & m_ff[1]; // @[exu_div_ctl.scala 138:94] + wire _T_187 = _T_186 & m_ff[0]; // @[exu_div_ctl.scala 138:94] + wire _T_188 = _T_181 & _T_187; // @[exu_div_ctl.scala 139:10] + wire _T_189 = _T_175 | _T_188; // @[exu_div_ctl.scala 151:44] + wire _T_196 = q_ff[2] & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_199 = _T_196 & _T_39; // @[exu_div_ctl.scala 151:111] + wire _T_200 = _T_189 | _T_199; // @[exu_div_ctl.scala 151:84] + wire _T_207 = q_ff[1] & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_210 = _T_207 & _T_39; // @[exu_div_ctl.scala 152:32] + wire _T_211 = _T_200 | _T_210; // @[exu_div_ctl.scala 151:126] + wire _T_221 = q_ff[0] & _T_29; // @[exu_div_ctl.scala 139:10] + wire _T_222 = _T_211 | _T_221; // @[exu_div_ctl.scala 152:46] + wire _T_227 = ~q_ff[1]; // @[exu_div_ctl.scala 137:69] + wire _T_229 = _T_113 & _T_227; // @[exu_div_ctl.scala 137:94] + wire _T_239 = _T_229 & _T_106; // @[exu_div_ctl.scala 139:10] + wire _T_240 = _T_222 | _T_239; // @[exu_div_ctl.scala 152:86] + wire _T_249 = _T_114 & _T_23; // @[exu_div_ctl.scala 139:10] + wire _T_252 = _T_249 & _T_39; // @[exu_div_ctl.scala 153:35] + wire _T_253 = _T_240 | _T_252; // @[exu_div_ctl.scala 152:128] + wire _T_259 = _T_25 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_260 = q_ff[3] & _T_259; // @[exu_div_ctl.scala 139:10] + wire _T_263 = _T_260 & _T_39; // @[exu_div_ctl.scala 153:74] + wire _T_264 = _T_253 | _T_263; // @[exu_div_ctl.scala 153:46] + wire _T_274 = _T_140 & m_ff[1]; // @[exu_div_ctl.scala 138:94] + wire _T_275 = _T_97 & _T_274; // @[exu_div_ctl.scala 139:10] + wire _T_276 = _T_264 | _T_275; // @[exu_div_ctl.scala 153:86] + wire _T_290 = _T_114 & _T_141; // @[exu_div_ctl.scala 139:10] + wire _T_291 = _T_276 | _T_290; // @[exu_div_ctl.scala 153:128] + wire _T_297 = _T_113 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_303 = _T_297 & _T_88; // @[exu_div_ctl.scala 139:10] + wire _T_304 = _T_291 | _T_303; // @[exu_div_ctl.scala 154:46] + wire _T_311 = _T_97 & _T_227; // @[exu_div_ctl.scala 137:94] + wire _T_317 = _T_140 & m_ff[0]; // @[exu_div_ctl.scala 138:94] + wire _T_318 = _T_311 & _T_317; // @[exu_div_ctl.scala 139:10] + wire _T_319 = _T_304 | _T_318; // @[exu_div_ctl.scala 154:86] + wire _T_324 = _T_96 & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_325 = _T_324 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_331 = _T_325 & _T_28; // @[exu_div_ctl.scala 139:10] + wire _T_332 = _T_319 | _T_331; // @[exu_div_ctl.scala 154:128] + wire _T_338 = _T_54 & _T_27; // @[exu_div_ctl.scala 139:10] + wire _T_341 = _T_338 & _T_39; // @[exu_div_ctl.scala 155:73] + wire _T_342 = _T_332 | _T_341; // @[exu_div_ctl.scala 155:46] + wire _T_350 = _T_114 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_355 = _T_350 & _T_140; // @[exu_div_ctl.scala 139:10] + wire _T_356 = _T_342 | _T_355; // @[exu_div_ctl.scala 155:86] + wire _T_363 = m_ff[3] & _T_25; // @[exu_div_ctl.scala 138:94] + wire _T_364 = _T_54 & _T_363; // @[exu_div_ctl.scala 139:10] + wire _T_365 = _T_356 | _T_364; // @[exu_div_ctl.scala 155:128] + wire _T_375 = _T_363 & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_376 = _T_146 & _T_375; // @[exu_div_ctl.scala 139:10] + wire _T_377 = _T_365 | _T_376; // @[exu_div_ctl.scala 156:46] + wire _T_380 = q_ff[3] & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_386 = _T_380 & _T_259; // @[exu_div_ctl.scala 139:10] + wire _T_387 = _T_377 | _T_386; // @[exu_div_ctl.scala 156:86] + wire _T_391 = q_ff[3] & _T_227; // @[exu_div_ctl.scala 137:94] + wire _T_399 = _T_274 & m_ff[0]; // @[exu_div_ctl.scala 138:94] + wire _T_400 = _T_391 & _T_399; // @[exu_div_ctl.scala 139:10] + wire _T_401 = _T_387 | _T_400; // @[exu_div_ctl.scala 156:128] + wire _T_408 = _T_158 & m_ff[3]; // @[exu_div_ctl.scala 139:10] + wire _T_411 = _T_408 & _T_39; // @[exu_div_ctl.scala 157:75] + wire _T_412 = _T_401 | _T_411; // @[exu_div_ctl.scala 157:46] + wire _T_421 = m_ff[3] & _T_27; // @[exu_div_ctl.scala 138:94] + wire _T_422 = _T_158 & _T_421; // @[exu_div_ctl.scala 139:10] + wire _T_423 = _T_412 | _T_422; // @[exu_div_ctl.scala 157:86] + wire _T_428 = _T_54 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_433 = _T_428 & _T_421; // @[exu_div_ctl.scala 139:10] + wire _T_434 = _T_423 | _T_433; // @[exu_div_ctl.scala 157:128] + wire _T_440 = _T_97 & q_ff[1]; // @[exu_div_ctl.scala 137:94] + wire _T_445 = _T_440 & _T_186; // @[exu_div_ctl.scala 139:10] + wire _T_446 = _T_434 | _T_445; // @[exu_div_ctl.scala 158:46] + wire _T_451 = _T_146 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_454 = _T_451 & _T_25; // @[exu_div_ctl.scala 139:10] + wire _T_455 = _T_446 | _T_454; // @[exu_div_ctl.scala 158:86] + wire _T_462 = _T_158 & q_ff[0]; // @[exu_div_ctl.scala 137:94] + wire _T_464 = _T_462 & m_ff[3]; // @[exu_div_ctl.scala 139:10] + wire _T_465 = _T_455 | _T_464; // @[exu_div_ctl.scala 158:128] + wire _T_471 = _T_146 & _T_25; // @[exu_div_ctl.scala 139:10] + wire _T_474 = _T_471 & _T_39; // @[exu_div_ctl.scala 159:72] + wire _T_475 = _T_465 | _T_474; // @[exu_div_ctl.scala 159:46] + wire [3:0] smallnum = {_T_30,_T_61,_T_164,_T_475}; // @[Cat.scala 29:58] + reg sign_ff; // @[Reg.scala 27:20] + wire _T_479 = sign_ff & q_ff[31]; // @[exu_div_ctl.scala 168:34] + wire [32:0] short_dividend = {_T_479,q_ff[31:0]}; // @[Cat.scala 29:58] + wire _T_484 = ~short_dividend[32]; // @[exu_div_ctl.scala 173:7] + wire _T_487 = short_dividend[31:24] != 8'h0; // @[exu_div_ctl.scala 173:60] + wire _T_492 = short_dividend[31:23] != 9'h1ff; // @[exu_div_ctl.scala 174:59] + wire _T_493 = _T_484 & _T_487; // @[Mux.scala 27:72] + wire _T_494 = short_dividend[32] & _T_492; // @[Mux.scala 27:72] + wire _T_495 = _T_493 | _T_494; // @[Mux.scala 27:72] + wire _T_502 = short_dividend[23:16] != 8'h0; // @[exu_div_ctl.scala 177:60] + wire _T_507 = short_dividend[22:15] != 8'hff; // @[exu_div_ctl.scala 178:59] + wire _T_508 = _T_484 & _T_502; // @[Mux.scala 27:72] + wire _T_509 = short_dividend[32] & _T_507; // @[Mux.scala 27:72] + wire _T_510 = _T_508 | _T_509; // @[Mux.scala 27:72] + wire _T_517 = short_dividend[15:8] != 8'h0; // @[exu_div_ctl.scala 181:59] + wire _T_522 = short_dividend[14:7] != 8'hff; // @[exu_div_ctl.scala 182:58] + wire _T_523 = _T_484 & _T_517; // @[Mux.scala 27:72] + wire _T_524 = short_dividend[32] & _T_522; // @[Mux.scala 27:72] + wire _T_525 = _T_523 | _T_524; // @[Mux.scala 27:72] + wire [4:0] a_cls = {2'h0,_T_495,_T_510,_T_525}; // @[Cat.scala 29:58] + wire _T_531 = ~m_ff[32]; // @[exu_div_ctl.scala 187:7] + wire _T_534 = m_ff[31:24] != 8'h0; // @[exu_div_ctl.scala 187:40] + wire _T_539 = m_ff[31:24] != 8'hff; // @[exu_div_ctl.scala 188:39] + wire _T_540 = _T_531 & _T_534; // @[Mux.scala 27:72] + wire _T_541 = m_ff[32] & _T_539; // @[Mux.scala 27:72] + wire _T_542 = _T_540 | _T_541; // @[Mux.scala 27:72] + wire _T_549 = m_ff[23:16] != 8'h0; // @[exu_div_ctl.scala 191:40] + wire _T_554 = m_ff[23:16] != 8'hff; // @[exu_div_ctl.scala 192:39] + wire _T_555 = _T_531 & _T_549; // @[Mux.scala 27:72] + wire _T_556 = m_ff[32] & _T_554; // @[Mux.scala 27:72] + wire _T_557 = _T_555 | _T_556; // @[Mux.scala 27:72] + wire _T_564 = m_ff[15:8] != 8'h0; // @[exu_div_ctl.scala 195:39] + wire _T_569 = m_ff[15:8] != 8'hff; // @[exu_div_ctl.scala 196:38] + wire _T_570 = _T_531 & _T_564; // @[Mux.scala 27:72] + wire _T_571 = m_ff[32] & _T_569; // @[Mux.scala 27:72] + wire _T_572 = _T_570 | _T_571; // @[Mux.scala 27:72] + wire [4:0] b_cls = {2'h0,_T_542,_T_557,_T_572}; // @[Cat.scala 29:58] + wire _T_577 = a_cls[2:1] == 2'h1; // @[exu_div_ctl.scala 200:19] + wire _T_580 = _T_577 & b_cls[2]; // @[exu_div_ctl.scala 200:34] + wire _T_582 = a_cls[2:0] == 3'h1; // @[exu_div_ctl.scala 201:21] + wire _T_585 = _T_582 & b_cls[2]; // @[exu_div_ctl.scala 201:36] + wire _T_586 = _T_580 | _T_585; // @[exu_div_ctl.scala 200:65] + wire _T_588 = a_cls[2:0] == 3'h0; // @[exu_div_ctl.scala 202:21] + wire _T_591 = _T_588 & b_cls[2]; // @[exu_div_ctl.scala 202:36] + wire _T_592 = _T_586 | _T_591; // @[exu_div_ctl.scala 201:67] + wire _T_596 = b_cls[2:1] == 2'h1; // @[exu_div_ctl.scala 203:50] + wire _T_597 = _T_582 & _T_596; // @[exu_div_ctl.scala 203:36] + wire _T_598 = _T_592 | _T_597; // @[exu_div_ctl.scala 202:67] + wire _T_603 = _T_588 & _T_596; // @[exu_div_ctl.scala 204:36] + wire _T_604 = _T_598 | _T_603; // @[exu_div_ctl.scala 203:67] + wire _T_608 = b_cls[2:0] == 3'h1; // @[exu_div_ctl.scala 205:50] + wire _T_609 = _T_588 & _T_608; // @[exu_div_ctl.scala 205:36] + wire _T_610 = _T_604 | _T_609; // @[exu_div_ctl.scala 204:67] + wire _T_615 = a_cls[2] & b_cls[2]; // @[exu_div_ctl.scala 207:34] + wire _T_620 = _T_577 & _T_596; // @[exu_div_ctl.scala 208:36] + wire _T_621 = _T_615 | _T_620; // @[exu_div_ctl.scala 207:65] + wire _T_626 = _T_582 & _T_608; // @[exu_div_ctl.scala 209:36] + wire _T_627 = _T_621 | _T_626; // @[exu_div_ctl.scala 208:67] + wire _T_631 = b_cls[2:0] == 3'h0; // @[exu_div_ctl.scala 210:50] + wire _T_632 = _T_588 & _T_631; // @[exu_div_ctl.scala 210:36] + wire _T_633 = _T_627 | _T_632; // @[exu_div_ctl.scala 209:67] + wire _T_638 = a_cls[2] & _T_596; // @[exu_div_ctl.scala 212:34] + wire _T_643 = _T_577 & _T_608; // @[exu_div_ctl.scala 213:36] + wire _T_644 = _T_638 | _T_643; // @[exu_div_ctl.scala 212:65] + wire _T_649 = _T_582 & _T_631; // @[exu_div_ctl.scala 214:36] + wire _T_650 = _T_644 | _T_649; // @[exu_div_ctl.scala 213:67] + wire _T_655 = a_cls[2] & _T_608; // @[exu_div_ctl.scala 216:34] + wire _T_660 = _T_577 & _T_631; // @[exu_div_ctl.scala 217:36] + wire _T_661 = _T_655 | _T_660; // @[exu_div_ctl.scala 216:65] + wire [3:0] shortq_raw = {_T_610,_T_633,_T_650,_T_661}; // @[Cat.scala 29:58] + wire _T_666 = valid_ff_x & _T_7; // @[exu_div_ctl.scala 220:35] + wire _T_667 = shortq_raw != 4'h0; // @[exu_div_ctl.scala 220:78] + wire shortq_enable = _T_666 & _T_667; // @[exu_div_ctl.scala 220:64] + wire [3:0] _T_669 = shortq_enable ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_670 = _T_669 & shortq_raw; // @[exu_div_ctl.scala 221:57] + wire [5:0] shortq_shift = {2'h0,_T_670}; // @[Cat.scala 29:58] + reg [5:0] _T_1521; // @[Reg.scala 27:20] + wire [3:0] shortq_shift_xx = _T_1521[3:0]; // @[exu_div_ctl.scala 277:21] + wire [4:0] _T_679 = shortq_shift_xx[3] ? 5'h1f : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_680 = shortq_shift_xx[2] ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_681 = shortq_shift_xx[1] ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [3:0] _T_682 = shortq_shift_xx[0] ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_683 = _T_679 | _T_680; // @[Mux.scala 27:72] + wire [4:0] _T_684 = _T_683 | _T_681; // @[Mux.scala 27:72] + wire [4:0] _GEN_15 = {{1'd0}, _T_682}; // @[Mux.scala 27:72] + wire [4:0] _T_685 = _T_684 | _GEN_15; // @[Mux.scala 27:72] + wire [5:0] shortq_shift_ff = {1'h0,_T_685}; // @[Cat.scala 29:58] + reg [5:0] count; // @[Reg.scala 27:20] + wire _T_688 = count == 6'h20; // @[exu_div_ctl.scala 230:55] + wire _T_689 = count == 6'h21; // @[exu_div_ctl.scala 230:76] + wire _T_690 = _T_9 ? _T_688 : _T_689; // @[exu_div_ctl.scala 230:39] + wire finish = smallnum_case | _T_690; // @[exu_div_ctl.scala 230:34] + reg run_state; // @[Reg.scala 27:20] + wire _T_691 = io_valid_in | run_state; // @[exu_div_ctl.scala 231:32] + wire _T_692 = _T_691 | finish; // @[exu_div_ctl.scala 231:44] + reg finish_ff; // @[Reg.scala 27:20] + wire div_clken = _T_692 | finish_ff; // @[exu_div_ctl.scala 231:53] + wire _T_694 = ~finish; // @[exu_div_ctl.scala 232:48] + wire _T_695 = _T_691 & _T_694; // @[exu_div_ctl.scala 232:46] + wire run_in = _T_695 & _T; // @[exu_div_ctl.scala 232:56] + wire _T_698 = run_state & _T_694; // @[exu_div_ctl.scala 233:35] + wire _T_700 = _T_698 & _T; // @[exu_div_ctl.scala 233:45] + wire _T_701 = ~shortq_enable; // @[exu_div_ctl.scala 233:60] + wire _T_702 = _T_700 & _T_701; // @[exu_div_ctl.scala 233:58] + wire [5:0] _T_704 = _T_702 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] + wire [5:0] _T_706 = {1'h0,shortq_shift_ff[4:0]}; // @[Cat.scala 29:58] + wire [5:0] _T_708 = count + _T_706; // @[exu_div_ctl.scala 233:86] + wire [5:0] _T_710 = _T_708 + 6'h1; // @[exu_div_ctl.scala 233:118] + wire [5:0] count_in = _T_704 & _T_710; // @[exu_div_ctl.scala 233:77] + wire _T_714 = ~io_signed_in; // @[exu_div_ctl.scala 235:20] + wire _T_715 = io_divisor_in != 32'h0; // @[exu_div_ctl.scala 235:51] + wire sign_eff = _T_714 & _T_715; // @[exu_div_ctl.scala 235:34] + wire _T_716 = ~run_state; // @[exu_div_ctl.scala 238:6] + wire [32:0] _T_718 = {1'h0,io_dividend_in}; // @[Cat.scala 29:58] + reg shortq_enable_ff; // @[Reg.scala 27:20] + wire _T_719 = valid_ff_x | shortq_enable_ff; // @[exu_div_ctl.scala 239:30] + wire _T_720 = run_state & _T_719; // @[exu_div_ctl.scala 239:16] + reg dividend_neg_ff; // @[Reg.scala 27:20] + wire _T_744 = sign_ff & dividend_neg_ff; // @[exu_div_ctl.scala 243:32] + wire _T_929 = |q_ff[30:0]; // @[lib.scala 428:35] + wire _T_931 = ~q_ff[31]; // @[lib.scala 428:40] + wire _T_933 = _T_929 ? _T_931 : q_ff[31]; // @[lib.scala 428:23] + wire _T_923 = |q_ff[29:0]; // @[lib.scala 428:35] + wire _T_925 = ~q_ff[30]; // @[lib.scala 428:40] + wire _T_927 = _T_923 ? _T_925 : q_ff[30]; // @[lib.scala 428:23] + wire _T_917 = |q_ff[28:0]; // @[lib.scala 428:35] + wire _T_919 = ~q_ff[29]; // @[lib.scala 428:40] + wire _T_921 = _T_917 ? _T_919 : q_ff[29]; // @[lib.scala 428:23] + wire _T_911 = |q_ff[27:0]; // @[lib.scala 428:35] + wire _T_913 = ~q_ff[28]; // @[lib.scala 428:40] + wire _T_915 = _T_911 ? _T_913 : q_ff[28]; // @[lib.scala 428:23] + wire _T_905 = |q_ff[26:0]; // @[lib.scala 428:35] + wire _T_907 = ~q_ff[27]; // @[lib.scala 428:40] + wire _T_909 = _T_905 ? _T_907 : q_ff[27]; // @[lib.scala 428:23] + wire _T_899 = |q_ff[25:0]; // @[lib.scala 428:35] + wire _T_901 = ~q_ff[26]; // @[lib.scala 428:40] + wire _T_903 = _T_899 ? _T_901 : q_ff[26]; // @[lib.scala 428:23] + wire _T_893 = |q_ff[24:0]; // @[lib.scala 428:35] + wire _T_895 = ~q_ff[25]; // @[lib.scala 428:40] + wire _T_897 = _T_893 ? _T_895 : q_ff[25]; // @[lib.scala 428:23] + wire _T_887 = |q_ff[23:0]; // @[lib.scala 428:35] + wire _T_889 = ~q_ff[24]; // @[lib.scala 428:40] + wire _T_891 = _T_887 ? _T_889 : q_ff[24]; // @[lib.scala 428:23] + wire _T_881 = |q_ff[22:0]; // @[lib.scala 428:35] + wire _T_883 = ~q_ff[23]; // @[lib.scala 428:40] + wire _T_885 = _T_881 ? _T_883 : q_ff[23]; // @[lib.scala 428:23] + wire _T_875 = |q_ff[21:0]; // @[lib.scala 428:35] + wire _T_877 = ~q_ff[22]; // @[lib.scala 428:40] + wire _T_879 = _T_875 ? _T_877 : q_ff[22]; // @[lib.scala 428:23] + wire _T_869 = |q_ff[20:0]; // @[lib.scala 428:35] + wire _T_871 = ~q_ff[21]; // @[lib.scala 428:40] + wire _T_873 = _T_869 ? _T_871 : q_ff[21]; // @[lib.scala 428:23] + wire _T_863 = |q_ff[19:0]; // @[lib.scala 428:35] + wire _T_865 = ~q_ff[20]; // @[lib.scala 428:40] + wire _T_867 = _T_863 ? _T_865 : q_ff[20]; // @[lib.scala 428:23] + wire _T_857 = |q_ff[18:0]; // @[lib.scala 428:35] + wire _T_859 = ~q_ff[19]; // @[lib.scala 428:40] + wire _T_861 = _T_857 ? _T_859 : q_ff[19]; // @[lib.scala 428:23] + wire _T_851 = |q_ff[17:0]; // @[lib.scala 428:35] + wire _T_853 = ~q_ff[18]; // @[lib.scala 428:40] + wire _T_855 = _T_851 ? _T_853 : q_ff[18]; // @[lib.scala 428:23] + wire _T_845 = |q_ff[16:0]; // @[lib.scala 428:35] + wire _T_847 = ~q_ff[17]; // @[lib.scala 428:40] + wire _T_849 = _T_845 ? _T_847 : q_ff[17]; // @[lib.scala 428:23] + wire _T_839 = |q_ff[15:0]; // @[lib.scala 428:35] + wire _T_841 = ~q_ff[16]; // @[lib.scala 428:40] + wire _T_843 = _T_839 ? _T_841 : q_ff[16]; // @[lib.scala 428:23] + wire [7:0] _T_954 = {_T_885,_T_879,_T_873,_T_867,_T_861,_T_855,_T_849,_T_843}; // @[lib.scala 430:14] + wire _T_833 = |q_ff[14:0]; // @[lib.scala 428:35] + wire _T_835 = ~q_ff[15]; // @[lib.scala 428:40] + wire _T_837 = _T_833 ? _T_835 : q_ff[15]; // @[lib.scala 428:23] + wire _T_827 = |q_ff[13:0]; // @[lib.scala 428:35] + wire _T_829 = ~q_ff[14]; // @[lib.scala 428:40] + wire _T_831 = _T_827 ? _T_829 : q_ff[14]; // @[lib.scala 428:23] + wire _T_821 = |q_ff[12:0]; // @[lib.scala 428:35] + wire _T_823 = ~q_ff[13]; // @[lib.scala 428:40] + wire _T_825 = _T_821 ? _T_823 : q_ff[13]; // @[lib.scala 428:23] + wire _T_815 = |q_ff[11:0]; // @[lib.scala 428:35] + wire _T_817 = ~q_ff[12]; // @[lib.scala 428:40] + wire _T_819 = _T_815 ? _T_817 : q_ff[12]; // @[lib.scala 428:23] + wire _T_809 = |q_ff[10:0]; // @[lib.scala 428:35] + wire _T_811 = ~q_ff[11]; // @[lib.scala 428:40] + wire _T_813 = _T_809 ? _T_811 : q_ff[11]; // @[lib.scala 428:23] + wire _T_803 = |q_ff[9:0]; // @[lib.scala 428:35] + wire _T_805 = ~q_ff[10]; // @[lib.scala 428:40] + wire _T_807 = _T_803 ? _T_805 : q_ff[10]; // @[lib.scala 428:23] + wire _T_797 = |q_ff[8:0]; // @[lib.scala 428:35] + wire _T_799 = ~q_ff[9]; // @[lib.scala 428:40] + wire _T_801 = _T_797 ? _T_799 : q_ff[9]; // @[lib.scala 428:23] + wire _T_791 = |q_ff[7:0]; // @[lib.scala 428:35] + wire _T_793 = ~q_ff[8]; // @[lib.scala 428:40] + wire _T_795 = _T_791 ? _T_793 : q_ff[8]; // @[lib.scala 428:23] + wire _T_785 = |q_ff[6:0]; // @[lib.scala 428:35] + wire _T_787 = ~q_ff[7]; // @[lib.scala 428:40] + wire _T_789 = _T_785 ? _T_787 : q_ff[7]; // @[lib.scala 428:23] + wire _T_779 = |q_ff[5:0]; // @[lib.scala 428:35] + wire _T_781 = ~q_ff[6]; // @[lib.scala 428:40] + wire _T_783 = _T_779 ? _T_781 : q_ff[6]; // @[lib.scala 428:23] + wire _T_773 = |q_ff[4:0]; // @[lib.scala 428:35] + wire _T_775 = ~q_ff[5]; // @[lib.scala 428:40] + wire _T_777 = _T_773 ? _T_775 : q_ff[5]; // @[lib.scala 428:23] + wire _T_767 = |q_ff[3:0]; // @[lib.scala 428:35] + wire _T_769 = ~q_ff[4]; // @[lib.scala 428:40] + wire _T_771 = _T_767 ? _T_769 : q_ff[4]; // @[lib.scala 428:23] + wire _T_761 = |q_ff[2:0]; // @[lib.scala 428:35] + wire _T_763 = ~q_ff[3]; // @[lib.scala 428:40] + wire _T_765 = _T_761 ? _T_763 : q_ff[3]; // @[lib.scala 428:23] + wire _T_755 = |q_ff[1:0]; // @[lib.scala 428:35] + wire _T_757 = ~q_ff[2]; // @[lib.scala 428:40] + wire _T_759 = _T_755 ? _T_757 : q_ff[2]; // @[lib.scala 428:23] + wire _T_749 = |q_ff[0]; // @[lib.scala 428:35] + wire _T_751 = ~q_ff[1]; // @[lib.scala 428:40] + wire _T_753 = _T_749 ? _T_751 : q_ff[1]; // @[lib.scala 428:23] + wire [6:0] _T_939 = {_T_789,_T_783,_T_777,_T_771,_T_765,_T_759,_T_753}; // @[lib.scala 430:14] + wire [14:0] _T_947 = {_T_837,_T_831,_T_825,_T_819,_T_813,_T_807,_T_801,_T_795,_T_939}; // @[lib.scala 430:14] + wire [30:0] _T_963 = {_T_933,_T_927,_T_921,_T_915,_T_909,_T_903,_T_897,_T_891,_T_954,_T_947}; // @[lib.scala 430:14] + wire [31:0] _T_965 = {_T_963,q_ff[0]}; // @[Cat.scala 29:58] + wire [31:0] dividend_eff = _T_744 ? _T_965 : q_ff[31:0]; // @[exu_div_ctl.scala 243:22] + wire [32:0] _T_1001 = run_state ? 33'h1ffffffff : 33'h0; // @[Bitwise.scala 72:12] + wire _T_1013 = _T_689 & rem_ff; // @[exu_div_ctl.scala 257:41] + reg [32:0] a_ff; // @[Reg.scala 27:20] + wire rem_correct = _T_1013 & a_ff[32]; // @[exu_div_ctl.scala 257:50] + wire [32:0] _T_986 = rem_correct ? a_ff : 33'h0; // @[Mux.scala 27:72] + wire _T_975 = ~rem_correct; // @[exu_div_ctl.scala 248:6] + wire _T_976 = ~shortq_enable_ff; // @[exu_div_ctl.scala 248:21] + wire _T_977 = _T_975 & _T_976; // @[exu_div_ctl.scala 248:19] + wire [32:0] _T_981 = {a_ff[31:0],q_ff[32]}; // @[Cat.scala 29:58] + wire [32:0] _T_987 = _T_977 ? _T_981 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_989 = _T_986 | _T_987; // @[Mux.scala 27:72] + wire _T_983 = _T_975 & shortq_enable_ff; // @[exu_div_ctl.scala 249:19] + wire [64:0] _T_971 = {33'h0,dividend_eff}; // @[Cat.scala 29:58] + wire [95:0] _GEN_16 = {{31'd0}, _T_971}; // @[exu_div_ctl.scala 245:47] + wire [95:0] _T_973 = _GEN_16 << shortq_shift_ff[4:0]; // @[exu_div_ctl.scala 245:47] + wire [64:0] a_eff_shift = _T_973[64:0]; // @[exu_div_ctl.scala 245:15] + wire [32:0] _T_988 = _T_983 ? a_eff_shift[64:32] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] a_eff = _T_989 | _T_988; // @[Mux.scala 27:72] + wire [32:0] a_shift = _T_1001 & a_eff; // @[exu_div_ctl.scala 252:33] + wire _T_1010 = a_ff[32] | rem_correct; // @[exu_div_ctl.scala 256:21] + reg divisor_neg_ff; // @[Reg.scala 27:20] + wire m_already_comp = divisor_neg_ff & sign_ff; // @[exu_div_ctl.scala 254:48] + wire add = _T_1010 ^ m_already_comp; // @[exu_div_ctl.scala 256:36] + wire [32:0] _T_969 = ~m_ff; // @[exu_div_ctl.scala 244:35] + wire [32:0] m_eff = add ? m_ff : _T_969; // @[exu_div_ctl.scala 244:15] + wire [32:0] _T_1003 = a_shift + m_eff; // @[exu_div_ctl.scala 253:41] + wire _T_1004 = ~add; // @[exu_div_ctl.scala 253:65] + wire [32:0] _T_1005 = {32'h0,_T_1004}; // @[Cat.scala 29:58] + wire [32:0] _T_1007 = _T_1003 + _T_1005; // @[exu_div_ctl.scala 253:49] + wire [32:0] a_in = _T_1001 & _T_1007; // @[exu_div_ctl.scala 253:30] + wire _T_724 = ~a_in[32]; // @[exu_div_ctl.scala 239:85] + wire [32:0] _T_725 = {dividend_eff,_T_724}; // @[Cat.scala 29:58] + wire [63:0] _GEN_17 = {{31'd0}, _T_725}; // @[exu_div_ctl.scala 239:96] + wire [63:0] _T_727 = _GEN_17 << shortq_shift_ff[4:0]; // @[exu_div_ctl.scala 239:96] + wire _T_729 = ~_T_719; // @[exu_div_ctl.scala 240:18] + wire _T_730 = run_state & _T_729; // @[exu_div_ctl.scala 240:16] + wire [32:0] _T_735 = {q_ff[31:0],_T_724}; // @[Cat.scala 29:58] + wire [32:0] _T_736 = _T_716 ? _T_718 : 33'h0; // @[Mux.scala 27:72] + wire [63:0] _T_737 = _T_720 ? _T_727 : 64'h0; // @[Mux.scala 27:72] + wire [32:0] _T_738 = _T_730 ? _T_735 : 33'h0; // @[Mux.scala 27:72] + wire [63:0] _GEN_18 = {{31'd0}, _T_736}; // @[Mux.scala 27:72] + wire [63:0] _T_739 = _GEN_18 | _T_737; // @[Mux.scala 27:72] + wire [63:0] _GEN_19 = {{31'd0}, _T_738}; // @[Mux.scala 27:72] + wire [63:0] _T_740 = _T_739 | _GEN_19; // @[Mux.scala 27:72] + wire _T_743 = run_state & _T_701; // @[exu_div_ctl.scala 242:48] + wire qff_enable = io_valid_in | _T_743; // @[exu_div_ctl.scala 242:35] + wire _T_994 = count != 6'h21; // @[exu_div_ctl.scala 251:73] + wire _T_995 = _T_743 & _T_994; // @[exu_div_ctl.scala 251:64] + wire _T_996 = io_valid_in | _T_995; // @[exu_div_ctl.scala 251:34] + wire aff_enable = _T_996 | rem_correct; // @[exu_div_ctl.scala 251:89] + wire _T_1016 = dividend_neg_ff ^ divisor_neg_ff; // @[exu_div_ctl.scala 258:50] + wire _T_1017 = sign_ff & _T_1016; // @[exu_div_ctl.scala 258:31] + wire [31:0] q_ff_eff = _T_1017 ? _T_965 : q_ff[31:0]; // @[exu_div_ctl.scala 258:21] + wire _T_1245 = |a_ff[0]; // @[lib.scala 428:35] + wire _T_1247 = ~a_ff[1]; // @[lib.scala 428:40] + wire _T_1249 = _T_1245 ? _T_1247 : a_ff[1]; // @[lib.scala 428:23] + wire _T_1251 = |a_ff[1:0]; // @[lib.scala 428:35] + wire _T_1253 = ~a_ff[2]; // @[lib.scala 428:40] + wire _T_1255 = _T_1251 ? _T_1253 : a_ff[2]; // @[lib.scala 428:23] + wire _T_1257 = |a_ff[2:0]; // @[lib.scala 428:35] + wire _T_1259 = ~a_ff[3]; // @[lib.scala 428:40] + wire _T_1261 = _T_1257 ? _T_1259 : a_ff[3]; // @[lib.scala 428:23] + wire _T_1263 = |a_ff[3:0]; // @[lib.scala 428:35] + wire _T_1265 = ~a_ff[4]; // @[lib.scala 428:40] + wire _T_1267 = _T_1263 ? _T_1265 : a_ff[4]; // @[lib.scala 428:23] + wire _T_1269 = |a_ff[4:0]; // @[lib.scala 428:35] + wire _T_1271 = ~a_ff[5]; // @[lib.scala 428:40] + wire _T_1273 = _T_1269 ? _T_1271 : a_ff[5]; // @[lib.scala 428:23] + wire _T_1275 = |a_ff[5:0]; // @[lib.scala 428:35] + wire _T_1277 = ~a_ff[6]; // @[lib.scala 428:40] + wire _T_1279 = _T_1275 ? _T_1277 : a_ff[6]; // @[lib.scala 428:23] + wire _T_1281 = |a_ff[6:0]; // @[lib.scala 428:35] + wire _T_1283 = ~a_ff[7]; // @[lib.scala 428:40] + wire _T_1285 = _T_1281 ? _T_1283 : a_ff[7]; // @[lib.scala 428:23] + wire _T_1287 = |a_ff[7:0]; // @[lib.scala 428:35] + wire _T_1289 = ~a_ff[8]; // @[lib.scala 428:40] + wire _T_1291 = _T_1287 ? _T_1289 : a_ff[8]; // @[lib.scala 428:23] + wire _T_1293 = |a_ff[8:0]; // @[lib.scala 428:35] + wire _T_1295 = ~a_ff[9]; // @[lib.scala 428:40] + wire _T_1297 = _T_1293 ? _T_1295 : a_ff[9]; // @[lib.scala 428:23] + wire _T_1299 = |a_ff[9:0]; // @[lib.scala 428:35] + wire _T_1301 = ~a_ff[10]; // @[lib.scala 428:40] + wire _T_1303 = _T_1299 ? _T_1301 : a_ff[10]; // @[lib.scala 428:23] + wire _T_1305 = |a_ff[10:0]; // @[lib.scala 428:35] + wire _T_1307 = ~a_ff[11]; // @[lib.scala 428:40] + wire _T_1309 = _T_1305 ? _T_1307 : a_ff[11]; // @[lib.scala 428:23] + wire _T_1311 = |a_ff[11:0]; // @[lib.scala 428:35] + wire _T_1313 = ~a_ff[12]; // @[lib.scala 428:40] + wire _T_1315 = _T_1311 ? _T_1313 : a_ff[12]; // @[lib.scala 428:23] + wire _T_1317 = |a_ff[12:0]; // @[lib.scala 428:35] + wire _T_1319 = ~a_ff[13]; // @[lib.scala 428:40] + wire _T_1321 = _T_1317 ? _T_1319 : a_ff[13]; // @[lib.scala 428:23] + wire _T_1323 = |a_ff[13:0]; // @[lib.scala 428:35] + wire _T_1325 = ~a_ff[14]; // @[lib.scala 428:40] + wire _T_1327 = _T_1323 ? _T_1325 : a_ff[14]; // @[lib.scala 428:23] + wire _T_1329 = |a_ff[14:0]; // @[lib.scala 428:35] + wire _T_1331 = ~a_ff[15]; // @[lib.scala 428:40] + wire _T_1333 = _T_1329 ? _T_1331 : a_ff[15]; // @[lib.scala 428:23] + wire _T_1335 = |a_ff[15:0]; // @[lib.scala 428:35] + wire _T_1337 = ~a_ff[16]; // @[lib.scala 428:40] + wire _T_1339 = _T_1335 ? _T_1337 : a_ff[16]; // @[lib.scala 428:23] + wire _T_1341 = |a_ff[16:0]; // @[lib.scala 428:35] + wire _T_1343 = ~a_ff[17]; // @[lib.scala 428:40] + wire _T_1345 = _T_1341 ? _T_1343 : a_ff[17]; // @[lib.scala 428:23] + wire _T_1347 = |a_ff[17:0]; // @[lib.scala 428:35] + wire _T_1349 = ~a_ff[18]; // @[lib.scala 428:40] + wire _T_1351 = _T_1347 ? _T_1349 : a_ff[18]; // @[lib.scala 428:23] + wire _T_1353 = |a_ff[18:0]; // @[lib.scala 428:35] + wire _T_1355 = ~a_ff[19]; // @[lib.scala 428:40] + wire _T_1357 = _T_1353 ? _T_1355 : a_ff[19]; // @[lib.scala 428:23] + wire _T_1359 = |a_ff[19:0]; // @[lib.scala 428:35] + wire _T_1361 = ~a_ff[20]; // @[lib.scala 428:40] + wire _T_1363 = _T_1359 ? _T_1361 : a_ff[20]; // @[lib.scala 428:23] + wire _T_1365 = |a_ff[20:0]; // @[lib.scala 428:35] + wire _T_1367 = ~a_ff[21]; // @[lib.scala 428:40] + wire _T_1369 = _T_1365 ? _T_1367 : a_ff[21]; // @[lib.scala 428:23] + wire _T_1371 = |a_ff[21:0]; // @[lib.scala 428:35] + wire _T_1373 = ~a_ff[22]; // @[lib.scala 428:40] + wire _T_1375 = _T_1371 ? _T_1373 : a_ff[22]; // @[lib.scala 428:23] + wire _T_1377 = |a_ff[22:0]; // @[lib.scala 428:35] + wire _T_1379 = ~a_ff[23]; // @[lib.scala 428:40] + wire _T_1381 = _T_1377 ? _T_1379 : a_ff[23]; // @[lib.scala 428:23] + wire _T_1383 = |a_ff[23:0]; // @[lib.scala 428:35] + wire _T_1385 = ~a_ff[24]; // @[lib.scala 428:40] + wire _T_1387 = _T_1383 ? _T_1385 : a_ff[24]; // @[lib.scala 428:23] + wire _T_1389 = |a_ff[24:0]; // @[lib.scala 428:35] + wire _T_1391 = ~a_ff[25]; // @[lib.scala 428:40] + wire _T_1393 = _T_1389 ? _T_1391 : a_ff[25]; // @[lib.scala 428:23] + wire _T_1395 = |a_ff[25:0]; // @[lib.scala 428:35] + wire _T_1397 = ~a_ff[26]; // @[lib.scala 428:40] + wire _T_1399 = _T_1395 ? _T_1397 : a_ff[26]; // @[lib.scala 428:23] + wire _T_1401 = |a_ff[26:0]; // @[lib.scala 428:35] + wire _T_1403 = ~a_ff[27]; // @[lib.scala 428:40] + wire _T_1405 = _T_1401 ? _T_1403 : a_ff[27]; // @[lib.scala 428:23] + wire _T_1407 = |a_ff[27:0]; // @[lib.scala 428:35] + wire _T_1409 = ~a_ff[28]; // @[lib.scala 428:40] + wire _T_1411 = _T_1407 ? _T_1409 : a_ff[28]; // @[lib.scala 428:23] + wire _T_1413 = |a_ff[28:0]; // @[lib.scala 428:35] + wire _T_1415 = ~a_ff[29]; // @[lib.scala 428:40] + wire _T_1417 = _T_1413 ? _T_1415 : a_ff[29]; // @[lib.scala 428:23] + wire _T_1419 = |a_ff[29:0]; // @[lib.scala 428:35] + wire _T_1421 = ~a_ff[30]; // @[lib.scala 428:40] + wire _T_1423 = _T_1419 ? _T_1421 : a_ff[30]; // @[lib.scala 428:23] + wire _T_1425 = |a_ff[30:0]; // @[lib.scala 428:35] + wire _T_1427 = ~a_ff[31]; // @[lib.scala 428:40] + wire _T_1429 = _T_1425 ? _T_1427 : a_ff[31]; // @[lib.scala 428:23] + wire [6:0] _T_1435 = {_T_1285,_T_1279,_T_1273,_T_1267,_T_1261,_T_1255,_T_1249}; // @[lib.scala 430:14] + wire [14:0] _T_1443 = {_T_1333,_T_1327,_T_1321,_T_1315,_T_1309,_T_1303,_T_1297,_T_1291,_T_1435}; // @[lib.scala 430:14] + wire [7:0] _T_1450 = {_T_1381,_T_1375,_T_1369,_T_1363,_T_1357,_T_1351,_T_1345,_T_1339}; // @[lib.scala 430:14] + wire [30:0] _T_1459 = {_T_1429,_T_1423,_T_1417,_T_1411,_T_1405,_T_1399,_T_1393,_T_1387,_T_1450,_T_1443}; // @[lib.scala 430:14] + wire [31:0] _T_1461 = {_T_1459,a_ff[0]}; // @[Cat.scala 29:58] + wire [31:0] a_ff_eff = _T_744 ? _T_1461 : a_ff[31:0]; // @[exu_div_ctl.scala 259:21] + reg smallnum_case_ff; // @[Reg.scala 27:20] + reg [3:0] smallnum_ff; // @[Reg.scala 27:20] + wire [31:0] _T_1464 = {28'h0,smallnum_ff}; // @[Cat.scala 29:58] + wire _T_1466 = ~smallnum_case_ff; // @[exu_div_ctl.scala 264:6] + wire _T_1468 = _T_1466 & _T_9; // @[exu_div_ctl.scala 264:24] + wire [31:0] _T_1470 = smallnum_case_ff ? _T_1464 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1471 = rem_ff ? a_ff_eff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1472 = _T_1468 ? q_ff_eff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1473 = _T_1470 | _T_1471; // @[Mux.scala 27:72] + wire _T_1477 = io_valid_in & _T; // @[exu_div_ctl.scala 266:38] + wire _T_1481 = finish & _T; // @[exu_div_ctl.scala 267:32] + wire _T_1489 = io_valid_in & io_dividend_in[31]; // @[exu_div_ctl.scala 270:44] + wire _T_1490 = ~io_valid_in; // @[exu_div_ctl.scala 270:69] + wire _T_1491 = _T_1490 & dividend_neg_ff; // @[exu_div_ctl.scala 270:82] + wire _T_1492 = _T_1489 | _T_1491; // @[exu_div_ctl.scala 270:66] + wire _T_1496 = io_valid_in & io_divisor_in[31]; // @[exu_div_ctl.scala 271:43] + wire _T_1498 = _T_1490 & divisor_neg_ff; // @[exu_div_ctl.scala 271:80] + wire _T_1499 = _T_1496 | _T_1498; // @[exu_div_ctl.scala 271:64] + wire _T_1502 = io_valid_in & sign_eff; // @[exu_div_ctl.scala 272:36] + wire _T_1504 = _T_1490 & sign_ff; // @[exu_div_ctl.scala 272:64] + wire _T_1505 = _T_1502 | _T_1504; // @[exu_div_ctl.scala 272:48] + wire _T_1508 = io_valid_in & io_rem_in; // @[exu_div_ctl.scala 273:37] + wire _T_1510 = _T_1490 & rem_ff; // @[exu_div_ctl.scala 273:66] + wire _T_1511 = _T_1508 | _T_1510; // @[exu_div_ctl.scala 273:50] + wire [32:0] q_in = _T_740[32:0]; // @[exu_div_ctl.scala 237:8] + wire _T_1527 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 281:35] + wire [32:0] _T_1529 = {_T_1527,io_divisor_in}; // @[Cat.scala 29:58] + rvclkhdr rvclkhdr ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en) + ); + rvclkhdr rvclkhdr_12 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_12_io_clk), + .io_en(rvclkhdr_12_io_en) + ); + rvclkhdr rvclkhdr_13 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_13_io_clk), + .io_en(rvclkhdr_13_io_en) + ); + rvclkhdr rvclkhdr_14 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_14_io_clk), + .io_en(rvclkhdr_14_io_en) + ); + assign io_data_out = _T_1473 | _T_1472; // @[exu_div_ctl.scala 261:15] + assign io_valid_out = finish_ff & _T; // @[exu_div_ctl.scala 234:17] + assign rvclkhdr_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_1_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_2_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_3_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_4_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_5_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_6_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_7_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_8_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_9_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_10_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_11_io_en = _T_692 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_12_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_12_io_en = io_valid_in | _T_743; // @[lib.scala 393:17] + assign rvclkhdr_13_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_13_io_en = _T_996 | rem_correct; // @[lib.scala 393:17] + assign rvclkhdr_14_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_14_io_en = io_valid_in; // @[lib.scala 393:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + valid_ff_x = _RAND_0[0:0]; + _RAND_1 = {2{`RANDOM}}; + q_ff = _RAND_1[32:0]; + _RAND_2 = {2{`RANDOM}}; + m_ff = _RAND_2[32:0]; + _RAND_3 = {1{`RANDOM}}; + rem_ff = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + sign_ff = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_1521 = _RAND_5[5:0]; + _RAND_6 = {1{`RANDOM}}; + count = _RAND_6[5:0]; + _RAND_7 = {1{`RANDOM}}; + run_state = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + finish_ff = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + shortq_enable_ff = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + dividend_neg_ff = _RAND_10[0:0]; + _RAND_11 = {2{`RANDOM}}; + a_ff = _RAND_11[32:0]; + _RAND_12 = {1{`RANDOM}}; + divisor_neg_ff = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + smallnum_case_ff = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + smallnum_ff = _RAND_14[3:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + valid_ff_x = 1'h0; + end + if (reset) begin + q_ff = 33'h0; + end + if (reset) begin + m_ff = 33'h0; + end + if (reset) begin + rem_ff = 1'h0; + end + if (reset) begin + sign_ff = 1'h0; + end + if (reset) begin + _T_1521 = 6'h0; + end + if (reset) begin + count = 6'h0; + end + if (reset) begin + run_state = 1'h0; + end + if (reset) begin + finish_ff = 1'h0; + end + if (reset) begin + shortq_enable_ff = 1'h0; + end + if (reset) begin + dividend_neg_ff = 1'h0; + end + if (reset) begin + a_ff = 33'h0; + end + if (reset) begin + divisor_neg_ff = 1'h0; + end + if (reset) begin + smallnum_case_ff = 1'h0; + end + if (reset) begin + smallnum_ff = 4'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + valid_ff_x <= 1'h0; + end else if (div_clken) begin + valid_ff_x <= _T_1477; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + q_ff <= 33'h0; + end else if (qff_enable) begin + q_ff <= q_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + m_ff <= 33'h0; + end else if (io_valid_in) begin + m_ff <= _T_1529; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + rem_ff <= 1'h0; + end else if (div_clken) begin + rem_ff <= _T_1511; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + sign_ff <= 1'h0; + end else if (div_clken) begin + sign_ff <= _T_1505; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_1521 <= 6'h0; + end else if (div_clken) begin + _T_1521 <= shortq_shift; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + count <= 6'h0; + end else if (div_clken) begin + count <= count_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + run_state <= 1'h0; + end else if (div_clken) begin + run_state <= run_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + finish_ff <= 1'h0; + end else if (div_clken) begin + finish_ff <= _T_1481; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_enable_ff <= 1'h0; + end else if (div_clken) begin + shortq_enable_ff <= shortq_enable; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + dividend_neg_ff <= 1'h0; + end else if (div_clken) begin + dividend_neg_ff <= _T_1492; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + a_ff <= 33'h0; + end else if (aff_enable) begin + a_ff <= a_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + divisor_neg_ff <= 1'h0; + end else if (div_clken) begin + divisor_neg_ff <= _T_1499; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + smallnum_case_ff <= 1'h0; + end else if (div_clken) begin + smallnum_case_ff <= smallnum_case; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + smallnum_ff <= 4'h0; + end else if (div_clken) begin + smallnum_ff <= smallnum; + end + end +endmodule +module exu_div_ctl( + input clock, + input reset, + input io_scan_mode, + input [31:0] io_dividend, + input [31:0] io_divisor, + output [31:0] io_exu_div_result, + output io_exu_div_wren, + input io_dec_div_div_p_valid, + input io_dec_div_div_p_bits_unsign, + input io_dec_div_div_p_bits_rem, + input io_dec_div_dec_div_cancel +); + wire divider_old_clock; // @[exu_div_ctl.scala 23:27] + wire divider_old_reset; // @[exu_div_ctl.scala 23:27] + wire divider_old_io_cancel; // @[exu_div_ctl.scala 23:27] + wire divider_old_io_valid_in; // @[exu_div_ctl.scala 23:27] + wire divider_old_io_signed_in; // @[exu_div_ctl.scala 23:27] + wire divider_old_io_rem_in; // @[exu_div_ctl.scala 23:27] + wire [31:0] divider_old_io_dividend_in; // @[exu_div_ctl.scala 23:27] + wire [31:0] divider_old_io_divisor_in; // @[exu_div_ctl.scala 23:27] + wire [31:0] divider_old_io_data_out; // @[exu_div_ctl.scala 23:27] + wire divider_old_io_valid_out; // @[exu_div_ctl.scala 23:27] + wire [31:0] _T_1 = io_exu_div_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] out_raw = divider_old_io_data_out; // @[exu_div_ctl.scala 31:27] + el2_exu_div_existing_1bit_cheapshortq divider_old ( // @[exu_div_ctl.scala 23:27] + .clock(divider_old_clock), + .reset(divider_old_reset), + .io_cancel(divider_old_io_cancel), + .io_valid_in(divider_old_io_valid_in), + .io_signed_in(divider_old_io_signed_in), + .io_rem_in(divider_old_io_rem_in), + .io_dividend_in(divider_old_io_dividend_in), + .io_divisor_in(divider_old_io_divisor_in), + .io_data_out(divider_old_io_data_out), + .io_valid_out(divider_old_io_valid_out) + ); + assign io_exu_div_result = _T_1 & out_raw; // @[exu_div_ctl.scala 21:21] + assign io_exu_div_wren = divider_old_io_valid_out; // @[exu_div_ctl.scala 32:27] + assign divider_old_clock = clock; + assign divider_old_reset = reset; + assign divider_old_io_cancel = io_dec_div_dec_div_cancel; // @[exu_div_ctl.scala 25:31] + assign divider_old_io_valid_in = io_dec_div_div_p_valid; // @[exu_div_ctl.scala 26:31] + assign divider_old_io_signed_in = ~io_dec_div_div_p_bits_unsign; // @[exu_div_ctl.scala 27:31] + assign divider_old_io_rem_in = io_dec_div_div_p_bits_rem; // @[exu_div_ctl.scala 28:31] + assign divider_old_io_dividend_in = io_dividend; // @[exu_div_ctl.scala 29:31] + assign divider_old_io_divisor_in = io_divisor; // @[exu_div_ctl.scala 30:31] +endmodule diff --git a/exu_div_new_1bit_fullshortq.anno.json b/exu_div_new_1bit_fullshortq.anno.json new file mode 100644 index 00000000..4c529f2b --- /dev/null +++ b/exu_div_new_1bit_fullshortq.anno.json @@ -0,0 +1,30 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_div_new_1bit_fullshortq|exu_div_new_1bit_fullshortq>io_valid_out", + "sources":[ + "~exu_div_new_1bit_fullshortq|exu_div_new_1bit_fullshortq>io_cancel" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"exu_div_new_1bit_fullshortq.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"exu_div_new_1bit_fullshortq" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/exu_div_new_1bit_fullshortq.fir b/exu_div_new_1bit_fullshortq.fir new file mode 100644 index 00000000..ce22ecfe --- /dev/null +++ b/exu_div_new_1bit_fullshortq.fir @@ -0,0 +1,2110 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit exu_div_new_1bit_fullshortq : + module exu_div_cls : + input clock : Clock + input reset : Reset + output io : {flip operand : UInt<33>, cls : UInt<5>} + + wire cls_zeros : UInt<5> + cls_zeros <= UInt<5>("h00") + wire cls_ones : UInt<5> + cls_ones <= UInt<5>("h00") + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 510:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 510:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 510:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 510:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 510:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 510:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 510:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 510:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 510:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 510:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 510:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 510:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 510:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 510:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 510:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 510:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 510:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 510:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 510:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 510:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 510:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 510:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 510:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 510:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 510:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 510:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 510:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 510:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 510:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 510:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 510:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 510:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72] + node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72] + node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72] + node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72] + node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72] + node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72] + node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72] + node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72] + node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72] + node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72] + node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72] + node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72] + node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72] + node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72] + node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72] + node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72] + node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72] + node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72] + node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72] + node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72] + node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72] + node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72] + node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72] + node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72] + node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72] + node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72] + node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72] + node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72] + node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72] + node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72] + node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] + wire _T_127 : UInt<5> @[Mux.scala 27:72] + _T_127 <= _T_126 @[Mux.scala 27:72] + cls_zeros <= _T_127 @[exu_div_ctl.scala 510:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 512:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 512:25] + when _T_129 : @[exu_div_ctl.scala 512:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 512:55] + skip @[exu_div_ctl.scala 512:44] + else : @[exu_div_ctl.scala 513:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 513:66] + node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 513:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 513:66] + node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 513:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 513:66] + node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 513:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 513:66] + node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 513:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 513:66] + node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 513:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 513:66] + node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 513:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 513:66] + node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 513:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 513:66] + node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 513:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 513:66] + node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 513:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 513:66] + node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 513:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 513:66] + node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 513:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 513:66] + node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 513:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 513:66] + node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 513:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 513:66] + node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 513:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 513:66] + node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 513:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 513:66] + node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 513:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 513:66] + node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 513:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 513:66] + node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 513:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 513:66] + node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 513:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 513:66] + node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 513:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 513:66] + node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 513:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 513:66] + node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 513:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 513:66] + node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 513:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 513:66] + node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 513:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 513:66] + node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 513:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 513:66] + node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 513:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 513:66] + node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 513:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 513:66] + node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 513:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 513:66] + node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 513:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 513:66] + node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 513:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 513:66] + node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 513:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_287 = mux(_T_148, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_153, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = mux(_T_158, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_290 = mux(_T_163, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_291 = mux(_T_168, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_292 = mux(_T_173, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_293 = mux(_T_178, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_294 = mux(_T_183, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_295 = mux(_T_188, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_296 = mux(_T_193, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_297 = mux(_T_198, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_298 = mux(_T_203, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_299 = mux(_T_208, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_300 = mux(_T_213, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_301 = mux(_T_218, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_302 = mux(_T_223, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_303 = mux(_T_228, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_304 = mux(_T_233, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_305 = mux(_T_238, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_306 = mux(_T_243, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_307 = mux(_T_248, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_308 = mux(_T_253, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_309 = mux(_T_258, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_310 = mux(_T_263, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_268, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_273, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_278, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = mux(_T_283, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_315 = or(_T_284, _T_285) @[Mux.scala 27:72] + node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72] + node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72] + node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72] + node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72] + node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72] + node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72] + node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72] + node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72] + node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72] + node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72] + node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72] + node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72] + node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72] + node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72] + node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72] + node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72] + node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72] + node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72] + node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72] + node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72] + node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72] + node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72] + node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] + wire _T_345 : UInt<5> @[Mux.scala 27:72] + _T_345 <= _T_344 @[Mux.scala 27:72] + cls_ones <= _T_345 @[exu_div_ctl.scala 513:25] + skip @[exu_div_ctl.scala 513:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 514:27] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 514:16] + io.cls <= _T_347 @[exu_div_ctl.scala 514:10] + + module exu_div_cls_1 : + input clock : Clock + input reset : Reset + output io : {flip operand : UInt<33>, cls : UInt<5>} + + wire cls_zeros : UInt<5> + cls_zeros <= UInt<5>("h00") + wire cls_ones : UInt<5> + cls_ones <= UInt<5>("h00") + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 510:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 510:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 510:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 510:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 510:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 510:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 510:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 510:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 510:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 510:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 510:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 510:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 510:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 510:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 510:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 510:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 510:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 510:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 510:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 510:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 510:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 510:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 510:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 510:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 510:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 510:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 510:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 510:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 510:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 510:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 510:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 510:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 510:63] + node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72] + node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72] + node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72] + node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72] + node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72] + node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72] + node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72] + node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72] + node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72] + node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72] + node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72] + node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72] + node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72] + node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72] + node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72] + node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72] + node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72] + node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72] + node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72] + node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72] + node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72] + node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72] + node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72] + node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72] + node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72] + node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72] + node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72] + node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72] + node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72] + node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72] + node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] + wire _T_127 : UInt<5> @[Mux.scala 27:72] + _T_127 <= _T_126 @[Mux.scala 27:72] + cls_zeros <= _T_127 @[exu_div_ctl.scala 510:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 512:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 512:25] + when _T_129 : @[exu_div_ctl.scala 512:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 512:55] + skip @[exu_div_ctl.scala 512:44] + else : @[exu_div_ctl.scala 513:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 513:66] + node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 513:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 513:66] + node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 513:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 513:66] + node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 513:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 513:66] + node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 513:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 513:66] + node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 513:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 513:66] + node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 513:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 513:66] + node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 513:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 513:66] + node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 513:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 513:66] + node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 513:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 513:66] + node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 513:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 513:66] + node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 513:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 513:66] + node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 513:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 513:66] + node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 513:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 513:66] + node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 513:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 513:66] + node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 513:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 513:66] + node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 513:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 513:66] + node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 513:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 513:66] + node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 513:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 513:66] + node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 513:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 513:66] + node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 513:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 513:66] + node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 513:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 513:66] + node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 513:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 513:66] + node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 513:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 513:66] + node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 513:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 513:66] + node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 513:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 513:66] + node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 513:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 513:66] + node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 513:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 513:66] + node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 513:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 513:66] + node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 513:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 513:66] + node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 513:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 513:66] + node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 513:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 513:102] + node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_287 = mux(_T_148, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_153, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = mux(_T_158, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_290 = mux(_T_163, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_291 = mux(_T_168, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_292 = mux(_T_173, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_293 = mux(_T_178, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_294 = mux(_T_183, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_295 = mux(_T_188, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_296 = mux(_T_193, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_297 = mux(_T_198, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_298 = mux(_T_203, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_299 = mux(_T_208, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_300 = mux(_T_213, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_301 = mux(_T_218, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_302 = mux(_T_223, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_303 = mux(_T_228, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_304 = mux(_T_233, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_305 = mux(_T_238, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_306 = mux(_T_243, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_307 = mux(_T_248, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_308 = mux(_T_253, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_309 = mux(_T_258, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_310 = mux(_T_263, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_268, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_273, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_278, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = mux(_T_283, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_315 = or(_T_284, _T_285) @[Mux.scala 27:72] + node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72] + node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72] + node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72] + node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72] + node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72] + node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72] + node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72] + node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72] + node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72] + node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72] + node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72] + node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72] + node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72] + node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72] + node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72] + node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72] + node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72] + node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72] + node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72] + node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72] + node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72] + node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72] + node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] + wire _T_345 : UInt<5> @[Mux.scala 27:72] + _T_345 <= _T_344 @[Mux.scala 27:72] + cls_ones <= _T_345 @[exu_div_ctl.scala 513:25] + skip @[exu_div_ctl.scala 513:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 514:27] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 514:16] + io.cls <= _T_347 @[exu_div_ctl.scala 514:10] + + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_div_new_1bit_fullshortq : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip cancel : UInt<1>, flip valid_in : UInt<1>, flip signed_in : UInt<1>, flip rem_in : UInt<1>, flip dividend_in : UInt<32>, flip divisor_in : UInt<32>, data_out : UInt<32>, valid_out : UInt<1>} + + wire valid_ff : UInt<1> + valid_ff <= UInt<1>("h00") + wire finish_ff : UInt<1> + finish_ff <= UInt<1>("h00") + wire control_ff : UInt<3> + control_ff <= UInt<3>("h00") + wire count_ff : UInt<7> + count_ff <= UInt<7>("h00") + wire smallnum : UInt<4> + smallnum <= UInt<4>("h00") + wire a_ff : UInt<32> + a_ff <= UInt<32>("h00") + wire b_ff : UInt<33> + b_ff <= UInt<33>("h00") + wire q_ff : UInt<32> + q_ff <= UInt<32>("h00") + wire r_ff : UInt<32> + r_ff <= UInt<32>("h00") + wire quotient_set : UInt<1> + quotient_set <= UInt<1>("h00") + wire shortq_enable : UInt<1> + shortq_enable <= UInt<1>("h00") + wire shortq_enable_ff : UInt<1> + shortq_enable_ff <= UInt<1>("h00") + wire by_zero_case_ff : UInt<1> + by_zero_case_ff <= UInt<1>("h00") + wire adder_out : UInt<33> + adder_out <= UInt<33>("h00") + wire ar_shifted : UInt<64> + ar_shifted <= UInt<64>("h00") + wire shortq_shift_ff : UInt<5> + shortq_shift_ff <= UInt<5>("h00") + node dividend_sign_ff = bits(control_ff, 2, 2) @[exu_div_ctl.scala 343:40] + node divisor_sign_ff = bits(control_ff, 1, 1) @[exu_div_ctl.scala 344:40] + node rem_ff = bits(control_ff, 0, 0) @[exu_div_ctl.scala 345:40] + node _T = bits(b_ff, 31, 0) @[exu_div_ctl.scala 346:47] + node _T_1 = eq(_T, UInt<1>("h00")) @[exu_div_ctl.scala 346:54] + node by_zero_case = and(valid_ff, _T_1) @[exu_div_ctl.scala 346:40] + node _T_2 = bits(a_ff, 31, 4) @[exu_div_ctl.scala 347:30] + node _T_3 = eq(_T_2, UInt<1>("h00")) @[exu_div_ctl.scala 347:37] + node _T_4 = bits(b_ff, 31, 4) @[exu_div_ctl.scala 347:53] + node _T_5 = eq(_T_4, UInt<1>("h00")) @[exu_div_ctl.scala 347:60] + node _T_6 = and(_T_3, _T_5) @[exu_div_ctl.scala 347:46] + node _T_7 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 347:71] + node _T_8 = and(_T_6, _T_7) @[exu_div_ctl.scala 347:69] + node _T_9 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 347:87] + node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 347:85] + node _T_11 = and(_T_10, valid_ff) @[exu_div_ctl.scala 347:95] + node _T_12 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 347:108] + node _T_13 = and(_T_11, _T_12) @[exu_div_ctl.scala 347:106] + node _T_14 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 348:11] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[exu_div_ctl.scala 348:18] + node _T_16 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 348:29] + node _T_17 = and(_T_15, _T_16) @[exu_div_ctl.scala 348:27] + node _T_18 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 348:45] + node _T_19 = and(_T_17, _T_18) @[exu_div_ctl.scala 348:43] + node _T_20 = and(_T_19, valid_ff) @[exu_div_ctl.scala 348:53] + node _T_21 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 348:66] + node _T_22 = and(_T_20, _T_21) @[exu_div_ctl.scala 348:64] + node smallnum_case = or(_T_13, _T_22) @[exu_div_ctl.scala 347:120] + node _T_23 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 349:45] + node valid_ff_in = and(io.valid_in, _T_23) @[exu_div_ctl.scala 349:43] + node _T_24 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 350:35] + node _T_25 = bits(control_ff, 2, 2) @[exu_div_ctl.scala 350:60] + node _T_26 = and(_T_24, _T_25) @[exu_div_ctl.scala 350:48] + node _T_27 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 350:80] + node _T_28 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 350:112] + node _T_29 = and(_T_27, _T_28) @[exu_div_ctl.scala 350:96] + node _T_30 = or(_T_26, _T_29) @[exu_div_ctl.scala 350:65] + node _T_31 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 350:120] + node _T_32 = bits(control_ff, 1, 1) @[exu_div_ctl.scala 350:145] + node _T_33 = and(_T_31, _T_32) @[exu_div_ctl.scala 350:133] + node _T_34 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 350:165] + node _T_35 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 350:197] + node _T_36 = and(_T_34, _T_35) @[exu_div_ctl.scala 350:181] + node _T_37 = or(_T_33, _T_36) @[exu_div_ctl.scala 350:150] + node _T_38 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 350:205] + node _T_39 = bits(control_ff, 0, 0) @[exu_div_ctl.scala 350:230] + node _T_40 = and(_T_38, _T_39) @[exu_div_ctl.scala 350:218] + node _T_41 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 350:250] + node _T_42 = or(_T_40, _T_41) @[exu_div_ctl.scala 350:235] + node _T_43 = cat(_T_30, _T_37) @[Cat.scala 29:58] + node control_in = cat(_T_43, _T_42) @[Cat.scala 29:58] + node _T_44 = orr(count_ff) @[exu_div_ctl.scala 351:42] + node running_state = or(_T_44, shortq_enable_ff) @[exu_div_ctl.scala 351:45] + node _T_45 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 352:43] + node _T_46 = or(_T_45, io.cancel) @[exu_div_ctl.scala 352:54] + node _T_47 = or(_T_46, running_state) @[exu_div_ctl.scala 352:66] + node misc_enable = or(_T_47, finish_ff) @[exu_div_ctl.scala 352:82] + node _T_48 = or(smallnum_case, by_zero_case) @[exu_div_ctl.scala 353:45] + node _T_49 = eq(count_ff, UInt<6>("h020")) @[exu_div_ctl.scala 353:72] + node finish_raw = or(_T_48, _T_49) @[exu_div_ctl.scala 353:60] + node _T_50 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 354:43] + node finish = and(finish_raw, _T_50) @[exu_div_ctl.scala 354:41] + node _T_51 = or(valid_ff, running_state) @[exu_div_ctl.scala 355:40] + node _T_52 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 355:59] + node _T_53 = and(_T_51, _T_52) @[exu_div_ctl.scala 355:57] + node _T_54 = eq(finish_ff, UInt<1>("h00")) @[exu_div_ctl.scala 355:69] + node _T_55 = and(_T_53, _T_54) @[exu_div_ctl.scala 355:67] + node _T_56 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 355:82] + node _T_57 = and(_T_55, _T_56) @[exu_div_ctl.scala 355:80] + node _T_58 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 355:95] + node count_enable = and(_T_57, _T_58) @[exu_div_ctl.scala 355:93] + node _T_59 = bits(count_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_60 = mux(_T_59, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_61 = cat(UInt<6>("h00"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_62 = add(count_ff, _T_61) @[exu_div_ctl.scala 356:63] + node _T_63 = tail(_T_62, 1) @[exu_div_ctl.scala 356:63] + node _T_64 = cat(UInt<2>("h00"), shortq_shift_ff) @[Cat.scala 29:58] + node _T_65 = add(_T_63, _T_64) @[exu_div_ctl.scala 356:83] + node _T_66 = tail(_T_65, 1) @[exu_div_ctl.scala 356:83] + node count_in = and(_T_60, _T_66) @[exu_div_ctl.scala 356:51] + node a_enable = or(io.valid_in, running_state) @[exu_div_ctl.scala 357:43] + node _T_67 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 358:47] + node a_shift = and(running_state, _T_67) @[exu_div_ctl.scala 358:45] + node _T_68 = bits(dividend_sign_ff, 0, 0) @[Bitwise.scala 72:15] + node _T_69 = mux(_T_68, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_70 = cat(_T_69, a_ff) @[Cat.scala 29:58] + node _T_71 = dshl(_T_70, shortq_shift_ff) @[exu_div_ctl.scala 359:68] + ar_shifted <= _T_71 @[exu_div_ctl.scala 359:28] + node _T_72 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 360:61] + node _T_73 = eq(_T_72, UInt<1>("h00")) @[exu_div_ctl.scala 360:42] + node b_twos_comp = and(valid_ff, _T_73) @[exu_div_ctl.scala 360:40] + node _T_74 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 361:62] + node _T_75 = eq(_T_74, UInt<1>("h00")) @[exu_div_ctl.scala 361:43] + node twos_comp_b_sel = and(valid_ff, _T_75) @[exu_div_ctl.scala 361:41] + node _T_76 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 362:30] + node _T_77 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 362:42] + node _T_78 = and(_T_76, _T_77) @[exu_div_ctl.scala 362:40] + node _T_79 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 362:71] + node _T_80 = and(_T_78, _T_79) @[exu_div_ctl.scala 362:50] + node _T_81 = eq(by_zero_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 362:92] + node twos_comp_q_sel = and(_T_80, _T_81) @[exu_div_ctl.scala 362:90] + node b_enable = or(io.valid_in, b_twos_comp) @[exu_div_ctl.scala 363:43] + node _T_82 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 364:43] + node rq_enable = or(_T_82, running_state) @[exu_div_ctl.scala 364:54] + node _T_83 = and(valid_ff, dividend_sign_ff) @[exu_div_ctl.scala 365:40] + node _T_84 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 365:61] + node r_sign_sel = and(_T_83, _T_84) @[exu_div_ctl.scala 365:59] + node _T_85 = eq(quotient_set, UInt<1>("h00")) @[exu_div_ctl.scala 366:47] + node _T_86 = and(running_state, _T_85) @[exu_div_ctl.scala 366:45] + node _T_87 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 366:63] + node r_restore_sel = and(_T_86, _T_87) @[exu_div_ctl.scala 366:61] + node _T_88 = and(running_state, quotient_set) @[exu_div_ctl.scala 367:45] + node _T_89 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 367:63] + node r_adder_sel = and(_T_88, _T_89) @[exu_div_ctl.scala 367:61] + node _T_90 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 370:48] + node _T_91 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(twos_comp_b_sel, _T_90, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = or(_T_91, _T_92) @[Mux.scala 27:72] + wire twos_comp_in : UInt<32> @[Mux.scala 27:72] + twos_comp_in <= _T_93 @[Mux.scala 27:72] + wire _T_94 : UInt<1>[31] @[lib.scala 426:20] + node _T_95 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27] + node _T_96 = orr(_T_95) @[lib.scala 428:35] + node _T_97 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44] + node _T_98 = not(_T_97) @[lib.scala 428:40] + node _T_99 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51] + node _T_100 = mux(_T_96, _T_98, _T_99) @[lib.scala 428:23] + _T_94[0] <= _T_100 @[lib.scala 428:17] + node _T_101 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27] + node _T_102 = orr(_T_101) @[lib.scala 428:35] + node _T_103 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44] + node _T_104 = not(_T_103) @[lib.scala 428:40] + node _T_105 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51] + node _T_106 = mux(_T_102, _T_104, _T_105) @[lib.scala 428:23] + _T_94[1] <= _T_106 @[lib.scala 428:17] + node _T_107 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27] + node _T_108 = orr(_T_107) @[lib.scala 428:35] + node _T_109 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44] + node _T_110 = not(_T_109) @[lib.scala 428:40] + node _T_111 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51] + node _T_112 = mux(_T_108, _T_110, _T_111) @[lib.scala 428:23] + _T_94[2] <= _T_112 @[lib.scala 428:17] + node _T_113 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27] + node _T_114 = orr(_T_113) @[lib.scala 428:35] + node _T_115 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44] + node _T_116 = not(_T_115) @[lib.scala 428:40] + node _T_117 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51] + node _T_118 = mux(_T_114, _T_116, _T_117) @[lib.scala 428:23] + _T_94[3] <= _T_118 @[lib.scala 428:17] + node _T_119 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27] + node _T_120 = orr(_T_119) @[lib.scala 428:35] + node _T_121 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44] + node _T_122 = not(_T_121) @[lib.scala 428:40] + node _T_123 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51] + node _T_124 = mux(_T_120, _T_122, _T_123) @[lib.scala 428:23] + _T_94[4] <= _T_124 @[lib.scala 428:17] + node _T_125 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27] + node _T_126 = orr(_T_125) @[lib.scala 428:35] + node _T_127 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44] + node _T_128 = not(_T_127) @[lib.scala 428:40] + node _T_129 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51] + node _T_130 = mux(_T_126, _T_128, _T_129) @[lib.scala 428:23] + _T_94[5] <= _T_130 @[lib.scala 428:17] + node _T_131 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27] + node _T_132 = orr(_T_131) @[lib.scala 428:35] + node _T_133 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44] + node _T_134 = not(_T_133) @[lib.scala 428:40] + node _T_135 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51] + node _T_136 = mux(_T_132, _T_134, _T_135) @[lib.scala 428:23] + _T_94[6] <= _T_136 @[lib.scala 428:17] + node _T_137 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27] + node _T_138 = orr(_T_137) @[lib.scala 428:35] + node _T_139 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44] + node _T_140 = not(_T_139) @[lib.scala 428:40] + node _T_141 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51] + node _T_142 = mux(_T_138, _T_140, _T_141) @[lib.scala 428:23] + _T_94[7] <= _T_142 @[lib.scala 428:17] + node _T_143 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27] + node _T_144 = orr(_T_143) @[lib.scala 428:35] + node _T_145 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44] + node _T_146 = not(_T_145) @[lib.scala 428:40] + node _T_147 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51] + node _T_148 = mux(_T_144, _T_146, _T_147) @[lib.scala 428:23] + _T_94[8] <= _T_148 @[lib.scala 428:17] + node _T_149 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27] + node _T_150 = orr(_T_149) @[lib.scala 428:35] + node _T_151 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44] + node _T_152 = not(_T_151) @[lib.scala 428:40] + node _T_153 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51] + node _T_154 = mux(_T_150, _T_152, _T_153) @[lib.scala 428:23] + _T_94[9] <= _T_154 @[lib.scala 428:17] + node _T_155 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27] + node _T_156 = orr(_T_155) @[lib.scala 428:35] + node _T_157 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44] + node _T_158 = not(_T_157) @[lib.scala 428:40] + node _T_159 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51] + node _T_160 = mux(_T_156, _T_158, _T_159) @[lib.scala 428:23] + _T_94[10] <= _T_160 @[lib.scala 428:17] + node _T_161 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27] + node _T_162 = orr(_T_161) @[lib.scala 428:35] + node _T_163 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44] + node _T_164 = not(_T_163) @[lib.scala 428:40] + node _T_165 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51] + node _T_166 = mux(_T_162, _T_164, _T_165) @[lib.scala 428:23] + _T_94[11] <= _T_166 @[lib.scala 428:17] + node _T_167 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27] + node _T_168 = orr(_T_167) @[lib.scala 428:35] + node _T_169 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44] + node _T_170 = not(_T_169) @[lib.scala 428:40] + node _T_171 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51] + node _T_172 = mux(_T_168, _T_170, _T_171) @[lib.scala 428:23] + _T_94[12] <= _T_172 @[lib.scala 428:17] + node _T_173 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27] + node _T_174 = orr(_T_173) @[lib.scala 428:35] + node _T_175 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44] + node _T_176 = not(_T_175) @[lib.scala 428:40] + node _T_177 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51] + node _T_178 = mux(_T_174, _T_176, _T_177) @[lib.scala 428:23] + _T_94[13] <= _T_178 @[lib.scala 428:17] + node _T_179 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27] + node _T_180 = orr(_T_179) @[lib.scala 428:35] + node _T_181 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44] + node _T_182 = not(_T_181) @[lib.scala 428:40] + node _T_183 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51] + node _T_184 = mux(_T_180, _T_182, _T_183) @[lib.scala 428:23] + _T_94[14] <= _T_184 @[lib.scala 428:17] + node _T_185 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27] + node _T_186 = orr(_T_185) @[lib.scala 428:35] + node _T_187 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44] + node _T_188 = not(_T_187) @[lib.scala 428:40] + node _T_189 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51] + node _T_190 = mux(_T_186, _T_188, _T_189) @[lib.scala 428:23] + _T_94[15] <= _T_190 @[lib.scala 428:17] + node _T_191 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27] + node _T_192 = orr(_T_191) @[lib.scala 428:35] + node _T_193 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44] + node _T_194 = not(_T_193) @[lib.scala 428:40] + node _T_195 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51] + node _T_196 = mux(_T_192, _T_194, _T_195) @[lib.scala 428:23] + _T_94[16] <= _T_196 @[lib.scala 428:17] + node _T_197 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27] + node _T_198 = orr(_T_197) @[lib.scala 428:35] + node _T_199 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44] + node _T_200 = not(_T_199) @[lib.scala 428:40] + node _T_201 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51] + node _T_202 = mux(_T_198, _T_200, _T_201) @[lib.scala 428:23] + _T_94[17] <= _T_202 @[lib.scala 428:17] + node _T_203 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27] + node _T_204 = orr(_T_203) @[lib.scala 428:35] + node _T_205 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44] + node _T_206 = not(_T_205) @[lib.scala 428:40] + node _T_207 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51] + node _T_208 = mux(_T_204, _T_206, _T_207) @[lib.scala 428:23] + _T_94[18] <= _T_208 @[lib.scala 428:17] + node _T_209 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27] + node _T_210 = orr(_T_209) @[lib.scala 428:35] + node _T_211 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44] + node _T_212 = not(_T_211) @[lib.scala 428:40] + node _T_213 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51] + node _T_214 = mux(_T_210, _T_212, _T_213) @[lib.scala 428:23] + _T_94[19] <= _T_214 @[lib.scala 428:17] + node _T_215 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27] + node _T_216 = orr(_T_215) @[lib.scala 428:35] + node _T_217 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44] + node _T_218 = not(_T_217) @[lib.scala 428:40] + node _T_219 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51] + node _T_220 = mux(_T_216, _T_218, _T_219) @[lib.scala 428:23] + _T_94[20] <= _T_220 @[lib.scala 428:17] + node _T_221 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27] + node _T_222 = orr(_T_221) @[lib.scala 428:35] + node _T_223 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44] + node _T_224 = not(_T_223) @[lib.scala 428:40] + node _T_225 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51] + node _T_226 = mux(_T_222, _T_224, _T_225) @[lib.scala 428:23] + _T_94[21] <= _T_226 @[lib.scala 428:17] + node _T_227 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27] + node _T_228 = orr(_T_227) @[lib.scala 428:35] + node _T_229 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44] + node _T_230 = not(_T_229) @[lib.scala 428:40] + node _T_231 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51] + node _T_232 = mux(_T_228, _T_230, _T_231) @[lib.scala 428:23] + _T_94[22] <= _T_232 @[lib.scala 428:17] + node _T_233 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27] + node _T_234 = orr(_T_233) @[lib.scala 428:35] + node _T_235 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44] + node _T_236 = not(_T_235) @[lib.scala 428:40] + node _T_237 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51] + node _T_238 = mux(_T_234, _T_236, _T_237) @[lib.scala 428:23] + _T_94[23] <= _T_238 @[lib.scala 428:17] + node _T_239 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27] + node _T_240 = orr(_T_239) @[lib.scala 428:35] + node _T_241 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44] + node _T_242 = not(_T_241) @[lib.scala 428:40] + node _T_243 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51] + node _T_244 = mux(_T_240, _T_242, _T_243) @[lib.scala 428:23] + _T_94[24] <= _T_244 @[lib.scala 428:17] + node _T_245 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27] + node _T_246 = orr(_T_245) @[lib.scala 428:35] + node _T_247 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44] + node _T_248 = not(_T_247) @[lib.scala 428:40] + node _T_249 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51] + node _T_250 = mux(_T_246, _T_248, _T_249) @[lib.scala 428:23] + _T_94[25] <= _T_250 @[lib.scala 428:17] + node _T_251 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27] + node _T_252 = orr(_T_251) @[lib.scala 428:35] + node _T_253 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44] + node _T_254 = not(_T_253) @[lib.scala 428:40] + node _T_255 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51] + node _T_256 = mux(_T_252, _T_254, _T_255) @[lib.scala 428:23] + _T_94[26] <= _T_256 @[lib.scala 428:17] + node _T_257 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27] + node _T_258 = orr(_T_257) @[lib.scala 428:35] + node _T_259 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44] + node _T_260 = not(_T_259) @[lib.scala 428:40] + node _T_261 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51] + node _T_262 = mux(_T_258, _T_260, _T_261) @[lib.scala 428:23] + _T_94[27] <= _T_262 @[lib.scala 428:17] + node _T_263 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27] + node _T_264 = orr(_T_263) @[lib.scala 428:35] + node _T_265 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44] + node _T_266 = not(_T_265) @[lib.scala 428:40] + node _T_267 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51] + node _T_268 = mux(_T_264, _T_266, _T_267) @[lib.scala 428:23] + _T_94[28] <= _T_268 @[lib.scala 428:17] + node _T_269 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27] + node _T_270 = orr(_T_269) @[lib.scala 428:35] + node _T_271 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44] + node _T_272 = not(_T_271) @[lib.scala 428:40] + node _T_273 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51] + node _T_274 = mux(_T_270, _T_272, _T_273) @[lib.scala 428:23] + _T_94[29] <= _T_274 @[lib.scala 428:17] + node _T_275 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27] + node _T_276 = orr(_T_275) @[lib.scala 428:35] + node _T_277 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44] + node _T_278 = not(_T_277) @[lib.scala 428:40] + node _T_279 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51] + node _T_280 = mux(_T_276, _T_278, _T_279) @[lib.scala 428:23] + _T_94[30] <= _T_280 @[lib.scala 428:17] + node _T_281 = cat(_T_94[2], _T_94[1]) @[lib.scala 430:14] + node _T_282 = cat(_T_281, _T_94[0]) @[lib.scala 430:14] + node _T_283 = cat(_T_94[4], _T_94[3]) @[lib.scala 430:14] + node _T_284 = cat(_T_94[6], _T_94[5]) @[lib.scala 430:14] + node _T_285 = cat(_T_284, _T_283) @[lib.scala 430:14] + node _T_286 = cat(_T_285, _T_282) @[lib.scala 430:14] + node _T_287 = cat(_T_94[8], _T_94[7]) @[lib.scala 430:14] + node _T_288 = cat(_T_94[10], _T_94[9]) @[lib.scala 430:14] + node _T_289 = cat(_T_288, _T_287) @[lib.scala 430:14] + node _T_290 = cat(_T_94[12], _T_94[11]) @[lib.scala 430:14] + node _T_291 = cat(_T_94[14], _T_94[13]) @[lib.scala 430:14] + node _T_292 = cat(_T_291, _T_290) @[lib.scala 430:14] + node _T_293 = cat(_T_292, _T_289) @[lib.scala 430:14] + node _T_294 = cat(_T_293, _T_286) @[lib.scala 430:14] + node _T_295 = cat(_T_94[16], _T_94[15]) @[lib.scala 430:14] + node _T_296 = cat(_T_94[18], _T_94[17]) @[lib.scala 430:14] + node _T_297 = cat(_T_296, _T_295) @[lib.scala 430:14] + node _T_298 = cat(_T_94[20], _T_94[19]) @[lib.scala 430:14] + node _T_299 = cat(_T_94[22], _T_94[21]) @[lib.scala 430:14] + node _T_300 = cat(_T_299, _T_298) @[lib.scala 430:14] + node _T_301 = cat(_T_300, _T_297) @[lib.scala 430:14] + node _T_302 = cat(_T_94[24], _T_94[23]) @[lib.scala 430:14] + node _T_303 = cat(_T_94[26], _T_94[25]) @[lib.scala 430:14] + node _T_304 = cat(_T_303, _T_302) @[lib.scala 430:14] + node _T_305 = cat(_T_94[28], _T_94[27]) @[lib.scala 430:14] + node _T_306 = cat(_T_94[30], _T_94[29]) @[lib.scala 430:14] + node _T_307 = cat(_T_306, _T_305) @[lib.scala 430:14] + node _T_308 = cat(_T_307, _T_304) @[lib.scala 430:14] + node _T_309 = cat(_T_308, _T_301) @[lib.scala 430:14] + node _T_310 = cat(_T_309, _T_294) @[lib.scala 430:14] + node _T_311 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24] + node twos_comp_out = cat(_T_310, _T_311) @[Cat.scala 29:58] + node _T_312 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 375:6] + node _T_313 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 375:17] + node _T_314 = and(_T_312, _T_313) @[exu_div_ctl.scala 375:15] + node _T_315 = bits(_T_314, 0, 0) @[exu_div_ctl.scala 375:36] + node _T_316 = bits(a_ff, 30, 0) @[exu_div_ctl.scala 376:54] + node _T_317 = cat(_T_316, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_318 = bits(ar_shifted, 31, 0) @[exu_div_ctl.scala 377:56] + node _T_319 = mux(_T_315, io.dividend_in, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_320 = mux(a_shift, _T_317, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_321 = mux(shortq_enable_ff, _T_318, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_322 = or(_T_319, _T_320) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_321) @[Mux.scala 27:72] + wire a_in : UInt<32> @[Mux.scala 27:72] + a_in <= _T_323 @[Mux.scala 27:72] + node _T_324 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 380:5] + node _T_325 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 380:78] + node _T_326 = and(io.signed_in, _T_325) @[exu_div_ctl.scala 380:63] + node _T_327 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 380:96] + node _T_328 = cat(_T_326, _T_327) @[Cat.scala 29:58] + node _T_329 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 381:50] + node _T_330 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 381:80] + node _T_331 = cat(_T_329, _T_330) @[Cat.scala 29:58] + node _T_332 = mux(_T_324, _T_328, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_333 = mux(b_twos_comp, _T_331, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_334 = or(_T_332, _T_333) @[Mux.scala 27:72] + wire b_in : UInt<33> @[Mux.scala 27:72] + b_in <= _T_334 @[Mux.scala 27:72] + node _T_335 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 385:54] + node _T_336 = bits(a_ff, 31, 31) @[exu_div_ctl.scala 385:65] + node _T_337 = cat(_T_335, _T_336) @[Cat.scala 29:58] + node _T_338 = bits(adder_out, 31, 0) @[exu_div_ctl.scala 386:55] + node _T_339 = bits(ar_shifted, 63, 32) @[exu_div_ctl.scala 387:56] + node _T_340 = mux(r_sign_sel, UInt<32>("h0ffffffff"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_341 = mux(r_restore_sel, _T_337, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_342 = mux(r_adder_sel, _T_338, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_343 = mux(shortq_enable_ff, _T_339, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_344 = mux(by_zero_case, a_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_345 = or(_T_340, _T_341) @[Mux.scala 27:72] + node _T_346 = or(_T_345, _T_342) @[Mux.scala 27:72] + node _T_347 = or(_T_346, _T_343) @[Mux.scala 27:72] + node _T_348 = or(_T_347, _T_344) @[Mux.scala 27:72] + wire r_in : UInt<32> @[Mux.scala 27:72] + r_in <= _T_348 @[Mux.scala 27:72] + node _T_349 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 391:4] + node _T_350 = bits(q_ff, 30, 0) @[exu_div_ctl.scala 391:54] + node _T_351 = cat(_T_350, quotient_set) @[Cat.scala 29:58] + node _T_352 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] + node _T_353 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_354 = mux(_T_349, _T_351, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_355 = mux(smallnum_case, _T_352, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_356 = mux(by_zero_case, _T_353, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_357 = or(_T_354, _T_355) @[Mux.scala 27:72] + node _T_358 = or(_T_357, _T_356) @[Mux.scala 27:72] + wire q_in : UInt<32> @[Mux.scala 27:72] + q_in <= _T_358 @[Mux.scala 27:72] + node _T_359 = bits(a_ff, 31, 31) @[exu_div_ctl.scala 395:29] + node _T_360 = cat(r_ff, _T_359) @[Cat.scala 29:58] + node _T_361 = add(_T_360, b_ff) @[exu_div_ctl.scala 395:35] + node _T_362 = tail(_T_361, 1) @[exu_div_ctl.scala 395:35] + adder_out <= _T_362 @[exu_div_ctl.scala 395:13] + node _T_363 = bits(adder_out, 32, 32) @[exu_div_ctl.scala 396:30] + node _T_364 = eq(_T_363, UInt<1>("h00")) @[exu_div_ctl.scala 396:20] + node _T_365 = xor(_T_364, dividend_sign_ff) @[exu_div_ctl.scala 396:35] + node _T_366 = bits(a_ff, 30, 0) @[exu_div_ctl.scala 396:63] + node _T_367 = eq(_T_366, UInt<1>("h00")) @[exu_div_ctl.scala 396:70] + node _T_368 = eq(adder_out, UInt<1>("h00")) @[exu_div_ctl.scala 396:92] + node _T_369 = and(_T_367, _T_368) @[exu_div_ctl.scala 396:79] + node _T_370 = or(_T_365, _T_369) @[exu_div_ctl.scala 396:55] + quotient_set <= _T_370 @[exu_div_ctl.scala 396:16] + node _T_371 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 397:31] + node _T_372 = and(finish_ff, _T_371) @[exu_div_ctl.scala 397:29] + io.valid_out <= _T_372 @[exu_div_ctl.scala 397:16] + node _T_373 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 399:6] + node _T_374 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 399:16] + node _T_375 = and(_T_373, _T_374) @[exu_div_ctl.scala 399:14] + node _T_376 = bits(_T_375, 0, 0) @[exu_div_ctl.scala 399:40] + node _T_377 = mux(_T_376, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_378 = mux(rem_ff, r_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_379 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_380 = or(_T_377, _T_378) @[Mux.scala 27:72] + node _T_381 = or(_T_380, _T_379) @[Mux.scala 27:72] + wire _T_382 : UInt<32> @[Mux.scala 27:72] + _T_382 <= _T_381 @[Mux.scala 27:72] + io.data_out <= _T_382 @[exu_div_ctl.scala 398:15] + node _T_383 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_384 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_385 = eq(_T_384, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_386 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_387 = eq(_T_386, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_388 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_390 = and(_T_385, _T_387) @[exu_div_ctl.scala 405:95] + node _T_391 = and(_T_390, _T_389) @[exu_div_ctl.scala 405:95] + node _T_392 = and(_T_383, _T_391) @[exu_div_ctl.scala 406:11] + node _T_393 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_394 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_395 = eq(_T_394, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_396 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_398 = and(_T_395, _T_397) @[exu_div_ctl.scala 405:95] + node _T_399 = and(_T_393, _T_398) @[exu_div_ctl.scala 406:11] + node _T_400 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 412:38] + node _T_401 = eq(_T_400, UInt<1>("h00")) @[exu_div_ctl.scala 412:33] + node _T_402 = and(_T_399, _T_401) @[exu_div_ctl.scala 412:31] + node _T_403 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_404 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_405 = eq(_T_404, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_406 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_407 = eq(_T_406, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_408 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_409 = eq(_T_408, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_410 = and(_T_405, _T_407) @[exu_div_ctl.scala 405:95] + node _T_411 = and(_T_410, _T_409) @[exu_div_ctl.scala 405:95] + node _T_412 = and(_T_403, _T_411) @[exu_div_ctl.scala 406:11] + node _T_413 = or(_T_402, _T_412) @[exu_div_ctl.scala 412:42] + node _T_414 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_415 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_416 = and(_T_414, _T_415) @[exu_div_ctl.scala 404:95] + node _T_417 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_418 = eq(_T_417, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_419 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_420 = eq(_T_419, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_421 = and(_T_418, _T_420) @[exu_div_ctl.scala 405:95] + node _T_422 = and(_T_416, _T_421) @[exu_div_ctl.scala 406:11] + node _T_423 = or(_T_413, _T_422) @[exu_div_ctl.scala 412:75] + node _T_424 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_425 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_426 = eq(_T_425, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_427 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_428 = eq(_T_427, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_429 = and(_T_426, _T_428) @[exu_div_ctl.scala 405:95] + node _T_430 = and(_T_424, _T_429) @[exu_div_ctl.scala 406:11] + node _T_431 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 414:38] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[exu_div_ctl.scala 414:33] + node _T_433 = and(_T_430, _T_432) @[exu_div_ctl.scala 414:31] + node _T_434 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_435 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_436 = eq(_T_435, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_437 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_438 = eq(_T_437, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_439 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_441 = and(_T_436, _T_438) @[exu_div_ctl.scala 405:95] + node _T_442 = and(_T_441, _T_440) @[exu_div_ctl.scala 405:95] + node _T_443 = and(_T_434, _T_442) @[exu_div_ctl.scala 406:11] + node _T_444 = or(_T_433, _T_443) @[exu_div_ctl.scala 414:42] + node _T_445 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_446 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_447 = eq(_T_446, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_448 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_449 = eq(_T_448, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_450 = and(_T_447, _T_449) @[exu_div_ctl.scala 405:95] + node _T_451 = and(_T_445, _T_450) @[exu_div_ctl.scala 406:11] + node _T_452 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 414:113] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[exu_div_ctl.scala 414:108] + node _T_454 = and(_T_451, _T_453) @[exu_div_ctl.scala 414:106] + node _T_455 = or(_T_444, _T_454) @[exu_div_ctl.scala 414:78] + node _T_456 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_457 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_458 = eq(_T_457, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_459 = and(_T_456, _T_458) @[exu_div_ctl.scala 404:95] + node _T_460 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_461 = eq(_T_460, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_462 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_463 = eq(_T_462, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_464 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_465 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_466 = and(_T_461, _T_463) @[exu_div_ctl.scala 405:95] + node _T_467 = and(_T_466, _T_464) @[exu_div_ctl.scala 405:95] + node _T_468 = and(_T_467, _T_465) @[exu_div_ctl.scala 405:95] + node _T_469 = and(_T_459, _T_468) @[exu_div_ctl.scala 406:11] + node _T_470 = or(_T_455, _T_469) @[exu_div_ctl.scala 414:117] + node _T_471 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_472 = eq(_T_471, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_473 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_474 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_475 = and(_T_472, _T_473) @[exu_div_ctl.scala 404:95] + node _T_476 = and(_T_475, _T_474) @[exu_div_ctl.scala 404:95] + node _T_477 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_479 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_480 = eq(_T_479, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_481 = and(_T_478, _T_480) @[exu_div_ctl.scala 405:95] + node _T_482 = and(_T_476, _T_481) @[exu_div_ctl.scala 406:11] + node _T_483 = or(_T_470, _T_482) @[exu_div_ctl.scala 415:44] + node _T_484 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_485 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_486 = and(_T_484, _T_485) @[exu_div_ctl.scala 404:95] + node _T_487 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_489 = and(_T_486, _T_488) @[exu_div_ctl.scala 406:11] + node _T_490 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 415:114] + node _T_491 = eq(_T_490, UInt<1>("h00")) @[exu_div_ctl.scala 415:109] + node _T_492 = and(_T_489, _T_491) @[exu_div_ctl.scala 415:107] + node _T_493 = or(_T_483, _T_492) @[exu_div_ctl.scala 415:80] + node _T_494 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_495 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_496 = and(_T_494, _T_495) @[exu_div_ctl.scala 404:95] + node _T_497 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_498 = eq(_T_497, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_499 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_500 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_501 = eq(_T_500, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_502 = and(_T_498, _T_499) @[exu_div_ctl.scala 405:95] + node _T_503 = and(_T_502, _T_501) @[exu_div_ctl.scala 405:95] + node _T_504 = and(_T_496, _T_503) @[exu_div_ctl.scala 406:11] + node _T_505 = or(_T_493, _T_504) @[exu_div_ctl.scala 415:119] + node _T_506 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_507 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_508 = and(_T_506, _T_507) @[exu_div_ctl.scala 404:95] + node _T_509 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_510 = eq(_T_509, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_511 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_512 = eq(_T_511, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_513 = and(_T_510, _T_512) @[exu_div_ctl.scala 405:95] + node _T_514 = and(_T_508, _T_513) @[exu_div_ctl.scala 406:11] + node _T_515 = or(_T_505, _T_514) @[exu_div_ctl.scala 416:44] + node _T_516 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_517 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_518 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_519 = and(_T_516, _T_517) @[exu_div_ctl.scala 404:95] + node _T_520 = and(_T_519, _T_518) @[exu_div_ctl.scala 404:95] + node _T_521 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_522 = eq(_T_521, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_523 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_524 = and(_T_522, _T_523) @[exu_div_ctl.scala 405:95] + node _T_525 = and(_T_520, _T_524) @[exu_div_ctl.scala 406:11] + node _T_526 = or(_T_515, _T_525) @[exu_div_ctl.scala 416:79] + node _T_527 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_528 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_529 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_530 = and(_T_527, _T_528) @[exu_div_ctl.scala 404:95] + node _T_531 = and(_T_530, _T_529) @[exu_div_ctl.scala 404:95] + node _T_532 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_533 = eq(_T_532, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_534 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_535 = eq(_T_534, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_536 = and(_T_533, _T_535) @[exu_div_ctl.scala 405:95] + node _T_537 = and(_T_531, _T_536) @[exu_div_ctl.scala 406:11] + node _T_538 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_539 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_540 = eq(_T_539, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_541 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_542 = and(_T_538, _T_540) @[exu_div_ctl.scala 404:95] + node _T_543 = and(_T_542, _T_541) @[exu_div_ctl.scala 404:95] + node _T_544 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_545 = eq(_T_544, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_546 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_547 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_548 = and(_T_545, _T_546) @[exu_div_ctl.scala 405:95] + node _T_549 = and(_T_548, _T_547) @[exu_div_ctl.scala 405:95] + node _T_550 = and(_T_543, _T_549) @[exu_div_ctl.scala 406:11] + node _T_551 = or(_T_537, _T_550) @[exu_div_ctl.scala 418:45] + node _T_552 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_553 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_554 = eq(_T_553, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_555 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_556 = eq(_T_555, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_557 = and(_T_554, _T_556) @[exu_div_ctl.scala 405:95] + node _T_558 = and(_T_552, _T_557) @[exu_div_ctl.scala 406:11] + node _T_559 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 418:121] + node _T_560 = eq(_T_559, UInt<1>("h00")) @[exu_div_ctl.scala 418:116] + node _T_561 = and(_T_558, _T_560) @[exu_div_ctl.scala 418:114] + node _T_562 = or(_T_551, _T_561) @[exu_div_ctl.scala 418:86] + node _T_563 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_564 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_565 = eq(_T_564, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_566 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_567 = eq(_T_566, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_568 = and(_T_565, _T_567) @[exu_div_ctl.scala 405:95] + node _T_569 = and(_T_563, _T_568) @[exu_div_ctl.scala 406:11] + node _T_570 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 419:40] + node _T_571 = eq(_T_570, UInt<1>("h00")) @[exu_div_ctl.scala 419:35] + node _T_572 = and(_T_569, _T_571) @[exu_div_ctl.scala 419:33] + node _T_573 = or(_T_562, _T_572) @[exu_div_ctl.scala 418:129] + node _T_574 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_575 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_576 = eq(_T_575, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_577 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_578 = eq(_T_577, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_579 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_580 = eq(_T_579, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_581 = and(_T_576, _T_578) @[exu_div_ctl.scala 405:95] + node _T_582 = and(_T_581, _T_580) @[exu_div_ctl.scala 405:95] + node _T_583 = and(_T_574, _T_582) @[exu_div_ctl.scala 406:11] + node _T_584 = or(_T_573, _T_583) @[exu_div_ctl.scala 419:47] + node _T_585 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_586 = eq(_T_585, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_587 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_588 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:75] + node _T_589 = eq(_T_588, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_590 = and(_T_586, _T_587) @[exu_div_ctl.scala 404:95] + node _T_591 = and(_T_590, _T_589) @[exu_div_ctl.scala 404:95] + node _T_592 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_593 = eq(_T_592, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_594 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_595 = eq(_T_594, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_596 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_597 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_598 = and(_T_593, _T_595) @[exu_div_ctl.scala 405:95] + node _T_599 = and(_T_598, _T_596) @[exu_div_ctl.scala 405:95] + node _T_600 = and(_T_599, _T_597) @[exu_div_ctl.scala 405:95] + node _T_601 = and(_T_591, _T_600) @[exu_div_ctl.scala 406:11] + node _T_602 = or(_T_584, _T_601) @[exu_div_ctl.scala 419:88] + node _T_603 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_605 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_606 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_607 = and(_T_604, _T_605) @[exu_div_ctl.scala 404:95] + node _T_608 = and(_T_607, _T_606) @[exu_div_ctl.scala 404:95] + node _T_609 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_610 = eq(_T_609, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_611 = and(_T_608, _T_610) @[exu_div_ctl.scala 406:11] + node _T_612 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 420:43] + node _T_613 = eq(_T_612, UInt<1>("h00")) @[exu_div_ctl.scala 420:38] + node _T_614 = and(_T_611, _T_613) @[exu_div_ctl.scala 420:36] + node _T_615 = or(_T_602, _T_614) @[exu_div_ctl.scala 419:131] + node _T_616 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_617 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_618 = eq(_T_617, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_619 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_620 = eq(_T_619, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_621 = and(_T_618, _T_620) @[exu_div_ctl.scala 405:95] + node _T_622 = and(_T_616, _T_621) @[exu_div_ctl.scala 406:11] + node _T_623 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 420:83] + node _T_624 = eq(_T_623, UInt<1>("h00")) @[exu_div_ctl.scala 420:78] + node _T_625 = and(_T_622, _T_624) @[exu_div_ctl.scala 420:76] + node _T_626 = or(_T_615, _T_625) @[exu_div_ctl.scala 420:47] + node _T_627 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_628 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_629 = eq(_T_628, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_630 = and(_T_627, _T_629) @[exu_div_ctl.scala 404:95] + node _T_631 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_632 = eq(_T_631, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_633 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_634 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_635 = and(_T_632, _T_633) @[exu_div_ctl.scala 405:95] + node _T_636 = and(_T_635, _T_634) @[exu_div_ctl.scala 405:95] + node _T_637 = and(_T_630, _T_636) @[exu_div_ctl.scala 406:11] + node _T_638 = or(_T_626, _T_637) @[exu_div_ctl.scala 420:88] + node _T_639 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_641 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_642 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_643 = and(_T_640, _T_641) @[exu_div_ctl.scala 404:95] + node _T_644 = and(_T_643, _T_642) @[exu_div_ctl.scala 404:95] + node _T_645 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_646 = eq(_T_645, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_647 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_648 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_649 = eq(_T_648, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_650 = and(_T_646, _T_647) @[exu_div_ctl.scala 405:95] + node _T_651 = and(_T_650, _T_649) @[exu_div_ctl.scala 405:95] + node _T_652 = and(_T_644, _T_651) @[exu_div_ctl.scala 406:11] + node _T_653 = or(_T_638, _T_652) @[exu_div_ctl.scala 420:131] + node _T_654 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_655 = eq(_T_654, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_656 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_657 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_658 = and(_T_655, _T_656) @[exu_div_ctl.scala 404:95] + node _T_659 = and(_T_658, _T_657) @[exu_div_ctl.scala 404:95] + node _T_660 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_661 = eq(_T_660, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_662 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_663 = eq(_T_662, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_664 = and(_T_661, _T_663) @[exu_div_ctl.scala 405:95] + node _T_665 = and(_T_659, _T_664) @[exu_div_ctl.scala 406:11] + node _T_666 = or(_T_653, _T_665) @[exu_div_ctl.scala 421:47] + node _T_667 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_668 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_669 = eq(_T_668, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_670 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:75] + node _T_671 = eq(_T_670, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_672 = and(_T_667, _T_669) @[exu_div_ctl.scala 404:95] + node _T_673 = and(_T_672, _T_671) @[exu_div_ctl.scala 404:95] + node _T_674 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_675 = eq(_T_674, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_676 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_677 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_678 = and(_T_675, _T_676) @[exu_div_ctl.scala 405:95] + node _T_679 = and(_T_678, _T_677) @[exu_div_ctl.scala 405:95] + node _T_680 = and(_T_673, _T_679) @[exu_div_ctl.scala 406:11] + node _T_681 = or(_T_666, _T_680) @[exu_div_ctl.scala 421:88] + node _T_682 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_683 = eq(_T_682, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_684 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_685 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_686 = and(_T_683, _T_684) @[exu_div_ctl.scala 404:95] + node _T_687 = and(_T_686, _T_685) @[exu_div_ctl.scala 404:95] + node _T_688 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_689 = eq(_T_688, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_690 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_691 = eq(_T_690, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_692 = and(_T_689, _T_691) @[exu_div_ctl.scala 405:95] + node _T_693 = and(_T_687, _T_692) @[exu_div_ctl.scala 406:11] + node _T_694 = or(_T_681, _T_693) @[exu_div_ctl.scala 421:131] + node _T_695 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_696 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_697 = and(_T_695, _T_696) @[exu_div_ctl.scala 404:95] + node _T_698 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_700 = and(_T_697, _T_699) @[exu_div_ctl.scala 406:11] + node _T_701 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 422:82] + node _T_702 = eq(_T_701, UInt<1>("h00")) @[exu_div_ctl.scala 422:77] + node _T_703 = and(_T_700, _T_702) @[exu_div_ctl.scala 422:75] + node _T_704 = or(_T_694, _T_703) @[exu_div_ctl.scala 422:47] + node _T_705 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:75] + node _T_706 = eq(_T_705, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_707 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_708 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_709 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_710 = and(_T_706, _T_707) @[exu_div_ctl.scala 404:95] + node _T_711 = and(_T_710, _T_708) @[exu_div_ctl.scala 404:95] + node _T_712 = and(_T_711, _T_709) @[exu_div_ctl.scala 404:95] + node _T_713 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_714 = eq(_T_713, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_715 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_716 = and(_T_714, _T_715) @[exu_div_ctl.scala 405:95] + node _T_717 = and(_T_712, _T_716) @[exu_div_ctl.scala 406:11] + node _T_718 = or(_T_704, _T_717) @[exu_div_ctl.scala 422:88] + node _T_719 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_720 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_721 = and(_T_719, _T_720) @[exu_div_ctl.scala 404:95] + node _T_722 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_723 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_724 = eq(_T_723, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_725 = and(_T_722, _T_724) @[exu_div_ctl.scala 405:95] + node _T_726 = and(_T_721, _T_725) @[exu_div_ctl.scala 406:11] + node _T_727 = or(_T_718, _T_726) @[exu_div_ctl.scala 422:131] + node _T_728 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_729 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_730 = and(_T_728, _T_729) @[exu_div_ctl.scala 404:95] + node _T_731 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_732 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_733 = eq(_T_732, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_734 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_735 = eq(_T_734, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_736 = and(_T_731, _T_733) @[exu_div_ctl.scala 405:95] + node _T_737 = and(_T_736, _T_735) @[exu_div_ctl.scala 405:95] + node _T_738 = and(_T_730, _T_737) @[exu_div_ctl.scala 406:11] + node _T_739 = or(_T_727, _T_738) @[exu_div_ctl.scala 423:47] + node _T_740 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_741 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_742 = and(_T_740, _T_741) @[exu_div_ctl.scala 404:95] + node _T_743 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_744 = eq(_T_743, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_745 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_746 = eq(_T_745, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_747 = and(_T_744, _T_746) @[exu_div_ctl.scala 405:95] + node _T_748 = and(_T_742, _T_747) @[exu_div_ctl.scala 406:11] + node _T_749 = or(_T_739, _T_748) @[exu_div_ctl.scala 423:88] + node _T_750 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_751 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:75] + node _T_752 = eq(_T_751, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_753 = and(_T_750, _T_752) @[exu_div_ctl.scala 404:95] + node _T_754 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_755 = eq(_T_754, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_756 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:58] + node _T_757 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_758 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 405:58] + node _T_759 = and(_T_755, _T_756) @[exu_div_ctl.scala 405:95] + node _T_760 = and(_T_759, _T_757) @[exu_div_ctl.scala 405:95] + node _T_761 = and(_T_760, _T_758) @[exu_div_ctl.scala 405:95] + node _T_762 = and(_T_753, _T_761) @[exu_div_ctl.scala 406:11] + node _T_763 = or(_T_749, _T_762) @[exu_div_ctl.scala 423:131] + node _T_764 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_765 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_766 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_767 = and(_T_764, _T_765) @[exu_div_ctl.scala 404:95] + node _T_768 = and(_T_767, _T_766) @[exu_div_ctl.scala 404:95] + node _T_769 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_770 = and(_T_768, _T_769) @[exu_div_ctl.scala 406:11] + node _T_771 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 424:84] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[exu_div_ctl.scala 424:79] + node _T_773 = and(_T_770, _T_772) @[exu_div_ctl.scala 424:77] + node _T_774 = or(_T_763, _T_773) @[exu_div_ctl.scala 424:47] + node _T_775 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_776 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_777 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_778 = and(_T_775, _T_776) @[exu_div_ctl.scala 404:95] + node _T_779 = and(_T_778, _T_777) @[exu_div_ctl.scala 404:95] + node _T_780 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_781 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_782 = eq(_T_781, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_783 = and(_T_780, _T_782) @[exu_div_ctl.scala 405:95] + node _T_784 = and(_T_779, _T_783) @[exu_div_ctl.scala 406:11] + node _T_785 = or(_T_774, _T_784) @[exu_div_ctl.scala 424:88] + node _T_786 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_787 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_788 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_789 = and(_T_786, _T_787) @[exu_div_ctl.scala 404:95] + node _T_790 = and(_T_789, _T_788) @[exu_div_ctl.scala 404:95] + node _T_791 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_792 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:75] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_794 = and(_T_791, _T_793) @[exu_div_ctl.scala 405:95] + node _T_795 = and(_T_790, _T_794) @[exu_div_ctl.scala 406:11] + node _T_796 = or(_T_785, _T_795) @[exu_div_ctl.scala 424:131] + node _T_797 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_798 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:75] + node _T_799 = eq(_T_798, UInt<1>("h00")) @[exu_div_ctl.scala 404:70] + node _T_800 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_801 = and(_T_797, _T_799) @[exu_div_ctl.scala 404:95] + node _T_802 = and(_T_801, _T_800) @[exu_div_ctl.scala 404:95] + node _T_803 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:75] + node _T_804 = eq(_T_803, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_805 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 405:58] + node _T_806 = and(_T_804, _T_805) @[exu_div_ctl.scala 405:95] + node _T_807 = and(_T_802, _T_806) @[exu_div_ctl.scala 406:11] + node _T_808 = or(_T_796, _T_807) @[exu_div_ctl.scala 425:47] + node _T_809 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_810 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_811 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_812 = and(_T_809, _T_810) @[exu_div_ctl.scala 404:95] + node _T_813 = and(_T_812, _T_811) @[exu_div_ctl.scala 404:95] + node _T_814 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_815 = eq(_T_814, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_816 = and(_T_813, _T_815) @[exu_div_ctl.scala 406:11] + node _T_817 = or(_T_808, _T_816) @[exu_div_ctl.scala 425:88] + node _T_818 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_819 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 404:58] + node _T_820 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_821 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 404:58] + node _T_822 = and(_T_818, _T_819) @[exu_div_ctl.scala 404:95] + node _T_823 = and(_T_822, _T_820) @[exu_div_ctl.scala 404:95] + node _T_824 = and(_T_823, _T_821) @[exu_div_ctl.scala 404:95] + node _T_825 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 405:58] + node _T_826 = and(_T_824, _T_825) @[exu_div_ctl.scala 406:11] + node _T_827 = or(_T_817, _T_826) @[exu_div_ctl.scala 425:131] + node _T_828 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 404:58] + node _T_829 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 404:58] + node _T_830 = and(_T_828, _T_829) @[exu_div_ctl.scala 404:95] + node _T_831 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 405:75] + node _T_832 = eq(_T_831, UInt<1>("h00")) @[exu_div_ctl.scala 405:70] + node _T_833 = and(_T_830, _T_832) @[exu_div_ctl.scala 406:11] + node _T_834 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 426:81] + node _T_835 = eq(_T_834, UInt<1>("h00")) @[exu_div_ctl.scala 426:76] + node _T_836 = and(_T_833, _T_835) @[exu_div_ctl.scala 426:74] + node _T_837 = or(_T_827, _T_836) @[exu_div_ctl.scala 426:47] + node _T_838 = cat(_T_526, _T_837) @[Cat.scala 29:58] + node _T_839 = cat(_T_392, _T_423) @[Cat.scala 29:58] + node _T_840 = cat(_T_839, _T_838) @[Cat.scala 29:58] + smallnum <= _T_840 @[exu_div_ctl.scala 409:12] + node shortq_dividend = cat(dividend_sign_ff, a_ff) @[Cat.scala 29:58] + inst a_enc of exu_div_cls @[exu_div_ctl.scala 429:21] + a_enc.clock <= clock + a_enc.reset <= reset + a_enc.io.operand <= shortq_dividend @[exu_div_ctl.scala 430:20] + inst b_enc of exu_div_cls_1 @[exu_div_ctl.scala 432:21] + b_enc.clock <= clock + b_enc.reset <= reset + b_enc.io.operand <= b_ff @[exu_div_ctl.scala 433:20] + node dw_a_enc = cat(UInt<1>("h00"), a_enc.io.cls) @[Cat.scala 29:58] + node dw_b_enc = cat(UInt<1>("h00"), b_enc.io.cls) @[Cat.scala 29:58] + node _T_841 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] + node _T_842 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] + node _T_843 = sub(_T_841, _T_842) @[exu_div_ctl.scala 437:41] + node _T_844 = tail(_T_843, 1) @[exu_div_ctl.scala 437:41] + node _T_845 = add(_T_844, UInt<7>("h01")) @[exu_div_ctl.scala 437:61] + node dw_shortq_raw = tail(_T_845, 1) @[exu_div_ctl.scala 437:61] + node _T_846 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 438:33] + node _T_847 = bits(_T_846, 0, 0) @[exu_div_ctl.scala 438:43] + node _T_848 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 438:63] + node shortq = mux(_T_847, UInt<1>("h00"), _T_848) @[exu_div_ctl.scala 438:19] + node _T_849 = bits(shortq, 5, 5) @[exu_div_ctl.scala 439:38] + node _T_850 = eq(_T_849, UInt<1>("h00")) @[exu_div_ctl.scala 439:31] + node _T_851 = and(valid_ff, _T_850) @[exu_div_ctl.scala 439:29] + node _T_852 = bits(shortq, 4, 1) @[exu_div_ctl.scala 439:52] + node _T_853 = eq(_T_852, UInt<4>("h0f")) @[exu_div_ctl.scala 439:58] + node _T_854 = eq(_T_853, UInt<1>("h00")) @[exu_div_ctl.scala 439:44] + node _T_855 = and(_T_851, _T_854) @[exu_div_ctl.scala 439:42] + node _T_856 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 439:76] + node _T_857 = and(_T_855, _T_856) @[exu_div_ctl.scala 439:74] + shortq_enable <= _T_857 @[exu_div_ctl.scala 439:17] + node _T_858 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 440:26] + node _T_859 = bits(shortq, 4, 0) @[exu_div_ctl.scala 440:65] + node _T_860 = sub(UInt<5>("h01f"), _T_859) @[exu_div_ctl.scala 440:57] + node _T_861 = tail(_T_860, 1) @[exu_div_ctl.scala 440:57] + node shortq_shift = mux(_T_858, UInt<1>("h00"), _T_861) @[exu_div_ctl.scala 440:25] + inst rvclkhdr of rvclkhdr @[lib.scala 390:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 392:18] + rvclkhdr.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_862 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_862 <= valid_ff_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + valid_ff <= _T_862 @[exu_div_ctl.scala 441:12] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_1.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_863 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_863 <= control_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + control_ff <= _T_863 @[exu_div_ctl.scala 442:16] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_2.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_864 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_864 <= by_zero_case @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + by_zero_case_ff <= _T_864 @[exu_div_ctl.scala 443:19] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_3.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_865 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_865 <= shortq_enable @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_enable_ff <= _T_865 @[exu_div_ctl.scala 444:20] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_4.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_866 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_866 <= shortq_shift @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_shift_ff <= _T_866 @[exu_div_ctl.scala 445:19] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_5.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_867 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_867 <= finish @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + finish_ff <= _T_867 @[exu_div_ctl.scala 446:13] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_6.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_868 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_868 <= count_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + count_ff <= _T_868 @[exu_div_ctl.scala 447:12] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_7.io.en <= a_enable @[lib.scala 393:17] + rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_869 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when a_enable : @[Reg.scala 28:19] + _T_869 <= a_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + a_ff <= _T_869 @[exu_div_ctl.scala 449:8] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_8.io.en <= b_enable @[lib.scala 393:17] + rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_870 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when b_enable : @[Reg.scala 28:19] + _T_870 <= b_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + b_ff <= _T_870 @[exu_div_ctl.scala 450:8] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_9.io.en <= rq_enable @[lib.scala 393:17] + rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_871 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rq_enable : @[Reg.scala 28:19] + _T_871 <= r_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + r_ff <= _T_871 @[exu_div_ctl.scala 451:8] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_10.io.en <= rq_enable @[lib.scala 393:17] + rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_872 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rq_enable : @[Reg.scala 28:19] + _T_872 <= q_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + q_ff <= _T_872 @[exu_div_ctl.scala 452:8] + diff --git a/exu_div_new_1bit_fullshortq.v b/exu_div_new_1bit_fullshortq.v new file mode 100644 index 00000000..d018d32f --- /dev/null +++ b/exu_div_new_1bit_fullshortq.v @@ -0,0 +1,904 @@ +module exu_div_cls( + input [32:0] io_operand, + output [4:0] io_cls +); + wire _T_3 = io_operand[31:30] == 2'h1; // @[exu_div_ctl.scala 510:63] + wire _T_5 = io_operand[31:29] == 3'h1; // @[exu_div_ctl.scala 510:63] + wire _T_7 = io_operand[31:28] == 4'h1; // @[exu_div_ctl.scala 510:63] + wire _T_9 = io_operand[31:27] == 5'h1; // @[exu_div_ctl.scala 510:63] + wire _T_11 = io_operand[31:26] == 6'h1; // @[exu_div_ctl.scala 510:63] + wire _T_13 = io_operand[31:25] == 7'h1; // @[exu_div_ctl.scala 510:63] + wire _T_15 = io_operand[31:24] == 8'h1; // @[exu_div_ctl.scala 510:63] + wire _T_17 = io_operand[31:23] == 9'h1; // @[exu_div_ctl.scala 510:63] + wire _T_19 = io_operand[31:22] == 10'h1; // @[exu_div_ctl.scala 510:63] + wire _T_21 = io_operand[31:21] == 11'h1; // @[exu_div_ctl.scala 510:63] + wire _T_23 = io_operand[31:20] == 12'h1; // @[exu_div_ctl.scala 510:63] + wire _T_25 = io_operand[31:19] == 13'h1; // @[exu_div_ctl.scala 510:63] + wire _T_27 = io_operand[31:18] == 14'h1; // @[exu_div_ctl.scala 510:63] + wire _T_29 = io_operand[31:17] == 15'h1; // @[exu_div_ctl.scala 510:63] + wire _T_31 = io_operand[31:16] == 16'h1; // @[exu_div_ctl.scala 510:63] + wire _T_33 = io_operand[31:15] == 17'h1; // @[exu_div_ctl.scala 510:63] + wire _T_35 = io_operand[31:14] == 18'h1; // @[exu_div_ctl.scala 510:63] + wire _T_37 = io_operand[31:13] == 19'h1; // @[exu_div_ctl.scala 510:63] + wire _T_39 = io_operand[31:12] == 20'h1; // @[exu_div_ctl.scala 510:63] + wire _T_41 = io_operand[31:11] == 21'h1; // @[exu_div_ctl.scala 510:63] + wire _T_43 = io_operand[31:10] == 22'h1; // @[exu_div_ctl.scala 510:63] + wire _T_45 = io_operand[31:9] == 23'h1; // @[exu_div_ctl.scala 510:63] + wire _T_47 = io_operand[31:8] == 24'h1; // @[exu_div_ctl.scala 510:63] + wire _T_49 = io_operand[31:7] == 25'h1; // @[exu_div_ctl.scala 510:63] + wire _T_51 = io_operand[31:6] == 26'h1; // @[exu_div_ctl.scala 510:63] + wire _T_53 = io_operand[31:5] == 27'h1; // @[exu_div_ctl.scala 510:63] + wire _T_55 = io_operand[31:4] == 28'h1; // @[exu_div_ctl.scala 510:63] + wire _T_57 = io_operand[31:3] == 29'h1; // @[exu_div_ctl.scala 510:63] + wire _T_59 = io_operand[31:2] == 30'h1; // @[exu_div_ctl.scala 510:63] + wire _T_61 = io_operand[31:1] == 31'h1; // @[exu_div_ctl.scala 510:63] + wire _T_63 = io_operand[31:0] == 32'h1; // @[exu_div_ctl.scala 510:63] + wire [1:0] _T_66 = _T_5 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_67 = _T_7 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_68 = _T_9 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_69 = _T_11 ? 3'h5 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_70 = _T_13 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_71 = _T_15 ? 3'h7 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_72 = _T_17 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_73 = _T_19 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_74 = _T_21 ? 4'ha : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_75 = _T_23 ? 4'hb : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_76 = _T_25 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_77 = _T_27 ? 4'hd : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_78 = _T_29 ? 4'he : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_79 = _T_31 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_80 = _T_33 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_81 = _T_35 ? 5'h11 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_82 = _T_37 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_83 = _T_39 ? 5'h13 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_84 = _T_41 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_85 = _T_43 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_86 = _T_45 ? 5'h16 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_87 = _T_47 ? 5'h17 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_88 = _T_49 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_89 = _T_51 ? 5'h19 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_90 = _T_53 ? 5'h1a : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_91 = _T_55 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_92 = _T_57 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_93 = _T_59 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_94 = _T_61 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_95 = _T_63 ? 5'h1f : 5'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_1 = {{1'd0}, _T_3}; // @[Mux.scala 27:72] + wire [1:0] _T_97 = _GEN_1 | _T_66; // @[Mux.scala 27:72] + wire [1:0] _T_98 = _T_97 | _T_67; // @[Mux.scala 27:72] + wire [2:0] _GEN_2 = {{1'd0}, _T_98}; // @[Mux.scala 27:72] + wire [2:0] _T_99 = _GEN_2 | _T_68; // @[Mux.scala 27:72] + wire [2:0] _T_100 = _T_99 | _T_69; // @[Mux.scala 27:72] + wire [2:0] _T_101 = _T_100 | _T_70; // @[Mux.scala 27:72] + wire [2:0] _T_102 = _T_101 | _T_71; // @[Mux.scala 27:72] + wire [3:0] _GEN_3 = {{1'd0}, _T_102}; // @[Mux.scala 27:72] + wire [3:0] _T_103 = _GEN_3 | _T_72; // @[Mux.scala 27:72] + wire [3:0] _T_104 = _T_103 | _T_73; // @[Mux.scala 27:72] + wire [3:0] _T_105 = _T_104 | _T_74; // @[Mux.scala 27:72] + wire [3:0] _T_106 = _T_105 | _T_75; // @[Mux.scala 27:72] + wire [3:0] _T_107 = _T_106 | _T_76; // @[Mux.scala 27:72] + wire [3:0] _T_108 = _T_107 | _T_77; // @[Mux.scala 27:72] + wire [3:0] _T_109 = _T_108 | _T_78; // @[Mux.scala 27:72] + wire [3:0] _T_110 = _T_109 | _T_79; // @[Mux.scala 27:72] + wire [4:0] _GEN_4 = {{1'd0}, _T_110}; // @[Mux.scala 27:72] + wire [4:0] _T_111 = _GEN_4 | _T_80; // @[Mux.scala 27:72] + wire [4:0] _T_112 = _T_111 | _T_81; // @[Mux.scala 27:72] + wire [4:0] _T_113 = _T_112 | _T_82; // @[Mux.scala 27:72] + wire [4:0] _T_114 = _T_113 | _T_83; // @[Mux.scala 27:72] + wire [4:0] _T_115 = _T_114 | _T_84; // @[Mux.scala 27:72] + wire [4:0] _T_116 = _T_115 | _T_85; // @[Mux.scala 27:72] + wire [4:0] _T_117 = _T_116 | _T_86; // @[Mux.scala 27:72] + wire [4:0] _T_118 = _T_117 | _T_87; // @[Mux.scala 27:72] + wire [4:0] _T_119 = _T_118 | _T_88; // @[Mux.scala 27:72] + wire [4:0] _T_120 = _T_119 | _T_89; // @[Mux.scala 27:72] + wire [4:0] _T_121 = _T_120 | _T_90; // @[Mux.scala 27:72] + wire [4:0] _T_122 = _T_121 | _T_91; // @[Mux.scala 27:72] + wire [4:0] _T_123 = _T_122 | _T_92; // @[Mux.scala 27:72] + wire [4:0] _T_124 = _T_123 | _T_93; // @[Mux.scala 27:72] + wire [4:0] _T_125 = _T_124 | _T_94; // @[Mux.scala 27:72] + wire [4:0] cls_zeros = _T_125 | _T_95; // @[Mux.scala 27:72] + wire _T_129 = io_operand[31:0] == 32'hffffffff; // @[exu_div_ctl.scala 512:25] + wire _T_137 = io_operand[31:29] == 3'h6; // @[exu_div_ctl.scala 513:76] + wire _T_142 = io_operand[31:28] == 4'he; // @[exu_div_ctl.scala 513:76] + wire _T_147 = io_operand[31:27] == 5'h1e; // @[exu_div_ctl.scala 513:76] + wire _T_152 = io_operand[31:26] == 6'h3e; // @[exu_div_ctl.scala 513:76] + wire _T_157 = io_operand[31:25] == 7'h7e; // @[exu_div_ctl.scala 513:76] + wire _T_162 = io_operand[31:24] == 8'hfe; // @[exu_div_ctl.scala 513:76] + wire _T_167 = io_operand[31:23] == 9'h1fe; // @[exu_div_ctl.scala 513:76] + wire _T_172 = io_operand[31:22] == 10'h3fe; // @[exu_div_ctl.scala 513:76] + wire _T_177 = io_operand[31:21] == 11'h7fe; // @[exu_div_ctl.scala 513:76] + wire _T_182 = io_operand[31:20] == 12'hffe; // @[exu_div_ctl.scala 513:76] + wire _T_187 = io_operand[31:19] == 13'h1ffe; // @[exu_div_ctl.scala 513:76] + wire _T_192 = io_operand[31:18] == 14'h3ffe; // @[exu_div_ctl.scala 513:76] + wire _T_197 = io_operand[31:17] == 15'h7ffe; // @[exu_div_ctl.scala 513:76] + wire _T_202 = io_operand[31:16] == 16'hfffe; // @[exu_div_ctl.scala 513:76] + wire _T_207 = io_operand[31:15] == 17'h1fffe; // @[exu_div_ctl.scala 513:76] + wire _T_212 = io_operand[31:14] == 18'h3fffe; // @[exu_div_ctl.scala 513:76] + wire _T_217 = io_operand[31:13] == 19'h7fffe; // @[exu_div_ctl.scala 513:76] + wire _T_222 = io_operand[31:12] == 20'hffffe; // @[exu_div_ctl.scala 513:76] + wire _T_227 = io_operand[31:11] == 21'h1ffffe; // @[exu_div_ctl.scala 513:76] + wire _T_232 = io_operand[31:10] == 22'h3ffffe; // @[exu_div_ctl.scala 513:76] + wire _T_237 = io_operand[31:9] == 23'h7ffffe; // @[exu_div_ctl.scala 513:76] + wire _T_242 = io_operand[31:8] == 24'hfffffe; // @[exu_div_ctl.scala 513:76] + wire _T_247 = io_operand[31:7] == 25'h1fffffe; // @[exu_div_ctl.scala 513:76] + wire _T_252 = io_operand[31:6] == 26'h3fffffe; // @[exu_div_ctl.scala 513:76] + wire _T_257 = io_operand[31:5] == 27'h7fffffe; // @[exu_div_ctl.scala 513:76] + wire _T_262 = io_operand[31:4] == 28'hffffffe; // @[exu_div_ctl.scala 513:76] + wire _T_267 = io_operand[31:3] == 29'h1ffffffe; // @[exu_div_ctl.scala 513:76] + wire _T_272 = io_operand[31:2] == 30'h3ffffffe; // @[exu_div_ctl.scala 513:76] + wire _T_277 = io_operand[31:1] == 31'h7ffffffe; // @[exu_div_ctl.scala 513:76] + wire _T_282 = io_operand[31:0] == 32'hfffffffe; // @[exu_div_ctl.scala 513:76] + wire [1:0] _T_286 = _T_142 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_287 = _T_147 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_288 = _T_152 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_289 = _T_157 ? 3'h5 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_290 = _T_162 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_291 = _T_167 ? 3'h7 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_292 = _T_172 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_293 = _T_177 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_294 = _T_182 ? 4'ha : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_295 = _T_187 ? 4'hb : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_296 = _T_192 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_297 = _T_197 ? 4'hd : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_298 = _T_202 ? 4'he : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_299 = _T_207 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_300 = _T_212 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_301 = _T_217 ? 5'h11 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_302 = _T_222 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_303 = _T_227 ? 5'h13 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_304 = _T_232 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_305 = _T_237 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_306 = _T_242 ? 5'h16 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_307 = _T_247 ? 5'h17 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_308 = _T_252 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_309 = _T_257 ? 5'h19 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_310 = _T_262 ? 5'h1a : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_311 = _T_267 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_312 = _T_272 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_313 = _T_277 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_314 = _T_282 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_5 = {{1'd0}, _T_137}; // @[Mux.scala 27:72] + wire [1:0] _T_316 = _GEN_5 | _T_286; // @[Mux.scala 27:72] + wire [1:0] _T_317 = _T_316 | _T_287; // @[Mux.scala 27:72] + wire [2:0] _GEN_6 = {{1'd0}, _T_317}; // @[Mux.scala 27:72] + wire [2:0] _T_318 = _GEN_6 | _T_288; // @[Mux.scala 27:72] + wire [2:0] _T_319 = _T_318 | _T_289; // @[Mux.scala 27:72] + wire [2:0] _T_320 = _T_319 | _T_290; // @[Mux.scala 27:72] + wire [2:0] _T_321 = _T_320 | _T_291; // @[Mux.scala 27:72] + wire [3:0] _GEN_7 = {{1'd0}, _T_321}; // @[Mux.scala 27:72] + wire [3:0] _T_322 = _GEN_7 | _T_292; // @[Mux.scala 27:72] + wire [3:0] _T_323 = _T_322 | _T_293; // @[Mux.scala 27:72] + wire [3:0] _T_324 = _T_323 | _T_294; // @[Mux.scala 27:72] + wire [3:0] _T_325 = _T_324 | _T_295; // @[Mux.scala 27:72] + wire [3:0] _T_326 = _T_325 | _T_296; // @[Mux.scala 27:72] + wire [3:0] _T_327 = _T_326 | _T_297; // @[Mux.scala 27:72] + wire [3:0] _T_328 = _T_327 | _T_298; // @[Mux.scala 27:72] + wire [3:0] _T_329 = _T_328 | _T_299; // @[Mux.scala 27:72] + wire [4:0] _GEN_8 = {{1'd0}, _T_329}; // @[Mux.scala 27:72] + wire [4:0] _T_330 = _GEN_8 | _T_300; // @[Mux.scala 27:72] + wire [4:0] _T_331 = _T_330 | _T_301; // @[Mux.scala 27:72] + wire [4:0] _T_332 = _T_331 | _T_302; // @[Mux.scala 27:72] + wire [4:0] _T_333 = _T_332 | _T_303; // @[Mux.scala 27:72] + wire [4:0] _T_334 = _T_333 | _T_304; // @[Mux.scala 27:72] + wire [4:0] _T_335 = _T_334 | _T_305; // @[Mux.scala 27:72] + wire [4:0] _T_336 = _T_335 | _T_306; // @[Mux.scala 27:72] + wire [4:0] _T_337 = _T_336 | _T_307; // @[Mux.scala 27:72] + wire [4:0] _T_338 = _T_337 | _T_308; // @[Mux.scala 27:72] + wire [4:0] _T_339 = _T_338 | _T_309; // @[Mux.scala 27:72] + wire [4:0] _T_340 = _T_339 | _T_310; // @[Mux.scala 27:72] + wire [4:0] _T_341 = _T_340 | _T_311; // @[Mux.scala 27:72] + wire [4:0] _T_342 = _T_341 | _T_312; // @[Mux.scala 27:72] + wire [4:0] _T_343 = _T_342 | _T_313; // @[Mux.scala 27:72] + wire [4:0] _T_344 = _T_343 | _T_314; // @[Mux.scala 27:72] + wire [4:0] cls_ones = _T_129 ? 5'h1f : _T_344; // @[exu_div_ctl.scala 512:44] + assign io_cls = io_operand[32] ? cls_ones : cls_zeros; // @[exu_div_ctl.scala 514:10] +endmodule +module rvclkhdr( + input io_clk, + input io_en +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = 1'h0; // @[lib.scala 338:18] +endmodule +module exu_div_new_1bit_fullshortq( + input clock, + input reset, + input io_scan_mode, + input io_cancel, + input io_valid_in, + input io_signed_in, + input io_rem_in, + input [31:0] io_dividend_in, + input [31:0] io_divisor_in, + output [31:0] io_data_out, + output io_valid_out +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; +`endif // RANDOMIZE_REG_INIT + wire [32:0] a_enc_io_operand; // @[exu_div_ctl.scala 429:21] + wire [4:0] a_enc_io_cls; // @[exu_div_ctl.scala 429:21] + wire [32:0] b_enc_io_operand; // @[exu_div_ctl.scala 432:21] + wire [4:0] b_enc_io_cls; // @[exu_div_ctl.scala 432:21] + wire rvclkhdr_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_io_en; // @[lib.scala 390:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_1_io_en; // @[lib.scala 390:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_2_io_en; // @[lib.scala 390:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_3_io_en; // @[lib.scala 390:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_4_io_en; // @[lib.scala 390:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_5_io_en; // @[lib.scala 390:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_6_io_en; // @[lib.scala 390:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_7_io_en; // @[lib.scala 390:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_8_io_en; // @[lib.scala 390:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_9_io_en; // @[lib.scala 390:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_10_io_en; // @[lib.scala 390:23] + reg [2:0] control_ff; // @[Reg.scala 27:20] + wire dividend_sign_ff = control_ff[2]; // @[exu_div_ctl.scala 343:40] + wire divisor_sign_ff = control_ff[1]; // @[exu_div_ctl.scala 344:40] + wire rem_ff = control_ff[0]; // @[exu_div_ctl.scala 345:40] + reg [32:0] b_ff; // @[Reg.scala 27:20] + wire _T_1 = b_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 346:54] + reg valid_ff; // @[Reg.scala 27:20] + wire by_zero_case = valid_ff & _T_1; // @[exu_div_ctl.scala 346:40] + reg [31:0] a_ff; // @[Reg.scala 27:20] + wire _T_3 = a_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 347:37] + wire _T_5 = b_ff[31:4] == 28'h0; // @[exu_div_ctl.scala 347:60] + wire _T_6 = _T_3 & _T_5; // @[exu_div_ctl.scala 347:46] + wire _T_7 = ~by_zero_case; // @[exu_div_ctl.scala 347:71] + wire _T_8 = _T_6 & _T_7; // @[exu_div_ctl.scala 347:69] + wire _T_9 = ~rem_ff; // @[exu_div_ctl.scala 347:87] + wire _T_10 = _T_8 & _T_9; // @[exu_div_ctl.scala 347:85] + wire _T_11 = _T_10 & valid_ff; // @[exu_div_ctl.scala 347:95] + wire _T_12 = ~io_cancel; // @[exu_div_ctl.scala 347:108] + wire _T_13 = _T_11 & _T_12; // @[exu_div_ctl.scala 347:106] + wire _T_15 = a_ff == 32'h0; // @[exu_div_ctl.scala 348:18] + wire _T_17 = _T_15 & _T_7; // @[exu_div_ctl.scala 348:27] + wire _T_19 = _T_17 & _T_9; // @[exu_div_ctl.scala 348:43] + wire _T_20 = _T_19 & valid_ff; // @[exu_div_ctl.scala 348:53] + wire _T_22 = _T_20 & _T_12; // @[exu_div_ctl.scala 348:64] + wire smallnum_case = _T_13 | _T_22; // @[exu_div_ctl.scala 347:120] + wire valid_ff_in = io_valid_in & _T_12; // @[exu_div_ctl.scala 349:43] + wire _T_24 = ~io_valid_in; // @[exu_div_ctl.scala 350:35] + wire _T_26 = _T_24 & dividend_sign_ff; // @[exu_div_ctl.scala 350:48] + wire _T_27 = io_valid_in & io_signed_in; // @[exu_div_ctl.scala 350:80] + wire _T_29 = _T_27 & io_dividend_in[31]; // @[exu_div_ctl.scala 350:96] + wire _T_30 = _T_26 | _T_29; // @[exu_div_ctl.scala 350:65] + wire _T_33 = _T_24 & divisor_sign_ff; // @[exu_div_ctl.scala 350:133] + wire _T_36 = _T_27 & io_divisor_in[31]; // @[exu_div_ctl.scala 350:181] + wire _T_37 = _T_33 | _T_36; // @[exu_div_ctl.scala 350:150] + wire _T_40 = _T_24 & rem_ff; // @[exu_div_ctl.scala 350:218] + wire _T_41 = io_valid_in & io_rem_in; // @[exu_div_ctl.scala 350:250] + wire _T_42 = _T_40 | _T_41; // @[exu_div_ctl.scala 350:235] + wire [2:0] control_in = {_T_30,_T_37,_T_42}; // @[Cat.scala 29:58] + reg [6:0] count_ff; // @[Reg.scala 27:20] + wire _T_44 = |count_ff; // @[exu_div_ctl.scala 351:42] + reg shortq_enable_ff; // @[Reg.scala 27:20] + wire running_state = _T_44 | shortq_enable_ff; // @[exu_div_ctl.scala 351:45] + wire _T_45 = io_valid_in | valid_ff; // @[exu_div_ctl.scala 352:43] + wire _T_46 = _T_45 | io_cancel; // @[exu_div_ctl.scala 352:54] + wire _T_47 = _T_46 | running_state; // @[exu_div_ctl.scala 352:66] + reg finish_ff; // @[Reg.scala 27:20] + wire misc_enable = _T_47 | finish_ff; // @[exu_div_ctl.scala 352:82] + wire _T_48 = smallnum_case | by_zero_case; // @[exu_div_ctl.scala 353:45] + wire _T_49 = count_ff == 7'h20; // @[exu_div_ctl.scala 353:72] + wire finish_raw = _T_48 | _T_49; // @[exu_div_ctl.scala 353:60] + wire finish = finish_raw & _T_12; // @[exu_div_ctl.scala 354:41] + wire _T_51 = valid_ff | running_state; // @[exu_div_ctl.scala 355:40] + wire _T_52 = ~finish; // @[exu_div_ctl.scala 355:59] + wire _T_53 = _T_51 & _T_52; // @[exu_div_ctl.scala 355:57] + wire _T_54 = ~finish_ff; // @[exu_div_ctl.scala 355:69] + wire _T_55 = _T_53 & _T_54; // @[exu_div_ctl.scala 355:67] + wire _T_57 = _T_55 & _T_12; // @[exu_div_ctl.scala 355:80] + wire [6:0] _T_841 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_842 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_844 = _T_841 - _T_842; // @[exu_div_ctl.scala 437:41] + wire [6:0] dw_shortq_raw = _T_844 + 7'h1; // @[exu_div_ctl.scala 437:61] + wire [5:0] shortq = dw_shortq_raw[6] ? 6'h0 : dw_shortq_raw[5:0]; // @[exu_div_ctl.scala 438:19] + wire _T_850 = ~shortq[5]; // @[exu_div_ctl.scala 439:31] + wire _T_851 = valid_ff & _T_850; // @[exu_div_ctl.scala 439:29] + wire _T_853 = shortq[4:1] == 4'hf; // @[exu_div_ctl.scala 439:58] + wire _T_854 = ~_T_853; // @[exu_div_ctl.scala 439:44] + wire _T_855 = _T_851 & _T_854; // @[exu_div_ctl.scala 439:42] + wire shortq_enable = _T_855 & _T_12; // @[exu_div_ctl.scala 439:74] + wire _T_58 = ~shortq_enable; // @[exu_div_ctl.scala 355:95] + wire count_enable = _T_57 & _T_58; // @[exu_div_ctl.scala 355:93] + wire [6:0] _T_60 = count_enable ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] + wire [6:0] _T_63 = count_ff + 7'h1; // @[exu_div_ctl.scala 356:63] + reg [4:0] shortq_shift_ff; // @[Reg.scala 27:20] + wire [6:0] _T_64 = {2'h0,shortq_shift_ff}; // @[Cat.scala 29:58] + wire [6:0] _T_66 = _T_63 + _T_64; // @[exu_div_ctl.scala 356:83] + wire [6:0] count_in = _T_60 & _T_66; // @[exu_div_ctl.scala 356:51] + wire a_enable = io_valid_in | running_state; // @[exu_div_ctl.scala 357:43] + wire _T_67 = ~shortq_enable_ff; // @[exu_div_ctl.scala 358:47] + wire a_shift = running_state & _T_67; // @[exu_div_ctl.scala 358:45] + wire [31:0] _T_69 = dividend_sign_ff ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_70 = {_T_69,a_ff}; // @[Cat.scala 29:58] + wire [94:0] _GEN_11 = {{31'd0}, _T_70}; // @[exu_div_ctl.scala 359:68] + wire [94:0] _T_71 = _GEN_11 << shortq_shift_ff; // @[exu_div_ctl.scala 359:68] + wire _T_72 = dividend_sign_ff ^ divisor_sign_ff; // @[exu_div_ctl.scala 360:61] + wire _T_73 = ~_T_72; // @[exu_div_ctl.scala 360:42] + wire b_twos_comp = valid_ff & _T_73; // @[exu_div_ctl.scala 360:40] + wire _T_76 = ~valid_ff; // @[exu_div_ctl.scala 362:30] + wire _T_78 = _T_76 & _T_9; // @[exu_div_ctl.scala 362:40] + wire _T_80 = _T_78 & _T_72; // @[exu_div_ctl.scala 362:50] + reg by_zero_case_ff; // @[Reg.scala 27:20] + wire _T_81 = ~by_zero_case_ff; // @[exu_div_ctl.scala 362:92] + wire twos_comp_q_sel = _T_80 & _T_81; // @[exu_div_ctl.scala 362:90] + wire b_enable = io_valid_in | b_twos_comp; // @[exu_div_ctl.scala 363:43] + wire rq_enable = _T_45 | running_state; // @[exu_div_ctl.scala 364:54] + wire _T_83 = valid_ff & dividend_sign_ff; // @[exu_div_ctl.scala 365:40] + wire r_sign_sel = _T_83 & _T_7; // @[exu_div_ctl.scala 365:59] + reg [31:0] r_ff; // @[Reg.scala 27:20] + wire [32:0] _T_360 = {r_ff,a_ff[31]}; // @[Cat.scala 29:58] + wire [32:0] adder_out = _T_360 + b_ff; // @[exu_div_ctl.scala 395:35] + wire _T_364 = ~adder_out[32]; // @[exu_div_ctl.scala 396:20] + wire _T_365 = _T_364 ^ dividend_sign_ff; // @[exu_div_ctl.scala 396:35] + wire _T_367 = a_ff[30:0] == 31'h0; // @[exu_div_ctl.scala 396:70] + wire _T_368 = adder_out == 33'h0; // @[exu_div_ctl.scala 396:92] + wire _T_369 = _T_367 & _T_368; // @[exu_div_ctl.scala 396:79] + wire quotient_set = _T_365 | _T_369; // @[exu_div_ctl.scala 396:55] + wire _T_85 = ~quotient_set; // @[exu_div_ctl.scala 366:47] + wire _T_86 = running_state & _T_85; // @[exu_div_ctl.scala 366:45] + wire r_restore_sel = _T_86 & _T_67; // @[exu_div_ctl.scala 366:61] + wire _T_88 = running_state & quotient_set; // @[exu_div_ctl.scala 367:45] + wire r_adder_sel = _T_88 & _T_67; // @[exu_div_ctl.scala 367:61] + reg [31:0] q_ff; // @[Reg.scala 27:20] + wire [31:0] _T_91 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_92 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] twos_comp_in = _T_91 | _T_92; // @[Mux.scala 27:72] + wire _T_96 = |twos_comp_in[0]; // @[lib.scala 428:35] + wire _T_98 = ~twos_comp_in[1]; // @[lib.scala 428:40] + wire _T_100 = _T_96 ? _T_98 : twos_comp_in[1]; // @[lib.scala 428:23] + wire _T_102 = |twos_comp_in[1:0]; // @[lib.scala 428:35] + wire _T_104 = ~twos_comp_in[2]; // @[lib.scala 428:40] + wire _T_106 = _T_102 ? _T_104 : twos_comp_in[2]; // @[lib.scala 428:23] + wire _T_108 = |twos_comp_in[2:0]; // @[lib.scala 428:35] + wire _T_110 = ~twos_comp_in[3]; // @[lib.scala 428:40] + wire _T_112 = _T_108 ? _T_110 : twos_comp_in[3]; // @[lib.scala 428:23] + wire _T_114 = |twos_comp_in[3:0]; // @[lib.scala 428:35] + wire _T_116 = ~twos_comp_in[4]; // @[lib.scala 428:40] + wire _T_118 = _T_114 ? _T_116 : twos_comp_in[4]; // @[lib.scala 428:23] + wire _T_120 = |twos_comp_in[4:0]; // @[lib.scala 428:35] + wire _T_122 = ~twos_comp_in[5]; // @[lib.scala 428:40] + wire _T_124 = _T_120 ? _T_122 : twos_comp_in[5]; // @[lib.scala 428:23] + wire _T_126 = |twos_comp_in[5:0]; // @[lib.scala 428:35] + wire _T_128 = ~twos_comp_in[6]; // @[lib.scala 428:40] + wire _T_130 = _T_126 ? _T_128 : twos_comp_in[6]; // @[lib.scala 428:23] + wire _T_132 = |twos_comp_in[6:0]; // @[lib.scala 428:35] + wire _T_134 = ~twos_comp_in[7]; // @[lib.scala 428:40] + wire _T_136 = _T_132 ? _T_134 : twos_comp_in[7]; // @[lib.scala 428:23] + wire _T_138 = |twos_comp_in[7:0]; // @[lib.scala 428:35] + wire _T_140 = ~twos_comp_in[8]; // @[lib.scala 428:40] + wire _T_142 = _T_138 ? _T_140 : twos_comp_in[8]; // @[lib.scala 428:23] + wire _T_144 = |twos_comp_in[8:0]; // @[lib.scala 428:35] + wire _T_146 = ~twos_comp_in[9]; // @[lib.scala 428:40] + wire _T_148 = _T_144 ? _T_146 : twos_comp_in[9]; // @[lib.scala 428:23] + wire _T_150 = |twos_comp_in[9:0]; // @[lib.scala 428:35] + wire _T_152 = ~twos_comp_in[10]; // @[lib.scala 428:40] + wire _T_154 = _T_150 ? _T_152 : twos_comp_in[10]; // @[lib.scala 428:23] + wire _T_156 = |twos_comp_in[10:0]; // @[lib.scala 428:35] + wire _T_158 = ~twos_comp_in[11]; // @[lib.scala 428:40] + wire _T_160 = _T_156 ? _T_158 : twos_comp_in[11]; // @[lib.scala 428:23] + wire _T_162 = |twos_comp_in[11:0]; // @[lib.scala 428:35] + wire _T_164 = ~twos_comp_in[12]; // @[lib.scala 428:40] + wire _T_166 = _T_162 ? _T_164 : twos_comp_in[12]; // @[lib.scala 428:23] + wire _T_168 = |twos_comp_in[12:0]; // @[lib.scala 428:35] + wire _T_170 = ~twos_comp_in[13]; // @[lib.scala 428:40] + wire _T_172 = _T_168 ? _T_170 : twos_comp_in[13]; // @[lib.scala 428:23] + wire _T_174 = |twos_comp_in[13:0]; // @[lib.scala 428:35] + wire _T_176 = ~twos_comp_in[14]; // @[lib.scala 428:40] + wire _T_178 = _T_174 ? _T_176 : twos_comp_in[14]; // @[lib.scala 428:23] + wire _T_180 = |twos_comp_in[14:0]; // @[lib.scala 428:35] + wire _T_182 = ~twos_comp_in[15]; // @[lib.scala 428:40] + wire _T_184 = _T_180 ? _T_182 : twos_comp_in[15]; // @[lib.scala 428:23] + wire _T_186 = |twos_comp_in[15:0]; // @[lib.scala 428:35] + wire _T_188 = ~twos_comp_in[16]; // @[lib.scala 428:40] + wire _T_190 = _T_186 ? _T_188 : twos_comp_in[16]; // @[lib.scala 428:23] + wire _T_192 = |twos_comp_in[16:0]; // @[lib.scala 428:35] + wire _T_194 = ~twos_comp_in[17]; // @[lib.scala 428:40] + wire _T_196 = _T_192 ? _T_194 : twos_comp_in[17]; // @[lib.scala 428:23] + wire _T_198 = |twos_comp_in[17:0]; // @[lib.scala 428:35] + wire _T_200 = ~twos_comp_in[18]; // @[lib.scala 428:40] + wire _T_202 = _T_198 ? _T_200 : twos_comp_in[18]; // @[lib.scala 428:23] + wire _T_204 = |twos_comp_in[18:0]; // @[lib.scala 428:35] + wire _T_206 = ~twos_comp_in[19]; // @[lib.scala 428:40] + wire _T_208 = _T_204 ? _T_206 : twos_comp_in[19]; // @[lib.scala 428:23] + wire _T_210 = |twos_comp_in[19:0]; // @[lib.scala 428:35] + wire _T_212 = ~twos_comp_in[20]; // @[lib.scala 428:40] + wire _T_214 = _T_210 ? _T_212 : twos_comp_in[20]; // @[lib.scala 428:23] + wire _T_216 = |twos_comp_in[20:0]; // @[lib.scala 428:35] + wire _T_218 = ~twos_comp_in[21]; // @[lib.scala 428:40] + wire _T_220 = _T_216 ? _T_218 : twos_comp_in[21]; // @[lib.scala 428:23] + wire _T_222 = |twos_comp_in[21:0]; // @[lib.scala 428:35] + wire _T_224 = ~twos_comp_in[22]; // @[lib.scala 428:40] + wire _T_226 = _T_222 ? _T_224 : twos_comp_in[22]; // @[lib.scala 428:23] + wire _T_228 = |twos_comp_in[22:0]; // @[lib.scala 428:35] + wire _T_230 = ~twos_comp_in[23]; // @[lib.scala 428:40] + wire _T_232 = _T_228 ? _T_230 : twos_comp_in[23]; // @[lib.scala 428:23] + wire _T_234 = |twos_comp_in[23:0]; // @[lib.scala 428:35] + wire _T_236 = ~twos_comp_in[24]; // @[lib.scala 428:40] + wire _T_238 = _T_234 ? _T_236 : twos_comp_in[24]; // @[lib.scala 428:23] + wire _T_240 = |twos_comp_in[24:0]; // @[lib.scala 428:35] + wire _T_242 = ~twos_comp_in[25]; // @[lib.scala 428:40] + wire _T_244 = _T_240 ? _T_242 : twos_comp_in[25]; // @[lib.scala 428:23] + wire _T_246 = |twos_comp_in[25:0]; // @[lib.scala 428:35] + wire _T_248 = ~twos_comp_in[26]; // @[lib.scala 428:40] + wire _T_250 = _T_246 ? _T_248 : twos_comp_in[26]; // @[lib.scala 428:23] + wire _T_252 = |twos_comp_in[26:0]; // @[lib.scala 428:35] + wire _T_254 = ~twos_comp_in[27]; // @[lib.scala 428:40] + wire _T_256 = _T_252 ? _T_254 : twos_comp_in[27]; // @[lib.scala 428:23] + wire _T_258 = |twos_comp_in[27:0]; // @[lib.scala 428:35] + wire _T_260 = ~twos_comp_in[28]; // @[lib.scala 428:40] + wire _T_262 = _T_258 ? _T_260 : twos_comp_in[28]; // @[lib.scala 428:23] + wire _T_264 = |twos_comp_in[28:0]; // @[lib.scala 428:35] + wire _T_266 = ~twos_comp_in[29]; // @[lib.scala 428:40] + wire _T_268 = _T_264 ? _T_266 : twos_comp_in[29]; // @[lib.scala 428:23] + wire _T_270 = |twos_comp_in[29:0]; // @[lib.scala 428:35] + wire _T_272 = ~twos_comp_in[30]; // @[lib.scala 428:40] + wire _T_274 = _T_270 ? _T_272 : twos_comp_in[30]; // @[lib.scala 428:23] + wire _T_276 = |twos_comp_in[30:0]; // @[lib.scala 428:35] + wire _T_278 = ~twos_comp_in[31]; // @[lib.scala 428:40] + wire _T_280 = _T_276 ? _T_278 : twos_comp_in[31]; // @[lib.scala 428:23] + wire [6:0] _T_286 = {_T_136,_T_130,_T_124,_T_118,_T_112,_T_106,_T_100}; // @[lib.scala 430:14] + wire [14:0] _T_294 = {_T_184,_T_178,_T_172,_T_166,_T_160,_T_154,_T_148,_T_142,_T_286}; // @[lib.scala 430:14] + wire [7:0] _T_301 = {_T_232,_T_226,_T_220,_T_214,_T_208,_T_202,_T_196,_T_190}; // @[lib.scala 430:14] + wire [30:0] _T_310 = {_T_280,_T_274,_T_268,_T_262,_T_256,_T_250,_T_244,_T_238,_T_301,_T_294}; // @[lib.scala 430:14] + wire [31:0] twos_comp_out = {_T_310,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire _T_312 = ~a_shift; // @[exu_div_ctl.scala 375:6] + wire _T_314 = _T_312 & _T_67; // @[exu_div_ctl.scala 375:15] + wire [31:0] _T_317 = {a_ff[30:0],1'h0}; // @[Cat.scala 29:58] + wire [63:0] ar_shifted = _T_71[63:0]; // @[exu_div_ctl.scala 359:28] + wire [31:0] _T_319 = _T_314 ? io_dividend_in : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_320 = a_shift ? _T_317 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_321 = shortq_enable_ff ? ar_shifted[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_322 = _T_319 | _T_320; // @[Mux.scala 27:72] + wire [31:0] a_in = _T_322 | _T_321; // @[Mux.scala 27:72] + wire _T_324 = ~b_twos_comp; // @[exu_div_ctl.scala 380:5] + wire _T_326 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 380:63] + wire [32:0] _T_328 = {_T_326,io_divisor_in}; // @[Cat.scala 29:58] + wire _T_329 = ~divisor_sign_ff; // @[exu_div_ctl.scala 381:50] + wire [32:0] _T_331 = {_T_329,_T_310,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire [32:0] _T_332 = _T_324 ? _T_328 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_333 = b_twos_comp ? _T_331 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] b_in = _T_332 | _T_333; // @[Mux.scala 27:72] + wire [31:0] _T_337 = {r_ff[30:0],a_ff[31]}; // @[Cat.scala 29:58] + wire [31:0] _T_340 = r_sign_sel ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_341 = r_restore_sel ? _T_337 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_342 = r_adder_sel ? adder_out[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_343 = shortq_enable_ff ? ar_shifted[63:32] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_344 = by_zero_case ? a_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_345 = _T_340 | _T_341; // @[Mux.scala 27:72] + wire [31:0] _T_346 = _T_345 | _T_342; // @[Mux.scala 27:72] + wire [31:0] _T_347 = _T_346 | _T_343; // @[Mux.scala 27:72] + wire [31:0] r_in = _T_347 | _T_344; // @[Mux.scala 27:72] + wire [31:0] _T_351 = {q_ff[30:0],quotient_set}; // @[Cat.scala 29:58] + wire _T_385 = ~b_ff[3]; // @[exu_div_ctl.scala 405:70] + wire _T_387 = ~b_ff[2]; // @[exu_div_ctl.scala 405:70] + wire _T_390 = _T_385 & _T_387; // @[exu_div_ctl.scala 405:95] + wire _T_389 = ~b_ff[1]; // @[exu_div_ctl.scala 405:70] + wire _T_391 = _T_390 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_392 = a_ff[3] & _T_391; // @[exu_div_ctl.scala 406:11] + wire _T_399 = a_ff[3] & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_401 = ~b_ff[0]; // @[exu_div_ctl.scala 412:33] + wire _T_402 = _T_399 & _T_401; // @[exu_div_ctl.scala 412:31] + wire _T_412 = a_ff[2] & _T_391; // @[exu_div_ctl.scala 406:11] + wire _T_413 = _T_402 | _T_412; // @[exu_div_ctl.scala 412:42] + wire _T_416 = a_ff[3] & a_ff[2]; // @[exu_div_ctl.scala 404:95] + wire _T_422 = _T_416 & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_423 = _T_413 | _T_422; // @[exu_div_ctl.scala 412:75] + wire _T_430 = a_ff[2] & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_433 = _T_430 & _T_401; // @[exu_div_ctl.scala 414:31] + wire _T_443 = a_ff[1] & _T_391; // @[exu_div_ctl.scala 406:11] + wire _T_444 = _T_433 | _T_443; // @[exu_div_ctl.scala 414:42] + wire _T_450 = _T_385 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_451 = a_ff[3] & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_454 = _T_451 & _T_401; // @[exu_div_ctl.scala 414:106] + wire _T_455 = _T_444 | _T_454; // @[exu_div_ctl.scala 414:78] + wire _T_458 = ~a_ff[2]; // @[exu_div_ctl.scala 404:70] + wire _T_459 = a_ff[3] & _T_458; // @[exu_div_ctl.scala 404:95] + wire _T_467 = _T_390 & b_ff[1]; // @[exu_div_ctl.scala 405:95] + wire _T_468 = _T_467 & b_ff[0]; // @[exu_div_ctl.scala 405:95] + wire _T_469 = _T_459 & _T_468; // @[exu_div_ctl.scala 406:11] + wire _T_470 = _T_455 | _T_469; // @[exu_div_ctl.scala 414:117] + wire _T_472 = ~a_ff[3]; // @[exu_div_ctl.scala 404:70] + wire _T_475 = _T_472 & a_ff[2]; // @[exu_div_ctl.scala 404:95] + wire _T_476 = _T_475 & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_482 = _T_476 & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_483 = _T_470 | _T_482; // @[exu_div_ctl.scala 415:44] + wire _T_489 = _T_416 & _T_385; // @[exu_div_ctl.scala 406:11] + wire _T_492 = _T_489 & _T_401; // @[exu_div_ctl.scala 415:107] + wire _T_493 = _T_483 | _T_492; // @[exu_div_ctl.scala 415:80] + wire _T_502 = _T_385 & b_ff[2]; // @[exu_div_ctl.scala 405:95] + wire _T_503 = _T_502 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_504 = _T_416 & _T_503; // @[exu_div_ctl.scala 406:11] + wire _T_505 = _T_493 | _T_504; // @[exu_div_ctl.scala 415:119] + wire _T_508 = a_ff[3] & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_514 = _T_508 & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_515 = _T_505 | _T_514; // @[exu_div_ctl.scala 416:44] + wire _T_520 = _T_416 & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_525 = _T_520 & _T_502; // @[exu_div_ctl.scala 406:11] + wire _T_526 = _T_515 | _T_525; // @[exu_div_ctl.scala 416:79] + wire _T_530 = a_ff[2] & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_531 = _T_530 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_537 = _T_531 & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_543 = _T_459 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_548 = _T_385 & b_ff[1]; // @[exu_div_ctl.scala 405:95] + wire _T_549 = _T_548 & b_ff[0]; // @[exu_div_ctl.scala 405:95] + wire _T_550 = _T_543 & _T_549; // @[exu_div_ctl.scala 406:11] + wire _T_551 = _T_537 | _T_550; // @[exu_div_ctl.scala 418:45] + wire _T_558 = a_ff[2] & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_561 = _T_558 & _T_401; // @[exu_div_ctl.scala 418:114] + wire _T_562 = _T_551 | _T_561; // @[exu_div_ctl.scala 418:86] + wire _T_569 = a_ff[1] & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_572 = _T_569 & _T_401; // @[exu_div_ctl.scala 419:33] + wire _T_573 = _T_562 | _T_572; // @[exu_div_ctl.scala 418:129] + wire _T_583 = a_ff[0] & _T_391; // @[exu_div_ctl.scala 406:11] + wire _T_584 = _T_573 | _T_583; // @[exu_div_ctl.scala 419:47] + wire _T_589 = ~a_ff[1]; // @[exu_div_ctl.scala 404:70] + wire _T_591 = _T_475 & _T_589; // @[exu_div_ctl.scala 404:95] + wire _T_601 = _T_591 & _T_468; // @[exu_div_ctl.scala 406:11] + wire _T_602 = _T_584 | _T_601; // @[exu_div_ctl.scala 419:88] + wire _T_611 = _T_476 & _T_385; // @[exu_div_ctl.scala 406:11] + wire _T_614 = _T_611 & _T_401; // @[exu_div_ctl.scala 420:36] + wire _T_615 = _T_602 | _T_614; // @[exu_div_ctl.scala 419:131] + wire _T_621 = _T_387 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_622 = a_ff[3] & _T_621; // @[exu_div_ctl.scala 406:11] + wire _T_625 = _T_622 & _T_401; // @[exu_div_ctl.scala 420:76] + wire _T_626 = _T_615 | _T_625; // @[exu_div_ctl.scala 420:47] + wire _T_636 = _T_502 & b_ff[1]; // @[exu_div_ctl.scala 405:95] + wire _T_637 = _T_459 & _T_636; // @[exu_div_ctl.scala 406:11] + wire _T_638 = _T_626 | _T_637; // @[exu_div_ctl.scala 420:88] + wire _T_652 = _T_476 & _T_503; // @[exu_div_ctl.scala 406:11] + wire _T_653 = _T_638 | _T_652; // @[exu_div_ctl.scala 420:131] + wire _T_659 = _T_475 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_665 = _T_659 & _T_450; // @[exu_div_ctl.scala 406:11] + wire _T_666 = _T_653 | _T_665; // @[exu_div_ctl.scala 421:47] + wire _T_673 = _T_459 & _T_589; // @[exu_div_ctl.scala 404:95] + wire _T_679 = _T_502 & b_ff[0]; // @[exu_div_ctl.scala 405:95] + wire _T_680 = _T_673 & _T_679; // @[exu_div_ctl.scala 406:11] + wire _T_681 = _T_666 | _T_680; // @[exu_div_ctl.scala 421:88] + wire _T_686 = _T_458 & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_687 = _T_686 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_693 = _T_687 & _T_390; // @[exu_div_ctl.scala 406:11] + wire _T_694 = _T_681 | _T_693; // @[exu_div_ctl.scala 421:131] + wire _T_700 = _T_416 & _T_389; // @[exu_div_ctl.scala 406:11] + wire _T_703 = _T_700 & _T_401; // @[exu_div_ctl.scala 422:75] + wire _T_704 = _T_694 | _T_703; // @[exu_div_ctl.scala 422:47] + wire _T_712 = _T_476 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_717 = _T_712 & _T_502; // @[exu_div_ctl.scala 406:11] + wire _T_718 = _T_704 | _T_717; // @[exu_div_ctl.scala 422:88] + wire _T_725 = b_ff[3] & _T_387; // @[exu_div_ctl.scala 405:95] + wire _T_726 = _T_416 & _T_725; // @[exu_div_ctl.scala 406:11] + wire _T_727 = _T_718 | _T_726; // @[exu_div_ctl.scala 422:131] + wire _T_737 = _T_725 & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_738 = _T_508 & _T_737; // @[exu_div_ctl.scala 406:11] + wire _T_739 = _T_727 | _T_738; // @[exu_div_ctl.scala 423:47] + wire _T_742 = a_ff[3] & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_748 = _T_742 & _T_621; // @[exu_div_ctl.scala 406:11] + wire _T_749 = _T_739 | _T_748; // @[exu_div_ctl.scala 423:88] + wire _T_753 = a_ff[3] & _T_589; // @[exu_div_ctl.scala 404:95] + wire _T_761 = _T_636 & b_ff[0]; // @[exu_div_ctl.scala 405:95] + wire _T_762 = _T_753 & _T_761; // @[exu_div_ctl.scala 406:11] + wire _T_763 = _T_749 | _T_762; // @[exu_div_ctl.scala 423:131] + wire _T_770 = _T_520 & b_ff[3]; // @[exu_div_ctl.scala 406:11] + wire _T_773 = _T_770 & _T_401; // @[exu_div_ctl.scala 424:77] + wire _T_774 = _T_763 | _T_773; // @[exu_div_ctl.scala 424:47] + wire _T_783 = b_ff[3] & _T_389; // @[exu_div_ctl.scala 405:95] + wire _T_784 = _T_520 & _T_783; // @[exu_div_ctl.scala 406:11] + wire _T_785 = _T_774 | _T_784; // @[exu_div_ctl.scala 424:88] + wire _T_790 = _T_416 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_795 = _T_790 & _T_783; // @[exu_div_ctl.scala 406:11] + wire _T_796 = _T_785 | _T_795; // @[exu_div_ctl.scala 424:131] + wire _T_802 = _T_459 & a_ff[1]; // @[exu_div_ctl.scala 404:95] + wire _T_807 = _T_802 & _T_548; // @[exu_div_ctl.scala 406:11] + wire _T_808 = _T_796 | _T_807; // @[exu_div_ctl.scala 425:47] + wire _T_813 = _T_508 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_816 = _T_813 & _T_387; // @[exu_div_ctl.scala 406:11] + wire _T_817 = _T_808 | _T_816; // @[exu_div_ctl.scala 425:88] + wire _T_824 = _T_520 & a_ff[0]; // @[exu_div_ctl.scala 404:95] + wire _T_826 = _T_824 & b_ff[3]; // @[exu_div_ctl.scala 406:11] + wire _T_827 = _T_817 | _T_826; // @[exu_div_ctl.scala 425:131] + wire _T_833 = _T_508 & _T_387; // @[exu_div_ctl.scala 406:11] + wire _T_836 = _T_833 & _T_401; // @[exu_div_ctl.scala 426:74] + wire _T_837 = _T_827 | _T_836; // @[exu_div_ctl.scala 426:47] + wire [31:0] _T_352 = {28'h0,_T_392,_T_423,_T_526,_T_837}; // @[Cat.scala 29:58] + wire [31:0] _T_354 = _T_76 ? _T_351 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_355 = smallnum_case ? _T_352 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_356 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_357 = _T_354 | _T_355; // @[Mux.scala 27:72] + wire [31:0] q_in = _T_357 | _T_356; // @[Mux.scala 27:72] + wire _T_374 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 399:16] + wire _T_375 = _T_9 & _T_374; // @[exu_div_ctl.scala 399:14] + wire [31:0] _T_377 = _T_375 ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_378 = rem_ff ? r_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_379 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_380 = _T_377 | _T_378; // @[Mux.scala 27:72] + wire [4:0] _T_861 = 5'h1f - shortq[4:0]; // @[exu_div_ctl.scala 440:57] + exu_div_cls a_enc ( // @[exu_div_ctl.scala 429:21] + .io_operand(a_enc_io_operand), + .io_cls(a_enc_io_cls) + ); + exu_div_cls b_enc ( // @[exu_div_ctl.scala 432:21] + .io_operand(b_enc_io_operand), + .io_cls(b_enc_io_cls) + ); + rvclkhdr rvclkhdr ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en) + ); + assign io_data_out = _T_380 | _T_379; // @[exu_div_ctl.scala 398:15] + assign io_valid_out = finish_ff & _T_12; // @[exu_div_ctl.scala 397:16] + assign a_enc_io_operand = {dividend_sign_ff,a_ff}; // @[exu_div_ctl.scala 430:20] + assign b_enc_io_operand = b_ff; // @[exu_div_ctl.scala 433:20] + assign rvclkhdr_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_1_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_2_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_3_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_4_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_5_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_6_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_7_io_en = io_valid_in | running_state; // @[lib.scala 393:17] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_8_io_en = io_valid_in | b_twos_comp; // @[lib.scala 393:17] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_9_io_en = _T_45 | running_state; // @[lib.scala 393:17] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_10_io_en = _T_45 | running_state; // @[lib.scala 393:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + control_ff = _RAND_0[2:0]; + _RAND_1 = {2{`RANDOM}}; + b_ff = _RAND_1[32:0]; + _RAND_2 = {1{`RANDOM}}; + valid_ff = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + a_ff = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + count_ff = _RAND_4[6:0]; + _RAND_5 = {1{`RANDOM}}; + shortq_enable_ff = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + finish_ff = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + shortq_shift_ff = _RAND_7[4:0]; + _RAND_8 = {1{`RANDOM}}; + by_zero_case_ff = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + r_ff = _RAND_9[31:0]; + _RAND_10 = {1{`RANDOM}}; + q_ff = _RAND_10[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + control_ff = 3'h0; + end + if (reset) begin + b_ff = 33'h0; + end + if (reset) begin + valid_ff = 1'h0; + end + if (reset) begin + a_ff = 32'h0; + end + if (reset) begin + count_ff = 7'h0; + end + if (reset) begin + shortq_enable_ff = 1'h0; + end + if (reset) begin + finish_ff = 1'h0; + end + if (reset) begin + shortq_shift_ff = 5'h0; + end + if (reset) begin + by_zero_case_ff = 1'h0; + end + if (reset) begin + r_ff = 32'h0; + end + if (reset) begin + q_ff = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + control_ff <= 3'h0; + end else if (misc_enable) begin + control_ff <= control_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + b_ff <= 33'h0; + end else if (b_enable) begin + b_ff <= b_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + valid_ff <= 1'h0; + end else if (misc_enable) begin + valid_ff <= valid_ff_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + a_ff <= 32'h0; + end else if (a_enable) begin + a_ff <= a_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + count_ff <= 7'h0; + end else if (misc_enable) begin + count_ff <= count_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_enable_ff <= 1'h0; + end else if (misc_enable) begin + shortq_enable_ff <= shortq_enable; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + finish_ff <= 1'h0; + end else if (misc_enable) begin + finish_ff <= finish; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_shift_ff <= 5'h0; + end else if (misc_enable) begin + if (_T_58) begin + shortq_shift_ff <= 5'h0; + end else begin + shortq_shift_ff <= _T_861; + end + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + by_zero_case_ff <= 1'h0; + end else if (misc_enable) begin + by_zero_case_ff <= by_zero_case; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + r_ff <= 32'h0; + end else if (rq_enable) begin + r_ff <= r_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + q_ff <= 32'h0; + end else if (rq_enable) begin + q_ff <= q_in; + end + end +endmodule diff --git a/exu_div_new_2bit_fullshortq.anno.json b/exu_div_new_2bit_fullshortq.anno.json new file mode 100644 index 00000000..c179975b --- /dev/null +++ b/exu_div_new_2bit_fullshortq.anno.json @@ -0,0 +1,30 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~exu_div_new_2bit_fullshortq|exu_div_new_2bit_fullshortq>io_valid_out", + "sources":[ + "~exu_div_new_2bit_fullshortq|exu_div_new_2bit_fullshortq>io_cancel" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"exu_div_new_2bit_fullshortq.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"exu_div_new_2bit_fullshortq" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/exu_div_new_2bit_fullshortq.fir b/exu_div_new_2bit_fullshortq.fir new file mode 100644 index 00000000..1d137815 --- /dev/null +++ b/exu_div_new_2bit_fullshortq.fir @@ -0,0 +1,2174 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit exu_div_new_2bit_fullshortq : + module exu_div_cls : + input clock : Clock + input reset : Reset + output io : {flip operand : UInt<33>, cls : UInt<5>} + + wire cls_zeros : UInt<5> + cls_zeros <= UInt<5>("h00") + wire cls_ones : UInt<5> + cls_ones <= UInt<5>("h00") + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 655:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 655:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 655:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 655:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 655:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 655:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 655:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 655:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 655:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 655:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 655:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 655:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 655:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 655:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 655:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 655:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 655:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 655:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 655:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 655:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 655:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 655:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 655:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 655:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 655:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 655:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 655:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 655:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 655:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 655:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 655:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 655:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72] + node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72] + node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72] + node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72] + node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72] + node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72] + node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72] + node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72] + node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72] + node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72] + node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72] + node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72] + node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72] + node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72] + node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72] + node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72] + node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72] + node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72] + node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72] + node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72] + node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72] + node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72] + node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72] + node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72] + node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72] + node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72] + node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72] + node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72] + node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72] + node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72] + node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] + wire _T_127 : UInt<5> @[Mux.scala 27:72] + _T_127 <= _T_126 @[Mux.scala 27:72] + cls_zeros <= _T_127 @[exu_div_ctl.scala 655:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 657:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 657:25] + when _T_129 : @[exu_div_ctl.scala 657:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 657:55] + skip @[exu_div_ctl.scala 657:44] + else : @[exu_div_ctl.scala 658:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 658:66] + node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 658:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 658:66] + node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 658:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 658:66] + node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 658:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 658:66] + node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 658:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 658:66] + node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 658:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 658:66] + node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 658:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 658:66] + node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 658:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 658:66] + node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 658:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 658:66] + node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 658:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 658:66] + node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 658:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 658:66] + node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 658:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 658:66] + node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 658:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 658:66] + node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 658:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 658:66] + node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 658:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 658:66] + node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 658:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 658:66] + node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 658:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 658:66] + node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 658:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 658:66] + node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 658:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 658:66] + node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 658:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 658:66] + node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 658:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 658:66] + node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 658:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 658:66] + node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 658:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 658:66] + node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 658:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 658:66] + node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 658:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 658:66] + node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 658:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 658:66] + node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 658:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 658:66] + node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 658:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 658:66] + node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 658:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 658:66] + node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 658:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 658:66] + node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 658:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 658:66] + node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 658:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_287 = mux(_T_148, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_153, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = mux(_T_158, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_290 = mux(_T_163, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_291 = mux(_T_168, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_292 = mux(_T_173, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_293 = mux(_T_178, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_294 = mux(_T_183, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_295 = mux(_T_188, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_296 = mux(_T_193, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_297 = mux(_T_198, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_298 = mux(_T_203, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_299 = mux(_T_208, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_300 = mux(_T_213, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_301 = mux(_T_218, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_302 = mux(_T_223, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_303 = mux(_T_228, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_304 = mux(_T_233, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_305 = mux(_T_238, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_306 = mux(_T_243, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_307 = mux(_T_248, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_308 = mux(_T_253, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_309 = mux(_T_258, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_310 = mux(_T_263, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_268, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_273, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_278, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = mux(_T_283, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_315 = or(_T_284, _T_285) @[Mux.scala 27:72] + node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72] + node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72] + node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72] + node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72] + node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72] + node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72] + node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72] + node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72] + node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72] + node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72] + node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72] + node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72] + node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72] + node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72] + node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72] + node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72] + node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72] + node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72] + node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72] + node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72] + node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72] + node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72] + node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] + wire _T_345 : UInt<5> @[Mux.scala 27:72] + _T_345 <= _T_344 @[Mux.scala 27:72] + cls_ones <= _T_345 @[exu_div_ctl.scala 658:25] + skip @[exu_div_ctl.scala 658:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 659:27] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 659:16] + io.cls <= _T_347 @[exu_div_ctl.scala 659:10] + + module exu_div_cls_1 : + input clock : Clock + input reset : Reset + output io : {flip operand : UInt<33>, cls : UInt<5>} + + wire cls_zeros : UInt<5> + cls_zeros <= UInt<5>("h00") + wire cls_ones : UInt<5> + cls_ones <= UInt<5>("h00") + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 655:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 655:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 655:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 655:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 655:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 655:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 655:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 655:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 655:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 655:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 655:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 655:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 655:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 655:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 655:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 655:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 655:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 655:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 655:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 655:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 655:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 655:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 655:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 655:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 655:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 655:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 655:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 655:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 655:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 655:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 655:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 655:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 655:63] + node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_67 = mux(_T_7, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_68 = mux(_T_9, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_69 = mux(_T_11, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_70 = mux(_T_13, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_71 = mux(_T_15, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_72 = mux(_T_17, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_73 = mux(_T_19, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_74 = mux(_T_21, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_75 = mux(_T_23, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_76 = mux(_T_25, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_77 = mux(_T_27, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_78 = mux(_T_29, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_79 = mux(_T_31, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_80 = mux(_T_33, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_81 = mux(_T_35, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_37, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_39, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_41, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = mux(_T_43, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_86 = mux(_T_45, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_87 = mux(_T_47, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_88 = mux(_T_49, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_89 = mux(_T_51, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_90 = mux(_T_53, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_91 = mux(_T_55, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_92 = mux(_T_57, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_93 = mux(_T_59, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_94 = mux(_T_61, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_95 = mux(_T_63, UInt<5>("h01f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_96 = or(_T_64, _T_65) @[Mux.scala 27:72] + node _T_97 = or(_T_96, _T_66) @[Mux.scala 27:72] + node _T_98 = or(_T_97, _T_67) @[Mux.scala 27:72] + node _T_99 = or(_T_98, _T_68) @[Mux.scala 27:72] + node _T_100 = or(_T_99, _T_69) @[Mux.scala 27:72] + node _T_101 = or(_T_100, _T_70) @[Mux.scala 27:72] + node _T_102 = or(_T_101, _T_71) @[Mux.scala 27:72] + node _T_103 = or(_T_102, _T_72) @[Mux.scala 27:72] + node _T_104 = or(_T_103, _T_73) @[Mux.scala 27:72] + node _T_105 = or(_T_104, _T_74) @[Mux.scala 27:72] + node _T_106 = or(_T_105, _T_75) @[Mux.scala 27:72] + node _T_107 = or(_T_106, _T_76) @[Mux.scala 27:72] + node _T_108 = or(_T_107, _T_77) @[Mux.scala 27:72] + node _T_109 = or(_T_108, _T_78) @[Mux.scala 27:72] + node _T_110 = or(_T_109, _T_79) @[Mux.scala 27:72] + node _T_111 = or(_T_110, _T_80) @[Mux.scala 27:72] + node _T_112 = or(_T_111, _T_81) @[Mux.scala 27:72] + node _T_113 = or(_T_112, _T_82) @[Mux.scala 27:72] + node _T_114 = or(_T_113, _T_83) @[Mux.scala 27:72] + node _T_115 = or(_T_114, _T_84) @[Mux.scala 27:72] + node _T_116 = or(_T_115, _T_85) @[Mux.scala 27:72] + node _T_117 = or(_T_116, _T_86) @[Mux.scala 27:72] + node _T_118 = or(_T_117, _T_87) @[Mux.scala 27:72] + node _T_119 = or(_T_118, _T_88) @[Mux.scala 27:72] + node _T_120 = or(_T_119, _T_89) @[Mux.scala 27:72] + node _T_121 = or(_T_120, _T_90) @[Mux.scala 27:72] + node _T_122 = or(_T_121, _T_91) @[Mux.scala 27:72] + node _T_123 = or(_T_122, _T_92) @[Mux.scala 27:72] + node _T_124 = or(_T_123, _T_93) @[Mux.scala 27:72] + node _T_125 = or(_T_124, _T_94) @[Mux.scala 27:72] + node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] + wire _T_127 : UInt<5> @[Mux.scala 27:72] + _T_127 <= _T_126 @[Mux.scala 27:72] + cls_zeros <= _T_127 @[exu_div_ctl.scala 655:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 657:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 657:25] + when _T_129 : @[exu_div_ctl.scala 657:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 657:55] + skip @[exu_div_ctl.scala 657:44] + else : @[exu_div_ctl.scala 658:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 658:66] + node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 658:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 658:66] + node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 658:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 658:66] + node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 658:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 658:66] + node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 658:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 658:66] + node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 658:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 658:66] + node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 658:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 658:66] + node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 658:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 658:66] + node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 658:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 658:66] + node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 658:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 658:66] + node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 658:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 658:66] + node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] + node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 658:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 658:66] + node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 658:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 658:66] + node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] + node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 658:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 658:66] + node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 658:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 658:66] + node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 658:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 658:66] + node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 658:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 658:66] + node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 658:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 658:66] + node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] + node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 658:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 658:66] + node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 658:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 658:66] + node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 658:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 658:66] + node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] + node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 658:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 658:66] + node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] + node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 658:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 658:66] + node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] + node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 658:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 658:66] + node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 658:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 658:66] + node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] + node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 658:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 658:66] + node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] + node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 658:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 658:66] + node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] + node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 658:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 658:66] + node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] + node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 658:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 658:66] + node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] + node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 658:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 658:66] + node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] + node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 658:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 658:66] + node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 658:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 658:102] + node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_287 = mux(_T_148, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_153, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = mux(_T_158, UInt<3>("h05"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_290 = mux(_T_163, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_291 = mux(_T_168, UInt<3>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_292 = mux(_T_173, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_293 = mux(_T_178, UInt<4>("h09"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_294 = mux(_T_183, UInt<4>("h0a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_295 = mux(_T_188, UInt<4>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_296 = mux(_T_193, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_297 = mux(_T_198, UInt<4>("h0d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_298 = mux(_T_203, UInt<4>("h0e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_299 = mux(_T_208, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_300 = mux(_T_213, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_301 = mux(_T_218, UInt<5>("h011"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_302 = mux(_T_223, UInt<5>("h012"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_303 = mux(_T_228, UInt<5>("h013"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_304 = mux(_T_233, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_305 = mux(_T_238, UInt<5>("h015"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_306 = mux(_T_243, UInt<5>("h016"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_307 = mux(_T_248, UInt<5>("h017"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_308 = mux(_T_253, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_309 = mux(_T_258, UInt<5>("h019"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_310 = mux(_T_263, UInt<5>("h01a"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_311 = mux(_T_268, UInt<5>("h01b"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_312 = mux(_T_273, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_313 = mux(_T_278, UInt<5>("h01d"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_314 = mux(_T_283, UInt<5>("h01e"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_315 = or(_T_284, _T_285) @[Mux.scala 27:72] + node _T_316 = or(_T_315, _T_286) @[Mux.scala 27:72] + node _T_317 = or(_T_316, _T_287) @[Mux.scala 27:72] + node _T_318 = or(_T_317, _T_288) @[Mux.scala 27:72] + node _T_319 = or(_T_318, _T_289) @[Mux.scala 27:72] + node _T_320 = or(_T_319, _T_290) @[Mux.scala 27:72] + node _T_321 = or(_T_320, _T_291) @[Mux.scala 27:72] + node _T_322 = or(_T_321, _T_292) @[Mux.scala 27:72] + node _T_323 = or(_T_322, _T_293) @[Mux.scala 27:72] + node _T_324 = or(_T_323, _T_294) @[Mux.scala 27:72] + node _T_325 = or(_T_324, _T_295) @[Mux.scala 27:72] + node _T_326 = or(_T_325, _T_296) @[Mux.scala 27:72] + node _T_327 = or(_T_326, _T_297) @[Mux.scala 27:72] + node _T_328 = or(_T_327, _T_298) @[Mux.scala 27:72] + node _T_329 = or(_T_328, _T_299) @[Mux.scala 27:72] + node _T_330 = or(_T_329, _T_300) @[Mux.scala 27:72] + node _T_331 = or(_T_330, _T_301) @[Mux.scala 27:72] + node _T_332 = or(_T_331, _T_302) @[Mux.scala 27:72] + node _T_333 = or(_T_332, _T_303) @[Mux.scala 27:72] + node _T_334 = or(_T_333, _T_304) @[Mux.scala 27:72] + node _T_335 = or(_T_334, _T_305) @[Mux.scala 27:72] + node _T_336 = or(_T_335, _T_306) @[Mux.scala 27:72] + node _T_337 = or(_T_336, _T_307) @[Mux.scala 27:72] + node _T_338 = or(_T_337, _T_308) @[Mux.scala 27:72] + node _T_339 = or(_T_338, _T_309) @[Mux.scala 27:72] + node _T_340 = or(_T_339, _T_310) @[Mux.scala 27:72] + node _T_341 = or(_T_340, _T_311) @[Mux.scala 27:72] + node _T_342 = or(_T_341, _T_312) @[Mux.scala 27:72] + node _T_343 = or(_T_342, _T_313) @[Mux.scala 27:72] + node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] + wire _T_345 : UInt<5> @[Mux.scala 27:72] + _T_345 <= _T_344 @[Mux.scala 27:72] + cls_ones <= _T_345 @[exu_div_ctl.scala 658:25] + skip @[exu_div_ctl.scala 658:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 659:27] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 659:16] + io.cls <= _T_347 @[exu_div_ctl.scala 659:10] + + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module exu_div_new_2bit_fullshortq : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip cancel : UInt<1>, flip valid_in : UInt<1>, flip signed_in : UInt<1>, flip rem_in : UInt<1>, flip dividend_in : UInt<32>, flip divisor_in : UInt<32>, data_out : UInt<32>, valid_out : UInt<1>} + + wire valid_ff : UInt<1> + valid_ff <= UInt<1>("h00") + wire finish_ff : UInt<1> + finish_ff <= UInt<1>("h00") + wire control_ff : UInt<3> + control_ff <= UInt<3>("h00") + wire count_ff : UInt<7> + count_ff <= UInt<7>("h00") + wire smallnum : UInt<4> + smallnum <= UInt<4>("h00") + wire smallnum_case : UInt<1> + smallnum_case <= UInt<1>("h00") + wire a_ff : UInt<32> + a_ff <= UInt<32>("h00") + wire b_ff1 : UInt<33> + b_ff1 <= UInt<33>("h00") + wire b_ff : UInt<35> + b_ff <= UInt<35>("h00") + wire q_ff : UInt<32> + q_ff <= UInt<32>("h00") + wire r_ff : UInt<32> + r_ff <= UInt<32>("h00") + wire quotient_raw : UInt<3> + quotient_raw <= UInt<3>("h00") + wire quotient_new : UInt<2> + quotient_new <= UInt<2>("h00") + wire shortq_enable : UInt<1> + shortq_enable <= UInt<1>("h00") + wire shortq_enable_ff : UInt<1> + shortq_enable_ff <= UInt<1>("h00") + wire by_zero_case_ff : UInt<1> + by_zero_case_ff <= UInt<1>("h00") + wire ar_shifted : UInt<64> + ar_shifted <= UInt<64>("h00") + wire shortq_shift_ff : UInt<4> + shortq_shift_ff <= UInt<4>("h00") + node _T = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 488:35] + node valid_ff_in = and(io.valid_in, _T) @[exu_div_ctl.scala 488:33] + node _T_1 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 489:35] + node _T_2 = bits(control_ff, 2, 2) @[exu_div_ctl.scala 489:60] + node _T_3 = and(_T_1, _T_2) @[exu_div_ctl.scala 489:48] + node _T_4 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 489:80] + node _T_5 = bits(io.dividend_in, 31, 31) @[exu_div_ctl.scala 489:112] + node _T_6 = and(_T_4, _T_5) @[exu_div_ctl.scala 489:96] + node _T_7 = or(_T_3, _T_6) @[exu_div_ctl.scala 489:65] + node _T_8 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 489:120] + node _T_9 = bits(control_ff, 1, 1) @[exu_div_ctl.scala 489:145] + node _T_10 = and(_T_8, _T_9) @[exu_div_ctl.scala 489:133] + node _T_11 = and(io.valid_in, io.signed_in) @[exu_div_ctl.scala 489:165] + node _T_12 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 489:197] + node _T_13 = and(_T_11, _T_12) @[exu_div_ctl.scala 489:181] + node _T_14 = or(_T_10, _T_13) @[exu_div_ctl.scala 489:150] + node _T_15 = eq(io.valid_in, UInt<1>("h00")) @[exu_div_ctl.scala 489:205] + node _T_16 = bits(control_ff, 0, 0) @[exu_div_ctl.scala 489:230] + node _T_17 = and(_T_15, _T_16) @[exu_div_ctl.scala 489:218] + node _T_18 = and(io.valid_in, io.rem_in) @[exu_div_ctl.scala 489:250] + node _T_19 = or(_T_17, _T_18) @[exu_div_ctl.scala 489:235] + node _T_20 = cat(_T_7, _T_14) @[Cat.scala 29:58] + node control_in = cat(_T_20, _T_19) @[Cat.scala 29:58] + node dividend_sign_ff = bits(control_ff, 2, 2) @[exu_div_ctl.scala 490:40] + node divisor_sign_ff = bits(control_ff, 1, 1) @[exu_div_ctl.scala 491:40] + node rem_ff = bits(control_ff, 0, 0) @[exu_div_ctl.scala 492:40] + node _T_21 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 493:47] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[exu_div_ctl.scala 493:54] + node by_zero_case = and(valid_ff, _T_22) @[exu_div_ctl.scala 493:40] + node _T_23 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 496:11] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[exu_div_ctl.scala 496:18] + node _T_25 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 496:29] + node _T_26 = and(_T_24, _T_25) @[exu_div_ctl.scala 496:27] + node _T_27 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 496:45] + node _T_28 = and(_T_26, _T_27) @[exu_div_ctl.scala 496:43] + node _T_29 = and(_T_28, valid_ff) @[exu_div_ctl.scala 496:53] + node _T_30 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 496:66] + node _T_31 = and(_T_29, _T_30) @[exu_div_ctl.scala 496:64] + node _T_32 = orr(count_ff) @[exu_div_ctl.scala 497:42] + node running_state = or(_T_32, shortq_enable_ff) @[exu_div_ctl.scala 497:45] + node _T_33 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 498:43] + node _T_34 = or(_T_33, io.cancel) @[exu_div_ctl.scala 498:54] + node _T_35 = or(_T_34, running_state) @[exu_div_ctl.scala 498:66] + node misc_enable = or(_T_35, finish_ff) @[exu_div_ctl.scala 498:82] + node _T_36 = or(smallnum_case, by_zero_case) @[exu_div_ctl.scala 499:45] + node _T_37 = eq(count_ff, UInt<6>("h020")) @[exu_div_ctl.scala 499:72] + node finish_raw = or(_T_36, _T_37) @[exu_div_ctl.scala 499:60] + node _T_38 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 500:43] + node finish = and(finish_raw, _T_38) @[exu_div_ctl.scala 500:41] + node _T_39 = or(valid_ff, running_state) @[exu_div_ctl.scala 501:40] + node _T_40 = eq(finish, UInt<1>("h00")) @[exu_div_ctl.scala 501:59] + node _T_41 = and(_T_39, _T_40) @[exu_div_ctl.scala 501:57] + node _T_42 = eq(finish_ff, UInt<1>("h00")) @[exu_div_ctl.scala 501:69] + node _T_43 = and(_T_41, _T_42) @[exu_div_ctl.scala 501:67] + node _T_44 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 501:82] + node _T_45 = and(_T_43, _T_44) @[exu_div_ctl.scala 501:80] + node _T_46 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 501:95] + node count_enable = and(_T_45, _T_46) @[exu_div_ctl.scala 501:93] + node _T_47 = bits(count_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_48 = mux(_T_47, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_49 = cat(UInt<5>("h00"), UInt<2>("h02")) @[Cat.scala 29:58] + node _T_50 = add(count_ff, _T_49) @[exu_div_ctl.scala 502:63] + node _T_51 = tail(_T_50, 1) @[exu_div_ctl.scala 502:63] + node _T_52 = cat(UInt<2>("h00"), shortq_shift_ff) @[Cat.scala 29:58] + node _T_53 = cat(_T_52, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_54 = add(_T_51, _T_53) @[exu_div_ctl.scala 502:83] + node _T_55 = tail(_T_54, 1) @[exu_div_ctl.scala 502:83] + node count_in = and(_T_48, _T_55) @[exu_div_ctl.scala 502:51] + node a_enable = or(io.valid_in, running_state) @[exu_div_ctl.scala 503:43] + node _T_56 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 504:47] + node a_shift = and(running_state, _T_56) @[exu_div_ctl.scala 504:45] + node _T_57 = bits(dividend_sign_ff, 0, 0) @[Bitwise.scala 72:15] + node _T_58 = mux(_T_57, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_59 = cat(_T_58, a_ff) @[Cat.scala 29:58] + node _T_60 = cat(shortq_shift_ff, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_61 = dshl(_T_59, _T_60) @[exu_div_ctl.scala 505:68] + ar_shifted <= _T_61 @[exu_div_ctl.scala 505:28] + node _T_62 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 506:61] + node _T_63 = eq(_T_62, UInt<1>("h00")) @[exu_div_ctl.scala 506:42] + node b_twos_comp = and(valid_ff, _T_63) @[exu_div_ctl.scala 506:40] + node _T_64 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 507:62] + node _T_65 = eq(_T_64, UInt<1>("h00")) @[exu_div_ctl.scala 507:43] + node twos_comp_b_sel = and(valid_ff, _T_65) @[exu_div_ctl.scala 507:41] + node _T_66 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 508:30] + node _T_67 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 508:42] + node _T_68 = and(_T_66, _T_67) @[exu_div_ctl.scala 508:40] + node _T_69 = xor(dividend_sign_ff, divisor_sign_ff) @[exu_div_ctl.scala 508:71] + node _T_70 = and(_T_68, _T_69) @[exu_div_ctl.scala 508:50] + node _T_71 = eq(by_zero_case_ff, UInt<1>("h00")) @[exu_div_ctl.scala 508:92] + node twos_comp_q_sel = and(_T_70, _T_71) @[exu_div_ctl.scala 508:90] + node b_enable = or(io.valid_in, b_twos_comp) @[exu_div_ctl.scala 509:43] + node _T_72 = or(io.valid_in, valid_ff) @[exu_div_ctl.scala 510:43] + node rq_enable = or(_T_72, running_state) @[exu_div_ctl.scala 510:54] + node _T_73 = and(valid_ff, dividend_sign_ff) @[exu_div_ctl.scala 511:40] + node _T_74 = eq(by_zero_case, UInt<1>("h00")) @[exu_div_ctl.scala 511:61] + node r_sign_sel = and(_T_73, _T_74) @[exu_div_ctl.scala 511:59] + node _T_75 = eq(quotient_new, UInt<1>("h00")) @[exu_div_ctl.scala 512:61] + node _T_76 = and(running_state, _T_75) @[exu_div_ctl.scala 512:45] + node _T_77 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 512:72] + node r_restore_sel = and(_T_76, _T_77) @[exu_div_ctl.scala 512:70] + node _T_78 = eq(quotient_new, UInt<1>("h01")) @[exu_div_ctl.scala 513:61] + node _T_79 = and(running_state, _T_78) @[exu_div_ctl.scala 513:45] + node _T_80 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 513:72] + node r_adder1_sel = and(_T_79, _T_80) @[exu_div_ctl.scala 513:70] + node _T_81 = eq(quotient_new, UInt<2>("h02")) @[exu_div_ctl.scala 514:61] + node _T_82 = and(running_state, _T_81) @[exu_div_ctl.scala 514:45] + node _T_83 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 514:72] + node r_adder2_sel = and(_T_82, _T_83) @[exu_div_ctl.scala 514:70] + node _T_84 = eq(quotient_new, UInt<2>("h03")) @[exu_div_ctl.scala 515:61] + node _T_85 = and(running_state, _T_84) @[exu_div_ctl.scala 515:45] + node _T_86 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 515:72] + node r_adder3_sel = and(_T_85, _T_86) @[exu_div_ctl.scala 515:70] + node _T_87 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 516:28] + node _T_88 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 516:39] + node _T_89 = cat(_T_87, _T_88) @[Cat.scala 29:58] + node _T_90 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 516:54] + node _T_91 = add(_T_89, _T_90) @[exu_div_ctl.scala 516:48] + node adder1_out = tail(_T_91, 1) @[exu_div_ctl.scala 516:48] + node _T_92 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 517:28] + node _T_93 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 517:39] + node _T_94 = cat(_T_92, _T_93) @[Cat.scala 29:58] + node _T_95 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 517:58] + node _T_96 = cat(_T_95, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_97 = add(_T_94, _T_96) @[exu_div_ctl.scala 517:48] + node adder2_out = tail(_T_97, 1) @[exu_div_ctl.scala 517:48] + node _T_98 = bits(r_ff, 31, 31) @[exu_div_ctl.scala 518:28] + node _T_99 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 518:37] + node _T_100 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 518:48] + node _T_101 = cat(_T_98, _T_99) @[Cat.scala 29:58] + node _T_102 = cat(_T_101, _T_100) @[Cat.scala 29:58] + node _T_103 = bits(b_ff, 33, 0) @[exu_div_ctl.scala 518:67] + node _T_104 = cat(_T_103, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_105 = add(_T_102, _T_104) @[exu_div_ctl.scala 518:57] + node _T_106 = tail(_T_105, 1) @[exu_div_ctl.scala 518:57] + node _T_107 = add(_T_106, b_ff) @[exu_div_ctl.scala 518:79] + node adder3_out = tail(_T_107, 1) @[exu_div_ctl.scala 518:79] + node _T_108 = bits(adder3_out, 34, 34) @[exu_div_ctl.scala 519:35] + node _T_109 = eq(_T_108, UInt<1>("h00")) @[exu_div_ctl.scala 519:24] + node _T_110 = xor(_T_109, dividend_sign_ff) @[exu_div_ctl.scala 519:40] + node _T_111 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 519:68] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[exu_div_ctl.scala 519:75] + node _T_113 = eq(adder3_out, UInt<1>("h00")) @[exu_div_ctl.scala 519:98] + node _T_114 = and(_T_112, _T_113) @[exu_div_ctl.scala 519:84] + node _T_115 = or(_T_110, _T_114) @[exu_div_ctl.scala 519:60] + node _T_116 = bits(adder2_out, 33, 33) @[exu_div_ctl.scala 520:17] + node _T_117 = eq(_T_116, UInt<1>("h00")) @[exu_div_ctl.scala 520:6] + node _T_118 = xor(_T_117, dividend_sign_ff) @[exu_div_ctl.scala 520:22] + node _T_119 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 520:50] + node _T_120 = eq(_T_119, UInt<1>("h00")) @[exu_div_ctl.scala 520:57] + node _T_121 = eq(adder2_out, UInt<1>("h00")) @[exu_div_ctl.scala 520:80] + node _T_122 = and(_T_120, _T_121) @[exu_div_ctl.scala 520:66] + node _T_123 = or(_T_118, _T_122) @[exu_div_ctl.scala 520:42] + node _T_124 = bits(adder1_out, 32, 32) @[exu_div_ctl.scala 521:17] + node _T_125 = eq(_T_124, UInt<1>("h00")) @[exu_div_ctl.scala 521:6] + node _T_126 = xor(_T_125, dividend_sign_ff) @[exu_div_ctl.scala 521:22] + node _T_127 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 521:50] + node _T_128 = eq(_T_127, UInt<1>("h00")) @[exu_div_ctl.scala 521:57] + node _T_129 = eq(adder1_out, UInt<1>("h00")) @[exu_div_ctl.scala 521:80] + node _T_130 = and(_T_128, _T_129) @[exu_div_ctl.scala 521:66] + node _T_131 = or(_T_126, _T_130) @[exu_div_ctl.scala 521:42] + node _T_132 = cat(_T_115, _T_123) @[Cat.scala 29:58] + node _T_133 = cat(_T_132, _T_131) @[Cat.scala 29:58] + quotient_raw <= _T_133 @[exu_div_ctl.scala 519:16] + node _T_134 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 522:37] + node _T_135 = bits(quotient_raw, 1, 1) @[exu_div_ctl.scala 522:56] + node _T_136 = or(_T_134, _T_135) @[exu_div_ctl.scala 522:41] + node _T_137 = bits(quotient_raw, 2, 2) @[exu_div_ctl.scala 522:76] + node _T_138 = bits(quotient_raw, 1, 1) @[exu_div_ctl.scala 522:95] + node _T_139 = eq(_T_138, UInt<1>("h00")) @[exu_div_ctl.scala 522:82] + node _T_140 = bits(quotient_raw, 0, 0) @[exu_div_ctl.scala 522:113] + node _T_141 = and(_T_139, _T_140) @[exu_div_ctl.scala 522:99] + node _T_142 = or(_T_137, _T_141) @[exu_div_ctl.scala 522:80] + node _T_143 = cat(_T_136, _T_142) @[Cat.scala 29:58] + quotient_new <= _T_143 @[exu_div_ctl.scala 522:16] + node _T_144 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 525:48] + node _T_145 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_146 = mux(twos_comp_b_sel, _T_144, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_147 = or(_T_145, _T_146) @[Mux.scala 27:72] + wire twos_comp_in : UInt<32> @[Mux.scala 27:72] + twos_comp_in <= _T_147 @[Mux.scala 27:72] + wire _T_148 : UInt<1>[31] @[lib.scala 426:20] + node _T_149 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27] + node _T_150 = orr(_T_149) @[lib.scala 428:35] + node _T_151 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44] + node _T_152 = not(_T_151) @[lib.scala 428:40] + node _T_153 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51] + node _T_154 = mux(_T_150, _T_152, _T_153) @[lib.scala 428:23] + _T_148[0] <= _T_154 @[lib.scala 428:17] + node _T_155 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27] + node _T_156 = orr(_T_155) @[lib.scala 428:35] + node _T_157 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44] + node _T_158 = not(_T_157) @[lib.scala 428:40] + node _T_159 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51] + node _T_160 = mux(_T_156, _T_158, _T_159) @[lib.scala 428:23] + _T_148[1] <= _T_160 @[lib.scala 428:17] + node _T_161 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27] + node _T_162 = orr(_T_161) @[lib.scala 428:35] + node _T_163 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44] + node _T_164 = not(_T_163) @[lib.scala 428:40] + node _T_165 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51] + node _T_166 = mux(_T_162, _T_164, _T_165) @[lib.scala 428:23] + _T_148[2] <= _T_166 @[lib.scala 428:17] + node _T_167 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27] + node _T_168 = orr(_T_167) @[lib.scala 428:35] + node _T_169 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44] + node _T_170 = not(_T_169) @[lib.scala 428:40] + node _T_171 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51] + node _T_172 = mux(_T_168, _T_170, _T_171) @[lib.scala 428:23] + _T_148[3] <= _T_172 @[lib.scala 428:17] + node _T_173 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27] + node _T_174 = orr(_T_173) @[lib.scala 428:35] + node _T_175 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44] + node _T_176 = not(_T_175) @[lib.scala 428:40] + node _T_177 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51] + node _T_178 = mux(_T_174, _T_176, _T_177) @[lib.scala 428:23] + _T_148[4] <= _T_178 @[lib.scala 428:17] + node _T_179 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27] + node _T_180 = orr(_T_179) @[lib.scala 428:35] + node _T_181 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44] + node _T_182 = not(_T_181) @[lib.scala 428:40] + node _T_183 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51] + node _T_184 = mux(_T_180, _T_182, _T_183) @[lib.scala 428:23] + _T_148[5] <= _T_184 @[lib.scala 428:17] + node _T_185 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27] + node _T_186 = orr(_T_185) @[lib.scala 428:35] + node _T_187 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44] + node _T_188 = not(_T_187) @[lib.scala 428:40] + node _T_189 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51] + node _T_190 = mux(_T_186, _T_188, _T_189) @[lib.scala 428:23] + _T_148[6] <= _T_190 @[lib.scala 428:17] + node _T_191 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27] + node _T_192 = orr(_T_191) @[lib.scala 428:35] + node _T_193 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44] + node _T_194 = not(_T_193) @[lib.scala 428:40] + node _T_195 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51] + node _T_196 = mux(_T_192, _T_194, _T_195) @[lib.scala 428:23] + _T_148[7] <= _T_196 @[lib.scala 428:17] + node _T_197 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27] + node _T_198 = orr(_T_197) @[lib.scala 428:35] + node _T_199 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44] + node _T_200 = not(_T_199) @[lib.scala 428:40] + node _T_201 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51] + node _T_202 = mux(_T_198, _T_200, _T_201) @[lib.scala 428:23] + _T_148[8] <= _T_202 @[lib.scala 428:17] + node _T_203 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27] + node _T_204 = orr(_T_203) @[lib.scala 428:35] + node _T_205 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44] + node _T_206 = not(_T_205) @[lib.scala 428:40] + node _T_207 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51] + node _T_208 = mux(_T_204, _T_206, _T_207) @[lib.scala 428:23] + _T_148[9] <= _T_208 @[lib.scala 428:17] + node _T_209 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27] + node _T_210 = orr(_T_209) @[lib.scala 428:35] + node _T_211 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44] + node _T_212 = not(_T_211) @[lib.scala 428:40] + node _T_213 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51] + node _T_214 = mux(_T_210, _T_212, _T_213) @[lib.scala 428:23] + _T_148[10] <= _T_214 @[lib.scala 428:17] + node _T_215 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27] + node _T_216 = orr(_T_215) @[lib.scala 428:35] + node _T_217 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44] + node _T_218 = not(_T_217) @[lib.scala 428:40] + node _T_219 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51] + node _T_220 = mux(_T_216, _T_218, _T_219) @[lib.scala 428:23] + _T_148[11] <= _T_220 @[lib.scala 428:17] + node _T_221 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27] + node _T_222 = orr(_T_221) @[lib.scala 428:35] + node _T_223 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44] + node _T_224 = not(_T_223) @[lib.scala 428:40] + node _T_225 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51] + node _T_226 = mux(_T_222, _T_224, _T_225) @[lib.scala 428:23] + _T_148[12] <= _T_226 @[lib.scala 428:17] + node _T_227 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27] + node _T_228 = orr(_T_227) @[lib.scala 428:35] + node _T_229 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44] + node _T_230 = not(_T_229) @[lib.scala 428:40] + node _T_231 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51] + node _T_232 = mux(_T_228, _T_230, _T_231) @[lib.scala 428:23] + _T_148[13] <= _T_232 @[lib.scala 428:17] + node _T_233 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27] + node _T_234 = orr(_T_233) @[lib.scala 428:35] + node _T_235 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44] + node _T_236 = not(_T_235) @[lib.scala 428:40] + node _T_237 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51] + node _T_238 = mux(_T_234, _T_236, _T_237) @[lib.scala 428:23] + _T_148[14] <= _T_238 @[lib.scala 428:17] + node _T_239 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27] + node _T_240 = orr(_T_239) @[lib.scala 428:35] + node _T_241 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44] + node _T_242 = not(_T_241) @[lib.scala 428:40] + node _T_243 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51] + node _T_244 = mux(_T_240, _T_242, _T_243) @[lib.scala 428:23] + _T_148[15] <= _T_244 @[lib.scala 428:17] + node _T_245 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27] + node _T_246 = orr(_T_245) @[lib.scala 428:35] + node _T_247 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44] + node _T_248 = not(_T_247) @[lib.scala 428:40] + node _T_249 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51] + node _T_250 = mux(_T_246, _T_248, _T_249) @[lib.scala 428:23] + _T_148[16] <= _T_250 @[lib.scala 428:17] + node _T_251 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27] + node _T_252 = orr(_T_251) @[lib.scala 428:35] + node _T_253 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44] + node _T_254 = not(_T_253) @[lib.scala 428:40] + node _T_255 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51] + node _T_256 = mux(_T_252, _T_254, _T_255) @[lib.scala 428:23] + _T_148[17] <= _T_256 @[lib.scala 428:17] + node _T_257 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27] + node _T_258 = orr(_T_257) @[lib.scala 428:35] + node _T_259 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44] + node _T_260 = not(_T_259) @[lib.scala 428:40] + node _T_261 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51] + node _T_262 = mux(_T_258, _T_260, _T_261) @[lib.scala 428:23] + _T_148[18] <= _T_262 @[lib.scala 428:17] + node _T_263 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27] + node _T_264 = orr(_T_263) @[lib.scala 428:35] + node _T_265 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44] + node _T_266 = not(_T_265) @[lib.scala 428:40] + node _T_267 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51] + node _T_268 = mux(_T_264, _T_266, _T_267) @[lib.scala 428:23] + _T_148[19] <= _T_268 @[lib.scala 428:17] + node _T_269 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27] + node _T_270 = orr(_T_269) @[lib.scala 428:35] + node _T_271 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44] + node _T_272 = not(_T_271) @[lib.scala 428:40] + node _T_273 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51] + node _T_274 = mux(_T_270, _T_272, _T_273) @[lib.scala 428:23] + _T_148[20] <= _T_274 @[lib.scala 428:17] + node _T_275 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27] + node _T_276 = orr(_T_275) @[lib.scala 428:35] + node _T_277 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44] + node _T_278 = not(_T_277) @[lib.scala 428:40] + node _T_279 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51] + node _T_280 = mux(_T_276, _T_278, _T_279) @[lib.scala 428:23] + _T_148[21] <= _T_280 @[lib.scala 428:17] + node _T_281 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27] + node _T_282 = orr(_T_281) @[lib.scala 428:35] + node _T_283 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44] + node _T_284 = not(_T_283) @[lib.scala 428:40] + node _T_285 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51] + node _T_286 = mux(_T_282, _T_284, _T_285) @[lib.scala 428:23] + _T_148[22] <= _T_286 @[lib.scala 428:17] + node _T_287 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27] + node _T_288 = orr(_T_287) @[lib.scala 428:35] + node _T_289 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44] + node _T_290 = not(_T_289) @[lib.scala 428:40] + node _T_291 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51] + node _T_292 = mux(_T_288, _T_290, _T_291) @[lib.scala 428:23] + _T_148[23] <= _T_292 @[lib.scala 428:17] + node _T_293 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27] + node _T_294 = orr(_T_293) @[lib.scala 428:35] + node _T_295 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44] + node _T_296 = not(_T_295) @[lib.scala 428:40] + node _T_297 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51] + node _T_298 = mux(_T_294, _T_296, _T_297) @[lib.scala 428:23] + _T_148[24] <= _T_298 @[lib.scala 428:17] + node _T_299 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27] + node _T_300 = orr(_T_299) @[lib.scala 428:35] + node _T_301 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44] + node _T_302 = not(_T_301) @[lib.scala 428:40] + node _T_303 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51] + node _T_304 = mux(_T_300, _T_302, _T_303) @[lib.scala 428:23] + _T_148[25] <= _T_304 @[lib.scala 428:17] + node _T_305 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27] + node _T_306 = orr(_T_305) @[lib.scala 428:35] + node _T_307 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44] + node _T_308 = not(_T_307) @[lib.scala 428:40] + node _T_309 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51] + node _T_310 = mux(_T_306, _T_308, _T_309) @[lib.scala 428:23] + _T_148[26] <= _T_310 @[lib.scala 428:17] + node _T_311 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27] + node _T_312 = orr(_T_311) @[lib.scala 428:35] + node _T_313 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44] + node _T_314 = not(_T_313) @[lib.scala 428:40] + node _T_315 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51] + node _T_316 = mux(_T_312, _T_314, _T_315) @[lib.scala 428:23] + _T_148[27] <= _T_316 @[lib.scala 428:17] + node _T_317 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27] + node _T_318 = orr(_T_317) @[lib.scala 428:35] + node _T_319 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44] + node _T_320 = not(_T_319) @[lib.scala 428:40] + node _T_321 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51] + node _T_322 = mux(_T_318, _T_320, _T_321) @[lib.scala 428:23] + _T_148[28] <= _T_322 @[lib.scala 428:17] + node _T_323 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27] + node _T_324 = orr(_T_323) @[lib.scala 428:35] + node _T_325 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44] + node _T_326 = not(_T_325) @[lib.scala 428:40] + node _T_327 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51] + node _T_328 = mux(_T_324, _T_326, _T_327) @[lib.scala 428:23] + _T_148[29] <= _T_328 @[lib.scala 428:17] + node _T_329 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27] + node _T_330 = orr(_T_329) @[lib.scala 428:35] + node _T_331 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44] + node _T_332 = not(_T_331) @[lib.scala 428:40] + node _T_333 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51] + node _T_334 = mux(_T_330, _T_332, _T_333) @[lib.scala 428:23] + _T_148[30] <= _T_334 @[lib.scala 428:17] + node _T_335 = cat(_T_148[2], _T_148[1]) @[lib.scala 430:14] + node _T_336 = cat(_T_335, _T_148[0]) @[lib.scala 430:14] + node _T_337 = cat(_T_148[4], _T_148[3]) @[lib.scala 430:14] + node _T_338 = cat(_T_148[6], _T_148[5]) @[lib.scala 430:14] + node _T_339 = cat(_T_338, _T_337) @[lib.scala 430:14] + node _T_340 = cat(_T_339, _T_336) @[lib.scala 430:14] + node _T_341 = cat(_T_148[8], _T_148[7]) @[lib.scala 430:14] + node _T_342 = cat(_T_148[10], _T_148[9]) @[lib.scala 430:14] + node _T_343 = cat(_T_342, _T_341) @[lib.scala 430:14] + node _T_344 = cat(_T_148[12], _T_148[11]) @[lib.scala 430:14] + node _T_345 = cat(_T_148[14], _T_148[13]) @[lib.scala 430:14] + node _T_346 = cat(_T_345, _T_344) @[lib.scala 430:14] + node _T_347 = cat(_T_346, _T_343) @[lib.scala 430:14] + node _T_348 = cat(_T_347, _T_340) @[lib.scala 430:14] + node _T_349 = cat(_T_148[16], _T_148[15]) @[lib.scala 430:14] + node _T_350 = cat(_T_148[18], _T_148[17]) @[lib.scala 430:14] + node _T_351 = cat(_T_350, _T_349) @[lib.scala 430:14] + node _T_352 = cat(_T_148[20], _T_148[19]) @[lib.scala 430:14] + node _T_353 = cat(_T_148[22], _T_148[21]) @[lib.scala 430:14] + node _T_354 = cat(_T_353, _T_352) @[lib.scala 430:14] + node _T_355 = cat(_T_354, _T_351) @[lib.scala 430:14] + node _T_356 = cat(_T_148[24], _T_148[23]) @[lib.scala 430:14] + node _T_357 = cat(_T_148[26], _T_148[25]) @[lib.scala 430:14] + node _T_358 = cat(_T_357, _T_356) @[lib.scala 430:14] + node _T_359 = cat(_T_148[28], _T_148[27]) @[lib.scala 430:14] + node _T_360 = cat(_T_148[30], _T_148[29]) @[lib.scala 430:14] + node _T_361 = cat(_T_360, _T_359) @[lib.scala 430:14] + node _T_362 = cat(_T_361, _T_358) @[lib.scala 430:14] + node _T_363 = cat(_T_362, _T_355) @[lib.scala 430:14] + node _T_364 = cat(_T_363, _T_348) @[lib.scala 430:14] + node _T_365 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24] + node twos_comp_out = cat(_T_364, _T_365) @[Cat.scala 29:58] + node _T_366 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 530:6] + node _T_367 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 530:17] + node _T_368 = and(_T_366, _T_367) @[exu_div_ctl.scala 530:15] + node _T_369 = bits(_T_368, 0, 0) @[exu_div_ctl.scala 530:36] + node _T_370 = bits(a_ff, 29, 0) @[exu_div_ctl.scala 531:52] + node _T_371 = cat(_T_370, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_372 = bits(ar_shifted, 31, 0) @[exu_div_ctl.scala 532:54] + node _T_373 = mux(_T_369, io.dividend_in, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_374 = mux(a_shift, _T_371, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_375 = mux(shortq_enable_ff, _T_372, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_376 = or(_T_373, _T_374) @[Mux.scala 27:72] + node _T_377 = or(_T_376, _T_375) @[Mux.scala 27:72] + wire a_in : UInt<32> @[Mux.scala 27:72] + a_in <= _T_377 @[Mux.scala 27:72] + node _T_378 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 536:5] + node _T_379 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 536:78] + node _T_380 = and(io.signed_in, _T_379) @[exu_div_ctl.scala 536:63] + node _T_381 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 536:96] + node _T_382 = cat(_T_380, _T_381) @[Cat.scala 29:58] + node _T_383 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 537:49] + node _T_384 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 537:79] + node _T_385 = cat(_T_383, _T_384) @[Cat.scala 29:58] + node _T_386 = mux(_T_378, _T_382, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_387 = mux(b_twos_comp, _T_385, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_388 = or(_T_386, _T_387) @[Mux.scala 27:72] + wire b_in : UInt<33> @[Mux.scala 27:72] + b_in <= _T_388 @[Mux.scala 27:72] + node _T_389 = bits(r_ff, 29, 0) @[exu_div_ctl.scala 541:54] + node _T_390 = bits(a_ff, 31, 30) @[exu_div_ctl.scala 541:65] + node _T_391 = cat(_T_389, _T_390) @[Cat.scala 29:58] + node _T_392 = bits(adder1_out, 31, 0) @[exu_div_ctl.scala 542:57] + node _T_393 = bits(adder2_out, 31, 0) @[exu_div_ctl.scala 543:57] + node _T_394 = bits(adder3_out, 31, 0) @[exu_div_ctl.scala 544:57] + node _T_395 = bits(ar_shifted, 63, 32) @[exu_div_ctl.scala 545:56] + node _T_396 = mux(r_sign_sel, UInt<32>("h0ffffffff"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_397 = mux(r_restore_sel, _T_391, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_398 = mux(r_adder1_sel, _T_392, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_399 = mux(r_adder2_sel, _T_393, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_400 = mux(r_adder3_sel, _T_394, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_401 = mux(shortq_enable_ff, _T_395, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_402 = mux(by_zero_case, a_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_403 = or(_T_396, _T_397) @[Mux.scala 27:72] + node _T_404 = or(_T_403, _T_398) @[Mux.scala 27:72] + node _T_405 = or(_T_404, _T_399) @[Mux.scala 27:72] + node _T_406 = or(_T_405, _T_400) @[Mux.scala 27:72] + node _T_407 = or(_T_406, _T_401) @[Mux.scala 27:72] + node _T_408 = or(_T_407, _T_402) @[Mux.scala 27:72] + wire r_in : UInt<32> @[Mux.scala 27:72] + r_in <= _T_408 @[Mux.scala 27:72] + node _T_409 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 549:5] + node _T_410 = bits(q_ff, 29, 0) @[exu_div_ctl.scala 549:55] + node _T_411 = cat(_T_410, quotient_new) @[Cat.scala 29:58] + node _T_412 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] + node _T_413 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_414 = mux(_T_409, _T_411, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_415 = mux(smallnum_case, _T_412, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_416 = mux(by_zero_case, _T_413, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_417 = or(_T_414, _T_415) @[Mux.scala 27:72] + node _T_418 = or(_T_417, _T_416) @[Mux.scala 27:72] + wire q_in : UInt<32> @[Mux.scala 27:72] + q_in <= _T_418 @[Mux.scala 27:72] + node _T_419 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 553:31] + node _T_420 = and(finish_ff, _T_419) @[exu_div_ctl.scala 553:29] + io.valid_out <= _T_420 @[exu_div_ctl.scala 553:16] + node _T_421 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 555:6] + node _T_422 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 555:16] + node _T_423 = and(_T_421, _T_422) @[exu_div_ctl.scala 555:14] + node _T_424 = bits(_T_423, 0, 0) @[exu_div_ctl.scala 555:40] + node _T_425 = mux(_T_424, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_426 = mux(rem_ff, r_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_427 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_428 = or(_T_425, _T_426) @[Mux.scala 27:72] + node _T_429 = or(_T_428, _T_427) @[Mux.scala 27:72] + wire _T_430 : UInt<32> @[Mux.scala 27:72] + _T_430 <= _T_429 @[Mux.scala 27:72] + io.data_out <= _T_430 @[exu_div_ctl.scala 554:15] + node _T_431 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_432 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_433 = eq(_T_432, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_434 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_436 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_438 = and(_T_433, _T_435) @[exu_div_ctl.scala 561:95] + node _T_439 = and(_T_438, _T_437) @[exu_div_ctl.scala 561:95] + node _T_440 = and(_T_431, _T_439) @[exu_div_ctl.scala 562:11] + node _T_441 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_442 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_444 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_445 = eq(_T_444, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_446 = and(_T_443, _T_445) @[exu_div_ctl.scala 561:95] + node _T_447 = and(_T_441, _T_446) @[exu_div_ctl.scala 562:11] + node _T_448 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 567:38] + node _T_449 = eq(_T_448, UInt<1>("h00")) @[exu_div_ctl.scala 567:33] + node _T_450 = and(_T_447, _T_449) @[exu_div_ctl.scala 567:31] + node _T_451 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_452 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_454 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_455 = eq(_T_454, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_456 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_457 = eq(_T_456, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_458 = and(_T_453, _T_455) @[exu_div_ctl.scala 561:95] + node _T_459 = and(_T_458, _T_457) @[exu_div_ctl.scala 561:95] + node _T_460 = and(_T_451, _T_459) @[exu_div_ctl.scala 562:11] + node _T_461 = or(_T_450, _T_460) @[exu_div_ctl.scala 567:42] + node _T_462 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_463 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_464 = and(_T_462, _T_463) @[exu_div_ctl.scala 560:95] + node _T_465 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_466 = eq(_T_465, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_467 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_468 = eq(_T_467, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_469 = and(_T_466, _T_468) @[exu_div_ctl.scala 561:95] + node _T_470 = and(_T_464, _T_469) @[exu_div_ctl.scala 562:11] + node _T_471 = or(_T_461, _T_470) @[exu_div_ctl.scala 567:75] + node _T_472 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_473 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_474 = eq(_T_473, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_475 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_476 = eq(_T_475, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_477 = and(_T_474, _T_476) @[exu_div_ctl.scala 561:95] + node _T_478 = and(_T_472, _T_477) @[exu_div_ctl.scala 562:11] + node _T_479 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 569:38] + node _T_480 = eq(_T_479, UInt<1>("h00")) @[exu_div_ctl.scala 569:33] + node _T_481 = and(_T_478, _T_480) @[exu_div_ctl.scala 569:31] + node _T_482 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_483 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_485 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_487 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_489 = and(_T_484, _T_486) @[exu_div_ctl.scala 561:95] + node _T_490 = and(_T_489, _T_488) @[exu_div_ctl.scala 561:95] + node _T_491 = and(_T_482, _T_490) @[exu_div_ctl.scala 562:11] + node _T_492 = or(_T_481, _T_491) @[exu_div_ctl.scala 569:42] + node _T_493 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_494 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_495 = eq(_T_494, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_496 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_498 = and(_T_495, _T_497) @[exu_div_ctl.scala 561:95] + node _T_499 = and(_T_493, _T_498) @[exu_div_ctl.scala 562:11] + node _T_500 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 569:113] + node _T_501 = eq(_T_500, UInt<1>("h00")) @[exu_div_ctl.scala 569:108] + node _T_502 = and(_T_499, _T_501) @[exu_div_ctl.scala 569:106] + node _T_503 = or(_T_492, _T_502) @[exu_div_ctl.scala 569:78] + node _T_504 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_505 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_506 = eq(_T_505, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_507 = and(_T_504, _T_506) @[exu_div_ctl.scala 560:95] + node _T_508 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_509 = eq(_T_508, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_510 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_511 = eq(_T_510, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_512 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_513 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_514 = and(_T_509, _T_511) @[exu_div_ctl.scala 561:95] + node _T_515 = and(_T_514, _T_512) @[exu_div_ctl.scala 561:95] + node _T_516 = and(_T_515, _T_513) @[exu_div_ctl.scala 561:95] + node _T_517 = and(_T_507, _T_516) @[exu_div_ctl.scala 562:11] + node _T_518 = or(_T_503, _T_517) @[exu_div_ctl.scala 569:117] + node _T_519 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_520 = eq(_T_519, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_521 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_522 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_523 = and(_T_520, _T_521) @[exu_div_ctl.scala 560:95] + node _T_524 = and(_T_523, _T_522) @[exu_div_ctl.scala 560:95] + node _T_525 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_527 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_528 = eq(_T_527, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_529 = and(_T_526, _T_528) @[exu_div_ctl.scala 561:95] + node _T_530 = and(_T_524, _T_529) @[exu_div_ctl.scala 562:11] + node _T_531 = or(_T_518, _T_530) @[exu_div_ctl.scala 570:44] + node _T_532 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_533 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_534 = and(_T_532, _T_533) @[exu_div_ctl.scala 560:95] + node _T_535 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_536 = eq(_T_535, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_537 = and(_T_534, _T_536) @[exu_div_ctl.scala 562:11] + node _T_538 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 570:114] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[exu_div_ctl.scala 570:109] + node _T_540 = and(_T_537, _T_539) @[exu_div_ctl.scala 570:107] + node _T_541 = or(_T_531, _T_540) @[exu_div_ctl.scala 570:80] + node _T_542 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_543 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_544 = and(_T_542, _T_543) @[exu_div_ctl.scala 560:95] + node _T_545 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_547 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_548 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_549 = eq(_T_548, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_550 = and(_T_546, _T_547) @[exu_div_ctl.scala 561:95] + node _T_551 = and(_T_550, _T_549) @[exu_div_ctl.scala 561:95] + node _T_552 = and(_T_544, _T_551) @[exu_div_ctl.scala 562:11] + node _T_553 = or(_T_541, _T_552) @[exu_div_ctl.scala 570:119] + node _T_554 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_555 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_556 = and(_T_554, _T_555) @[exu_div_ctl.scala 560:95] + node _T_557 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_558 = eq(_T_557, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_559 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_560 = eq(_T_559, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_561 = and(_T_558, _T_560) @[exu_div_ctl.scala 561:95] + node _T_562 = and(_T_556, _T_561) @[exu_div_ctl.scala 562:11] + node _T_563 = or(_T_553, _T_562) @[exu_div_ctl.scala 571:44] + node _T_564 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_565 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_566 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_567 = and(_T_564, _T_565) @[exu_div_ctl.scala 560:95] + node _T_568 = and(_T_567, _T_566) @[exu_div_ctl.scala 560:95] + node _T_569 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_570 = eq(_T_569, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_571 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_572 = and(_T_570, _T_571) @[exu_div_ctl.scala 561:95] + node _T_573 = and(_T_568, _T_572) @[exu_div_ctl.scala 562:11] + node _T_574 = or(_T_563, _T_573) @[exu_div_ctl.scala 571:79] + node _T_575 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_576 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_577 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_578 = and(_T_575, _T_576) @[exu_div_ctl.scala 560:95] + node _T_579 = and(_T_578, _T_577) @[exu_div_ctl.scala 560:95] + node _T_580 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_581 = eq(_T_580, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_582 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_583 = eq(_T_582, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_584 = and(_T_581, _T_583) @[exu_div_ctl.scala 561:95] + node _T_585 = and(_T_579, _T_584) @[exu_div_ctl.scala 562:11] + node _T_586 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_587 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_588 = eq(_T_587, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_589 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_590 = and(_T_586, _T_588) @[exu_div_ctl.scala 560:95] + node _T_591 = and(_T_590, _T_589) @[exu_div_ctl.scala 560:95] + node _T_592 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_593 = eq(_T_592, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_594 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_595 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_596 = and(_T_593, _T_594) @[exu_div_ctl.scala 561:95] + node _T_597 = and(_T_596, _T_595) @[exu_div_ctl.scala 561:95] + node _T_598 = and(_T_591, _T_597) @[exu_div_ctl.scala 562:11] + node _T_599 = or(_T_585, _T_598) @[exu_div_ctl.scala 573:45] + node _T_600 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_601 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_602 = eq(_T_601, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_603 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_605 = and(_T_602, _T_604) @[exu_div_ctl.scala 561:95] + node _T_606 = and(_T_600, _T_605) @[exu_div_ctl.scala 562:11] + node _T_607 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 573:121] + node _T_608 = eq(_T_607, UInt<1>("h00")) @[exu_div_ctl.scala 573:116] + node _T_609 = and(_T_606, _T_608) @[exu_div_ctl.scala 573:114] + node _T_610 = or(_T_599, _T_609) @[exu_div_ctl.scala 573:86] + node _T_611 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_612 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_613 = eq(_T_612, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_614 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_615 = eq(_T_614, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_616 = and(_T_613, _T_615) @[exu_div_ctl.scala 561:95] + node _T_617 = and(_T_611, _T_616) @[exu_div_ctl.scala 562:11] + node _T_618 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 574:40] + node _T_619 = eq(_T_618, UInt<1>("h00")) @[exu_div_ctl.scala 574:35] + node _T_620 = and(_T_617, _T_619) @[exu_div_ctl.scala 574:33] + node _T_621 = or(_T_610, _T_620) @[exu_div_ctl.scala 573:129] + node _T_622 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_623 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_624 = eq(_T_623, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_625 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_626 = eq(_T_625, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_627 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_628 = eq(_T_627, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_629 = and(_T_624, _T_626) @[exu_div_ctl.scala 561:95] + node _T_630 = and(_T_629, _T_628) @[exu_div_ctl.scala 561:95] + node _T_631 = and(_T_622, _T_630) @[exu_div_ctl.scala 562:11] + node _T_632 = or(_T_621, _T_631) @[exu_div_ctl.scala 574:47] + node _T_633 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_634 = eq(_T_633, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_635 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_636 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:75] + node _T_637 = eq(_T_636, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_638 = and(_T_634, _T_635) @[exu_div_ctl.scala 560:95] + node _T_639 = and(_T_638, _T_637) @[exu_div_ctl.scala 560:95] + node _T_640 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_641 = eq(_T_640, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_642 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_643 = eq(_T_642, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_644 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_645 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_646 = and(_T_641, _T_643) @[exu_div_ctl.scala 561:95] + node _T_647 = and(_T_646, _T_644) @[exu_div_ctl.scala 561:95] + node _T_648 = and(_T_647, _T_645) @[exu_div_ctl.scala 561:95] + node _T_649 = and(_T_639, _T_648) @[exu_div_ctl.scala 562:11] + node _T_650 = or(_T_632, _T_649) @[exu_div_ctl.scala 574:88] + node _T_651 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_652 = eq(_T_651, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_653 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_654 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_655 = and(_T_652, _T_653) @[exu_div_ctl.scala 560:95] + node _T_656 = and(_T_655, _T_654) @[exu_div_ctl.scala 560:95] + node _T_657 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_658 = eq(_T_657, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_659 = and(_T_656, _T_658) @[exu_div_ctl.scala 562:11] + node _T_660 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 575:43] + node _T_661 = eq(_T_660, UInt<1>("h00")) @[exu_div_ctl.scala 575:38] + node _T_662 = and(_T_659, _T_661) @[exu_div_ctl.scala 575:36] + node _T_663 = or(_T_650, _T_662) @[exu_div_ctl.scala 574:131] + node _T_664 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_665 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_666 = eq(_T_665, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_667 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_668 = eq(_T_667, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_669 = and(_T_666, _T_668) @[exu_div_ctl.scala 561:95] + node _T_670 = and(_T_664, _T_669) @[exu_div_ctl.scala 562:11] + node _T_671 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 575:83] + node _T_672 = eq(_T_671, UInt<1>("h00")) @[exu_div_ctl.scala 575:78] + node _T_673 = and(_T_670, _T_672) @[exu_div_ctl.scala 575:76] + node _T_674 = or(_T_663, _T_673) @[exu_div_ctl.scala 575:47] + node _T_675 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_676 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_677 = eq(_T_676, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_678 = and(_T_675, _T_677) @[exu_div_ctl.scala 560:95] + node _T_679 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_680 = eq(_T_679, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_681 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_682 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_683 = and(_T_680, _T_681) @[exu_div_ctl.scala 561:95] + node _T_684 = and(_T_683, _T_682) @[exu_div_ctl.scala 561:95] + node _T_685 = and(_T_678, _T_684) @[exu_div_ctl.scala 562:11] + node _T_686 = or(_T_674, _T_685) @[exu_div_ctl.scala 575:88] + node _T_687 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_688 = eq(_T_687, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_689 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_690 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_691 = and(_T_688, _T_689) @[exu_div_ctl.scala 560:95] + node _T_692 = and(_T_691, _T_690) @[exu_div_ctl.scala 560:95] + node _T_693 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_694 = eq(_T_693, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_695 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_696 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_697 = eq(_T_696, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_698 = and(_T_694, _T_695) @[exu_div_ctl.scala 561:95] + node _T_699 = and(_T_698, _T_697) @[exu_div_ctl.scala 561:95] + node _T_700 = and(_T_692, _T_699) @[exu_div_ctl.scala 562:11] + node _T_701 = or(_T_686, _T_700) @[exu_div_ctl.scala 575:131] + node _T_702 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_703 = eq(_T_702, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_704 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_705 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_706 = and(_T_703, _T_704) @[exu_div_ctl.scala 560:95] + node _T_707 = and(_T_706, _T_705) @[exu_div_ctl.scala 560:95] + node _T_708 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_709 = eq(_T_708, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_710 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_711 = eq(_T_710, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_712 = and(_T_709, _T_711) @[exu_div_ctl.scala 561:95] + node _T_713 = and(_T_707, _T_712) @[exu_div_ctl.scala 562:11] + node _T_714 = or(_T_701, _T_713) @[exu_div_ctl.scala 576:47] + node _T_715 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_716 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_717 = eq(_T_716, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_718 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:75] + node _T_719 = eq(_T_718, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_720 = and(_T_715, _T_717) @[exu_div_ctl.scala 560:95] + node _T_721 = and(_T_720, _T_719) @[exu_div_ctl.scala 560:95] + node _T_722 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_723 = eq(_T_722, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_724 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_725 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_726 = and(_T_723, _T_724) @[exu_div_ctl.scala 561:95] + node _T_727 = and(_T_726, _T_725) @[exu_div_ctl.scala 561:95] + node _T_728 = and(_T_721, _T_727) @[exu_div_ctl.scala 562:11] + node _T_729 = or(_T_714, _T_728) @[exu_div_ctl.scala 576:88] + node _T_730 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_731 = eq(_T_730, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_732 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_733 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_734 = and(_T_731, _T_732) @[exu_div_ctl.scala 560:95] + node _T_735 = and(_T_734, _T_733) @[exu_div_ctl.scala 560:95] + node _T_736 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_737 = eq(_T_736, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_738 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_739 = eq(_T_738, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_740 = and(_T_737, _T_739) @[exu_div_ctl.scala 561:95] + node _T_741 = and(_T_735, _T_740) @[exu_div_ctl.scala 562:11] + node _T_742 = or(_T_729, _T_741) @[exu_div_ctl.scala 576:131] + node _T_743 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_744 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_745 = and(_T_743, _T_744) @[exu_div_ctl.scala 560:95] + node _T_746 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_747 = eq(_T_746, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_748 = and(_T_745, _T_747) @[exu_div_ctl.scala 562:11] + node _T_749 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 577:82] + node _T_750 = eq(_T_749, UInt<1>("h00")) @[exu_div_ctl.scala 577:77] + node _T_751 = and(_T_748, _T_750) @[exu_div_ctl.scala 577:75] + node _T_752 = or(_T_742, _T_751) @[exu_div_ctl.scala 577:47] + node _T_753 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:75] + node _T_754 = eq(_T_753, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_755 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_756 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_757 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_758 = and(_T_754, _T_755) @[exu_div_ctl.scala 560:95] + node _T_759 = and(_T_758, _T_756) @[exu_div_ctl.scala 560:95] + node _T_760 = and(_T_759, _T_757) @[exu_div_ctl.scala 560:95] + node _T_761 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_762 = eq(_T_761, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_763 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_764 = and(_T_762, _T_763) @[exu_div_ctl.scala 561:95] + node _T_765 = and(_T_760, _T_764) @[exu_div_ctl.scala 562:11] + node _T_766 = or(_T_752, _T_765) @[exu_div_ctl.scala 577:88] + node _T_767 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_768 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_769 = and(_T_767, _T_768) @[exu_div_ctl.scala 560:95] + node _T_770 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_771 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_773 = and(_T_770, _T_772) @[exu_div_ctl.scala 561:95] + node _T_774 = and(_T_769, _T_773) @[exu_div_ctl.scala 562:11] + node _T_775 = or(_T_766, _T_774) @[exu_div_ctl.scala 577:131] + node _T_776 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_777 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_778 = and(_T_776, _T_777) @[exu_div_ctl.scala 560:95] + node _T_779 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_780 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_781 = eq(_T_780, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_782 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_783 = eq(_T_782, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_784 = and(_T_779, _T_781) @[exu_div_ctl.scala 561:95] + node _T_785 = and(_T_784, _T_783) @[exu_div_ctl.scala 561:95] + node _T_786 = and(_T_778, _T_785) @[exu_div_ctl.scala 562:11] + node _T_787 = or(_T_775, _T_786) @[exu_div_ctl.scala 578:47] + node _T_788 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_789 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_790 = and(_T_788, _T_789) @[exu_div_ctl.scala 560:95] + node _T_791 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_792 = eq(_T_791, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_793 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_794 = eq(_T_793, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_795 = and(_T_792, _T_794) @[exu_div_ctl.scala 561:95] + node _T_796 = and(_T_790, _T_795) @[exu_div_ctl.scala 562:11] + node _T_797 = or(_T_787, _T_796) @[exu_div_ctl.scala 578:88] + node _T_798 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_799 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:75] + node _T_800 = eq(_T_799, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_801 = and(_T_798, _T_800) @[exu_div_ctl.scala 560:95] + node _T_802 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_803 = eq(_T_802, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_804 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:58] + node _T_805 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_806 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 561:58] + node _T_807 = and(_T_803, _T_804) @[exu_div_ctl.scala 561:95] + node _T_808 = and(_T_807, _T_805) @[exu_div_ctl.scala 561:95] + node _T_809 = and(_T_808, _T_806) @[exu_div_ctl.scala 561:95] + node _T_810 = and(_T_801, _T_809) @[exu_div_ctl.scala 562:11] + node _T_811 = or(_T_797, _T_810) @[exu_div_ctl.scala 578:131] + node _T_812 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_813 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_814 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_815 = and(_T_812, _T_813) @[exu_div_ctl.scala 560:95] + node _T_816 = and(_T_815, _T_814) @[exu_div_ctl.scala 560:95] + node _T_817 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_818 = and(_T_816, _T_817) @[exu_div_ctl.scala 562:11] + node _T_819 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 579:84] + node _T_820 = eq(_T_819, UInt<1>("h00")) @[exu_div_ctl.scala 579:79] + node _T_821 = and(_T_818, _T_820) @[exu_div_ctl.scala 579:77] + node _T_822 = or(_T_811, _T_821) @[exu_div_ctl.scala 579:47] + node _T_823 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_824 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_825 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_826 = and(_T_823, _T_824) @[exu_div_ctl.scala 560:95] + node _T_827 = and(_T_826, _T_825) @[exu_div_ctl.scala 560:95] + node _T_828 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_829 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_830 = eq(_T_829, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_831 = and(_T_828, _T_830) @[exu_div_ctl.scala 561:95] + node _T_832 = and(_T_827, _T_831) @[exu_div_ctl.scala 562:11] + node _T_833 = or(_T_822, _T_832) @[exu_div_ctl.scala 579:88] + node _T_834 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_835 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_836 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_837 = and(_T_834, _T_835) @[exu_div_ctl.scala 560:95] + node _T_838 = and(_T_837, _T_836) @[exu_div_ctl.scala 560:95] + node _T_839 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_840 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:75] + node _T_841 = eq(_T_840, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_842 = and(_T_839, _T_841) @[exu_div_ctl.scala 561:95] + node _T_843 = and(_T_838, _T_842) @[exu_div_ctl.scala 562:11] + node _T_844 = or(_T_833, _T_843) @[exu_div_ctl.scala 579:131] + node _T_845 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_846 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:75] + node _T_847 = eq(_T_846, UInt<1>("h00")) @[exu_div_ctl.scala 560:70] + node _T_848 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_849 = and(_T_845, _T_847) @[exu_div_ctl.scala 560:95] + node _T_850 = and(_T_849, _T_848) @[exu_div_ctl.scala 560:95] + node _T_851 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:75] + node _T_852 = eq(_T_851, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_853 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 561:58] + node _T_854 = and(_T_852, _T_853) @[exu_div_ctl.scala 561:95] + node _T_855 = and(_T_850, _T_854) @[exu_div_ctl.scala 562:11] + node _T_856 = or(_T_844, _T_855) @[exu_div_ctl.scala 580:47] + node _T_857 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_858 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_859 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_860 = and(_T_857, _T_858) @[exu_div_ctl.scala 560:95] + node _T_861 = and(_T_860, _T_859) @[exu_div_ctl.scala 560:95] + node _T_862 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_863 = eq(_T_862, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_864 = and(_T_861, _T_863) @[exu_div_ctl.scala 562:11] + node _T_865 = or(_T_856, _T_864) @[exu_div_ctl.scala 580:88] + node _T_866 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_867 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 560:58] + node _T_868 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_869 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 560:58] + node _T_870 = and(_T_866, _T_867) @[exu_div_ctl.scala 560:95] + node _T_871 = and(_T_870, _T_868) @[exu_div_ctl.scala 560:95] + node _T_872 = and(_T_871, _T_869) @[exu_div_ctl.scala 560:95] + node _T_873 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 561:58] + node _T_874 = and(_T_872, _T_873) @[exu_div_ctl.scala 562:11] + node _T_875 = or(_T_865, _T_874) @[exu_div_ctl.scala 580:131] + node _T_876 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 560:58] + node _T_877 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 560:58] + node _T_878 = and(_T_876, _T_877) @[exu_div_ctl.scala 560:95] + node _T_879 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 561:75] + node _T_880 = eq(_T_879, UInt<1>("h00")) @[exu_div_ctl.scala 561:70] + node _T_881 = and(_T_878, _T_880) @[exu_div_ctl.scala 562:11] + node _T_882 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 581:81] + node _T_883 = eq(_T_882, UInt<1>("h00")) @[exu_div_ctl.scala 581:76] + node _T_884 = and(_T_881, _T_883) @[exu_div_ctl.scala 581:74] + node _T_885 = or(_T_875, _T_884) @[exu_div_ctl.scala 581:47] + node _T_886 = cat(_T_574, _T_885) @[Cat.scala 29:58] + node _T_887 = cat(_T_440, _T_471) @[Cat.scala 29:58] + node _T_888 = cat(_T_887, _T_886) @[Cat.scala 29:58] + smallnum <= _T_888 @[exu_div_ctl.scala 564:12] + node shortq_dividend = cat(dividend_sign_ff, a_ff) @[Cat.scala 29:58] + inst a_enc of exu_div_cls @[exu_div_ctl.scala 584:21] + a_enc.clock <= clock + a_enc.reset <= reset + a_enc.io.operand <= shortq_dividend @[exu_div_ctl.scala 585:20] + inst b_enc of exu_div_cls_1 @[exu_div_ctl.scala 587:21] + b_enc.clock <= clock + b_enc.reset <= reset + node _T_889 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 588:27] + b_enc.io.operand <= _T_889 @[exu_div_ctl.scala 588:20] + node dw_a_enc = cat(UInt<1>("h00"), a_enc.io.cls) @[Cat.scala 29:58] + node dw_b_enc = cat(UInt<1>("h00"), b_enc.io.cls) @[Cat.scala 29:58] + node _T_890 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] + node _T_891 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] + node _T_892 = sub(_T_890, _T_891) @[exu_div_ctl.scala 592:41] + node _T_893 = tail(_T_892, 1) @[exu_div_ctl.scala 592:41] + node _T_894 = add(_T_893, UInt<7>("h01")) @[exu_div_ctl.scala 592:61] + node dw_shortq_raw = tail(_T_894, 1) @[exu_div_ctl.scala 592:61] + node _T_895 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 593:33] + node _T_896 = bits(_T_895, 0, 0) @[exu_div_ctl.scala 593:43] + node _T_897 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 593:63] + node shortq = mux(_T_896, UInt<1>("h00"), _T_897) @[exu_div_ctl.scala 593:19] + node _T_898 = bits(shortq, 5, 5) @[exu_div_ctl.scala 594:38] + node _T_899 = eq(_T_898, UInt<1>("h00")) @[exu_div_ctl.scala 594:31] + node _T_900 = and(valid_ff, _T_899) @[exu_div_ctl.scala 594:29] + node _T_901 = bits(shortq, 4, 1) @[exu_div_ctl.scala 594:52] + node _T_902 = eq(_T_901, UInt<4>("h0f")) @[exu_div_ctl.scala 594:58] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[exu_div_ctl.scala 594:44] + node _T_904 = and(_T_900, _T_903) @[exu_div_ctl.scala 594:42] + node _T_905 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 594:76] + node _T_906 = and(_T_904, _T_905) @[exu_div_ctl.scala 594:74] + shortq_enable <= _T_906 @[exu_div_ctl.scala 594:17] + node _T_907 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 595:26] + node _T_908 = bits(shortq, 4, 0) @[exu_div_ctl.scala 595:65] + node _T_909 = sub(UInt<5>("h01f"), _T_908) @[exu_div_ctl.scala 595:57] + node _T_910 = tail(_T_909, 1) @[exu_div_ctl.scala 595:57] + node shortq_shift = mux(_T_907, UInt<1>("h00"), _T_910) @[exu_div_ctl.scala 595:25] + node _T_911 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 596:20] + node _T_912 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 596:30] + node _T_913 = cat(_T_911, _T_912) @[Cat.scala 29:58] + node _T_914 = cat(_T_913, b_ff1) @[Cat.scala 29:58] + b_ff <= _T_914 @[exu_div_ctl.scala 596:8] + inst rvclkhdr of rvclkhdr @[lib.scala 390:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 392:18] + rvclkhdr.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_915 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_915 <= valid_ff_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + valid_ff <= _T_915 @[exu_div_ctl.scala 597:12] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_1.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_916 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_916 <= control_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + control_ff <= _T_916 @[exu_div_ctl.scala 598:16] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_2.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_917 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_917 <= by_zero_case @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + by_zero_case_ff <= _T_917 @[exu_div_ctl.scala 599:19] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_3.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_918 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_918 <= shortq_enable @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_enable_ff <= _T_918 @[exu_div_ctl.scala 600:20] + node _T_919 = bits(shortq_shift, 4, 1) @[exu_div_ctl.scala 601:41] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_4.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_920 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_920 <= _T_919 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + shortq_shift_ff <= _T_920 @[exu_div_ctl.scala 601:19] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_5.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_921 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_921 <= finish @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + finish_ff <= _T_921 @[exu_div_ctl.scala 602:13] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_6.io.en <= misc_enable @[lib.scala 393:17] + rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_922 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when misc_enable : @[Reg.scala 28:19] + _T_922 <= count_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + count_ff <= _T_922 @[exu_div_ctl.scala 603:12] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_7.io.en <= a_enable @[lib.scala 393:17] + rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_923 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when a_enable : @[Reg.scala 28:19] + _T_923 <= a_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + a_ff <= _T_923 @[exu_div_ctl.scala 605:8] + node _T_924 = bits(b_in, 32, 0) @[exu_div_ctl.scala 606:23] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_8.io.en <= b_enable @[lib.scala 393:17] + rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_925 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when b_enable : @[Reg.scala 28:19] + _T_925 <= _T_924 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + b_ff1 <= _T_925 @[exu_div_ctl.scala 606:9] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_9.io.en <= rq_enable @[lib.scala 393:17] + rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_926 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rq_enable : @[Reg.scala 28:19] + _T_926 <= r_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + r_ff <= _T_926 @[exu_div_ctl.scala 607:8] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 392:18] + rvclkhdr_10.io.en <= rq_enable @[lib.scala 393:17] + rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] + reg _T_927 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when rq_enable : @[Reg.scala 28:19] + _T_927 <= q_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + q_ff <= _T_927 @[exu_div_ctl.scala 608:8] + diff --git a/exu_div_new_2bit_fullshortq.v b/exu_div_new_2bit_fullshortq.v new file mode 100644 index 00000000..6b88a68c --- /dev/null +++ b/exu_div_new_2bit_fullshortq.v @@ -0,0 +1,788 @@ +module exu_div_cls( + input [32:0] io_operand, + output [4:0] io_cls +); + wire _T_3 = io_operand[31:30] == 2'h1; // @[exu_div_ctl.scala 655:63] + wire _T_5 = io_operand[31:29] == 3'h1; // @[exu_div_ctl.scala 655:63] + wire _T_7 = io_operand[31:28] == 4'h1; // @[exu_div_ctl.scala 655:63] + wire _T_9 = io_operand[31:27] == 5'h1; // @[exu_div_ctl.scala 655:63] + wire _T_11 = io_operand[31:26] == 6'h1; // @[exu_div_ctl.scala 655:63] + wire _T_13 = io_operand[31:25] == 7'h1; // @[exu_div_ctl.scala 655:63] + wire _T_15 = io_operand[31:24] == 8'h1; // @[exu_div_ctl.scala 655:63] + wire _T_17 = io_operand[31:23] == 9'h1; // @[exu_div_ctl.scala 655:63] + wire _T_19 = io_operand[31:22] == 10'h1; // @[exu_div_ctl.scala 655:63] + wire _T_21 = io_operand[31:21] == 11'h1; // @[exu_div_ctl.scala 655:63] + wire _T_23 = io_operand[31:20] == 12'h1; // @[exu_div_ctl.scala 655:63] + wire _T_25 = io_operand[31:19] == 13'h1; // @[exu_div_ctl.scala 655:63] + wire _T_27 = io_operand[31:18] == 14'h1; // @[exu_div_ctl.scala 655:63] + wire _T_29 = io_operand[31:17] == 15'h1; // @[exu_div_ctl.scala 655:63] + wire _T_31 = io_operand[31:16] == 16'h1; // @[exu_div_ctl.scala 655:63] + wire _T_33 = io_operand[31:15] == 17'h1; // @[exu_div_ctl.scala 655:63] + wire _T_35 = io_operand[31:14] == 18'h1; // @[exu_div_ctl.scala 655:63] + wire _T_37 = io_operand[31:13] == 19'h1; // @[exu_div_ctl.scala 655:63] + wire _T_39 = io_operand[31:12] == 20'h1; // @[exu_div_ctl.scala 655:63] + wire _T_41 = io_operand[31:11] == 21'h1; // @[exu_div_ctl.scala 655:63] + wire _T_43 = io_operand[31:10] == 22'h1; // @[exu_div_ctl.scala 655:63] + wire _T_45 = io_operand[31:9] == 23'h1; // @[exu_div_ctl.scala 655:63] + wire _T_47 = io_operand[31:8] == 24'h1; // @[exu_div_ctl.scala 655:63] + wire _T_49 = io_operand[31:7] == 25'h1; // @[exu_div_ctl.scala 655:63] + wire _T_51 = io_operand[31:6] == 26'h1; // @[exu_div_ctl.scala 655:63] + wire _T_53 = io_operand[31:5] == 27'h1; // @[exu_div_ctl.scala 655:63] + wire _T_55 = io_operand[31:4] == 28'h1; // @[exu_div_ctl.scala 655:63] + wire _T_57 = io_operand[31:3] == 29'h1; // @[exu_div_ctl.scala 655:63] + wire _T_59 = io_operand[31:2] == 30'h1; // @[exu_div_ctl.scala 655:63] + wire _T_61 = io_operand[31:1] == 31'h1; // @[exu_div_ctl.scala 655:63] + wire _T_63 = io_operand[31:0] == 32'h1; // @[exu_div_ctl.scala 655:63] + wire [1:0] _T_66 = _T_5 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_67 = _T_7 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_68 = _T_9 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_69 = _T_11 ? 3'h5 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_70 = _T_13 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_71 = _T_15 ? 3'h7 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_72 = _T_17 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_73 = _T_19 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_74 = _T_21 ? 4'ha : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_75 = _T_23 ? 4'hb : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_76 = _T_25 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_77 = _T_27 ? 4'hd : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_78 = _T_29 ? 4'he : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_79 = _T_31 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_80 = _T_33 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_81 = _T_35 ? 5'h11 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_82 = _T_37 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_83 = _T_39 ? 5'h13 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_84 = _T_41 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_85 = _T_43 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_86 = _T_45 ? 5'h16 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_87 = _T_47 ? 5'h17 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_88 = _T_49 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_89 = _T_51 ? 5'h19 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_90 = _T_53 ? 5'h1a : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_91 = _T_55 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_92 = _T_57 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_93 = _T_59 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_94 = _T_61 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_95 = _T_63 ? 5'h1f : 5'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_1 = {{1'd0}, _T_3}; // @[Mux.scala 27:72] + wire [1:0] _T_97 = _GEN_1 | _T_66; // @[Mux.scala 27:72] + wire [1:0] _T_98 = _T_97 | _T_67; // @[Mux.scala 27:72] + wire [2:0] _GEN_2 = {{1'd0}, _T_98}; // @[Mux.scala 27:72] + wire [2:0] _T_99 = _GEN_2 | _T_68; // @[Mux.scala 27:72] + wire [2:0] _T_100 = _T_99 | _T_69; // @[Mux.scala 27:72] + wire [2:0] _T_101 = _T_100 | _T_70; // @[Mux.scala 27:72] + wire [2:0] _T_102 = _T_101 | _T_71; // @[Mux.scala 27:72] + wire [3:0] _GEN_3 = {{1'd0}, _T_102}; // @[Mux.scala 27:72] + wire [3:0] _T_103 = _GEN_3 | _T_72; // @[Mux.scala 27:72] + wire [3:0] _T_104 = _T_103 | _T_73; // @[Mux.scala 27:72] + wire [3:0] _T_105 = _T_104 | _T_74; // @[Mux.scala 27:72] + wire [3:0] _T_106 = _T_105 | _T_75; // @[Mux.scala 27:72] + wire [3:0] _T_107 = _T_106 | _T_76; // @[Mux.scala 27:72] + wire [3:0] _T_108 = _T_107 | _T_77; // @[Mux.scala 27:72] + wire [3:0] _T_109 = _T_108 | _T_78; // @[Mux.scala 27:72] + wire [3:0] _T_110 = _T_109 | _T_79; // @[Mux.scala 27:72] + wire [4:0] _GEN_4 = {{1'd0}, _T_110}; // @[Mux.scala 27:72] + wire [4:0] _T_111 = _GEN_4 | _T_80; // @[Mux.scala 27:72] + wire [4:0] _T_112 = _T_111 | _T_81; // @[Mux.scala 27:72] + wire [4:0] _T_113 = _T_112 | _T_82; // @[Mux.scala 27:72] + wire [4:0] _T_114 = _T_113 | _T_83; // @[Mux.scala 27:72] + wire [4:0] _T_115 = _T_114 | _T_84; // @[Mux.scala 27:72] + wire [4:0] _T_116 = _T_115 | _T_85; // @[Mux.scala 27:72] + wire [4:0] _T_117 = _T_116 | _T_86; // @[Mux.scala 27:72] + wire [4:0] _T_118 = _T_117 | _T_87; // @[Mux.scala 27:72] + wire [4:0] _T_119 = _T_118 | _T_88; // @[Mux.scala 27:72] + wire [4:0] _T_120 = _T_119 | _T_89; // @[Mux.scala 27:72] + wire [4:0] _T_121 = _T_120 | _T_90; // @[Mux.scala 27:72] + wire [4:0] _T_122 = _T_121 | _T_91; // @[Mux.scala 27:72] + wire [4:0] _T_123 = _T_122 | _T_92; // @[Mux.scala 27:72] + wire [4:0] _T_124 = _T_123 | _T_93; // @[Mux.scala 27:72] + wire [4:0] _T_125 = _T_124 | _T_94; // @[Mux.scala 27:72] + wire [4:0] cls_zeros = _T_125 | _T_95; // @[Mux.scala 27:72] + wire _T_129 = io_operand[31:0] == 32'hffffffff; // @[exu_div_ctl.scala 657:25] + wire _T_137 = io_operand[31:29] == 3'h6; // @[exu_div_ctl.scala 658:76] + wire _T_142 = io_operand[31:28] == 4'he; // @[exu_div_ctl.scala 658:76] + wire _T_147 = io_operand[31:27] == 5'h1e; // @[exu_div_ctl.scala 658:76] + wire _T_152 = io_operand[31:26] == 6'h3e; // @[exu_div_ctl.scala 658:76] + wire _T_157 = io_operand[31:25] == 7'h7e; // @[exu_div_ctl.scala 658:76] + wire _T_162 = io_operand[31:24] == 8'hfe; // @[exu_div_ctl.scala 658:76] + wire _T_167 = io_operand[31:23] == 9'h1fe; // @[exu_div_ctl.scala 658:76] + wire _T_172 = io_operand[31:22] == 10'h3fe; // @[exu_div_ctl.scala 658:76] + wire _T_177 = io_operand[31:21] == 11'h7fe; // @[exu_div_ctl.scala 658:76] + wire _T_182 = io_operand[31:20] == 12'hffe; // @[exu_div_ctl.scala 658:76] + wire _T_187 = io_operand[31:19] == 13'h1ffe; // @[exu_div_ctl.scala 658:76] + wire _T_192 = io_operand[31:18] == 14'h3ffe; // @[exu_div_ctl.scala 658:76] + wire _T_197 = io_operand[31:17] == 15'h7ffe; // @[exu_div_ctl.scala 658:76] + wire _T_202 = io_operand[31:16] == 16'hfffe; // @[exu_div_ctl.scala 658:76] + wire _T_207 = io_operand[31:15] == 17'h1fffe; // @[exu_div_ctl.scala 658:76] + wire _T_212 = io_operand[31:14] == 18'h3fffe; // @[exu_div_ctl.scala 658:76] + wire _T_217 = io_operand[31:13] == 19'h7fffe; // @[exu_div_ctl.scala 658:76] + wire _T_222 = io_operand[31:12] == 20'hffffe; // @[exu_div_ctl.scala 658:76] + wire _T_227 = io_operand[31:11] == 21'h1ffffe; // @[exu_div_ctl.scala 658:76] + wire _T_232 = io_operand[31:10] == 22'h3ffffe; // @[exu_div_ctl.scala 658:76] + wire _T_237 = io_operand[31:9] == 23'h7ffffe; // @[exu_div_ctl.scala 658:76] + wire _T_242 = io_operand[31:8] == 24'hfffffe; // @[exu_div_ctl.scala 658:76] + wire _T_247 = io_operand[31:7] == 25'h1fffffe; // @[exu_div_ctl.scala 658:76] + wire _T_252 = io_operand[31:6] == 26'h3fffffe; // @[exu_div_ctl.scala 658:76] + wire _T_257 = io_operand[31:5] == 27'h7fffffe; // @[exu_div_ctl.scala 658:76] + wire _T_262 = io_operand[31:4] == 28'hffffffe; // @[exu_div_ctl.scala 658:76] + wire _T_267 = io_operand[31:3] == 29'h1ffffffe; // @[exu_div_ctl.scala 658:76] + wire _T_272 = io_operand[31:2] == 30'h3ffffffe; // @[exu_div_ctl.scala 658:76] + wire _T_277 = io_operand[31:1] == 31'h7ffffffe; // @[exu_div_ctl.scala 658:76] + wire _T_282 = io_operand[31:0] == 32'hfffffffe; // @[exu_div_ctl.scala 658:76] + wire [1:0] _T_286 = _T_142 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_287 = _T_147 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_288 = _T_152 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_289 = _T_157 ? 3'h5 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_290 = _T_162 ? 3'h6 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_291 = _T_167 ? 3'h7 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_292 = _T_172 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_293 = _T_177 ? 4'h9 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_294 = _T_182 ? 4'ha : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_295 = _T_187 ? 4'hb : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_296 = _T_192 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_297 = _T_197 ? 4'hd : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_298 = _T_202 ? 4'he : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_299 = _T_207 ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_300 = _T_212 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_301 = _T_217 ? 5'h11 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_302 = _T_222 ? 5'h12 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_303 = _T_227 ? 5'h13 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_304 = _T_232 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_305 = _T_237 ? 5'h15 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_306 = _T_242 ? 5'h16 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_307 = _T_247 ? 5'h17 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_308 = _T_252 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_309 = _T_257 ? 5'h19 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_310 = _T_262 ? 5'h1a : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_311 = _T_267 ? 5'h1b : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_312 = _T_272 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_313 = _T_277 ? 5'h1d : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_314 = _T_282 ? 5'h1e : 5'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_5 = {{1'd0}, _T_137}; // @[Mux.scala 27:72] + wire [1:0] _T_316 = _GEN_5 | _T_286; // @[Mux.scala 27:72] + wire [1:0] _T_317 = _T_316 | _T_287; // @[Mux.scala 27:72] + wire [2:0] _GEN_6 = {{1'd0}, _T_317}; // @[Mux.scala 27:72] + wire [2:0] _T_318 = _GEN_6 | _T_288; // @[Mux.scala 27:72] + wire [2:0] _T_319 = _T_318 | _T_289; // @[Mux.scala 27:72] + wire [2:0] _T_320 = _T_319 | _T_290; // @[Mux.scala 27:72] + wire [2:0] _T_321 = _T_320 | _T_291; // @[Mux.scala 27:72] + wire [3:0] _GEN_7 = {{1'd0}, _T_321}; // @[Mux.scala 27:72] + wire [3:0] _T_322 = _GEN_7 | _T_292; // @[Mux.scala 27:72] + wire [3:0] _T_323 = _T_322 | _T_293; // @[Mux.scala 27:72] + wire [3:0] _T_324 = _T_323 | _T_294; // @[Mux.scala 27:72] + wire [3:0] _T_325 = _T_324 | _T_295; // @[Mux.scala 27:72] + wire [3:0] _T_326 = _T_325 | _T_296; // @[Mux.scala 27:72] + wire [3:0] _T_327 = _T_326 | _T_297; // @[Mux.scala 27:72] + wire [3:0] _T_328 = _T_327 | _T_298; // @[Mux.scala 27:72] + wire [3:0] _T_329 = _T_328 | _T_299; // @[Mux.scala 27:72] + wire [4:0] _GEN_8 = {{1'd0}, _T_329}; // @[Mux.scala 27:72] + wire [4:0] _T_330 = _GEN_8 | _T_300; // @[Mux.scala 27:72] + wire [4:0] _T_331 = _T_330 | _T_301; // @[Mux.scala 27:72] + wire [4:0] _T_332 = _T_331 | _T_302; // @[Mux.scala 27:72] + wire [4:0] _T_333 = _T_332 | _T_303; // @[Mux.scala 27:72] + wire [4:0] _T_334 = _T_333 | _T_304; // @[Mux.scala 27:72] + wire [4:0] _T_335 = _T_334 | _T_305; // @[Mux.scala 27:72] + wire [4:0] _T_336 = _T_335 | _T_306; // @[Mux.scala 27:72] + wire [4:0] _T_337 = _T_336 | _T_307; // @[Mux.scala 27:72] + wire [4:0] _T_338 = _T_337 | _T_308; // @[Mux.scala 27:72] + wire [4:0] _T_339 = _T_338 | _T_309; // @[Mux.scala 27:72] + wire [4:0] _T_340 = _T_339 | _T_310; // @[Mux.scala 27:72] + wire [4:0] _T_341 = _T_340 | _T_311; // @[Mux.scala 27:72] + wire [4:0] _T_342 = _T_341 | _T_312; // @[Mux.scala 27:72] + wire [4:0] _T_343 = _T_342 | _T_313; // @[Mux.scala 27:72] + wire [4:0] _T_344 = _T_343 | _T_314; // @[Mux.scala 27:72] + wire [4:0] cls_ones = _T_129 ? 5'h1f : _T_344; // @[exu_div_ctl.scala 657:44] + assign io_cls = io_operand[32] ? cls_ones : cls_zeros; // @[exu_div_ctl.scala 659:10] +endmodule +module rvclkhdr( + input io_clk, + input io_en +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = 1'h0; // @[lib.scala 338:18] +endmodule +module exu_div_new_2bit_fullshortq( + input clock, + input reset, + input io_scan_mode, + input io_cancel, + input io_valid_in, + input io_signed_in, + input io_rem_in, + input [31:0] io_dividend_in, + input [31:0] io_divisor_in, + output [31:0] io_data_out, + output io_valid_out +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [63:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; +`endif // RANDOMIZE_REG_INIT + wire [32:0] a_enc_io_operand; // @[exu_div_ctl.scala 584:21] + wire [4:0] a_enc_io_cls; // @[exu_div_ctl.scala 584:21] + wire [32:0] b_enc_io_operand; // @[exu_div_ctl.scala 587:21] + wire [4:0] b_enc_io_cls; // @[exu_div_ctl.scala 587:21] + wire rvclkhdr_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_io_en; // @[lib.scala 390:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_1_io_en; // @[lib.scala 390:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_2_io_en; // @[lib.scala 390:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_3_io_en; // @[lib.scala 390:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_4_io_en; // @[lib.scala 390:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_5_io_en; // @[lib.scala 390:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_6_io_en; // @[lib.scala 390:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_7_io_en; // @[lib.scala 390:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_8_io_en; // @[lib.scala 390:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_9_io_en; // @[lib.scala 390:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 390:23] + wire rvclkhdr_10_io_en; // @[lib.scala 390:23] + wire _T = ~io_cancel; // @[exu_div_ctl.scala 488:35] + wire valid_ff_in = io_valid_in & _T; // @[exu_div_ctl.scala 488:33] + wire _T_1 = ~io_valid_in; // @[exu_div_ctl.scala 489:35] + reg [2:0] control_ff; // @[Reg.scala 27:20] + wire _T_3 = _T_1 & control_ff[2]; // @[exu_div_ctl.scala 489:48] + wire _T_4 = io_valid_in & io_signed_in; // @[exu_div_ctl.scala 489:80] + wire _T_6 = _T_4 & io_dividend_in[31]; // @[exu_div_ctl.scala 489:96] + wire _T_7 = _T_3 | _T_6; // @[exu_div_ctl.scala 489:65] + wire _T_10 = _T_1 & control_ff[1]; // @[exu_div_ctl.scala 489:133] + wire _T_13 = _T_4 & io_divisor_in[31]; // @[exu_div_ctl.scala 489:181] + wire _T_14 = _T_10 | _T_13; // @[exu_div_ctl.scala 489:150] + wire _T_17 = _T_1 & control_ff[0]; // @[exu_div_ctl.scala 489:218] + wire _T_18 = io_valid_in & io_rem_in; // @[exu_div_ctl.scala 489:250] + wire _T_19 = _T_17 | _T_18; // @[exu_div_ctl.scala 489:235] + wire [2:0] control_in = {_T_7,_T_14,_T_19}; // @[Cat.scala 29:58] + reg [32:0] b_ff1; // @[Reg.scala 27:20] + wire [34:0] b_ff = {b_ff1[32],b_ff1[32],b_ff1}; // @[Cat.scala 29:58] + wire _T_22 = b_ff[31:0] == 32'h0; // @[exu_div_ctl.scala 493:54] + reg valid_ff; // @[Reg.scala 27:20] + wire by_zero_case = valid_ff & _T_22; // @[exu_div_ctl.scala 493:40] + reg [31:0] a_ff; // @[Reg.scala 27:20] + wire _T_25 = ~by_zero_case; // @[exu_div_ctl.scala 496:29] + wire _T_27 = ~control_ff[0]; // @[exu_div_ctl.scala 496:45] + reg [6:0] count_ff; // @[Reg.scala 27:20] + wire _T_32 = |count_ff; // @[exu_div_ctl.scala 497:42] + reg shortq_enable_ff; // @[Reg.scala 27:20] + wire running_state = _T_32 | shortq_enable_ff; // @[exu_div_ctl.scala 497:45] + wire _T_33 = io_valid_in | valid_ff; // @[exu_div_ctl.scala 498:43] + wire _T_34 = _T_33 | io_cancel; // @[exu_div_ctl.scala 498:54] + wire _T_35 = _T_34 | running_state; // @[exu_div_ctl.scala 498:66] + reg finish_ff; // @[Reg.scala 27:20] + wire misc_enable = _T_35 | finish_ff; // @[exu_div_ctl.scala 498:82] + wire _T_37 = count_ff == 7'h20; // @[exu_div_ctl.scala 499:72] + wire finish_raw = by_zero_case | _T_37; // @[exu_div_ctl.scala 499:60] + wire finish = finish_raw & _T; // @[exu_div_ctl.scala 500:41] + wire _T_39 = valid_ff | running_state; // @[exu_div_ctl.scala 501:40] + wire _T_40 = ~finish; // @[exu_div_ctl.scala 501:59] + wire _T_41 = _T_39 & _T_40; // @[exu_div_ctl.scala 501:57] + wire _T_42 = ~finish_ff; // @[exu_div_ctl.scala 501:69] + wire _T_43 = _T_41 & _T_42; // @[exu_div_ctl.scala 501:67] + wire _T_45 = _T_43 & _T; // @[exu_div_ctl.scala 501:80] + wire [6:0] _T_890 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_891 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_893 = _T_890 - _T_891; // @[exu_div_ctl.scala 592:41] + wire [6:0] dw_shortq_raw = _T_893 + 7'h1; // @[exu_div_ctl.scala 592:61] + wire [5:0] shortq = dw_shortq_raw[6] ? 6'h0 : dw_shortq_raw[5:0]; // @[exu_div_ctl.scala 593:19] + wire _T_899 = ~shortq[5]; // @[exu_div_ctl.scala 594:31] + wire _T_900 = valid_ff & _T_899; // @[exu_div_ctl.scala 594:29] + wire _T_902 = shortq[4:1] == 4'hf; // @[exu_div_ctl.scala 594:58] + wire _T_903 = ~_T_902; // @[exu_div_ctl.scala 594:44] + wire _T_904 = _T_900 & _T_903; // @[exu_div_ctl.scala 594:42] + wire shortq_enable = _T_904 & _T; // @[exu_div_ctl.scala 594:74] + wire _T_46 = ~shortq_enable; // @[exu_div_ctl.scala 501:95] + wire count_enable = _T_45 & _T_46; // @[exu_div_ctl.scala 501:93] + wire [6:0] _T_48 = count_enable ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] + wire [6:0] _T_51 = count_ff + 7'h2; // @[exu_div_ctl.scala 502:63] + reg [3:0] shortq_shift_ff; // @[Reg.scala 27:20] + wire [6:0] _T_53 = {2'h0,shortq_shift_ff,1'h0}; // @[Cat.scala 29:58] + wire [6:0] _T_55 = _T_51 + _T_53; // @[exu_div_ctl.scala 502:83] + wire [6:0] count_in = _T_48 & _T_55; // @[exu_div_ctl.scala 502:51] + wire a_enable = io_valid_in | running_state; // @[exu_div_ctl.scala 503:43] + wire _T_56 = ~shortq_enable_ff; // @[exu_div_ctl.scala 504:47] + wire a_shift = running_state & _T_56; // @[exu_div_ctl.scala 504:45] + wire [31:0] _T_58 = control_ff[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_59 = {_T_58,a_ff}; // @[Cat.scala 29:58] + wire [4:0] _T_60 = {shortq_shift_ff,1'h0}; // @[Cat.scala 29:58] + wire [94:0] _GEN_11 = {{31'd0}, _T_59}; // @[exu_div_ctl.scala 505:68] + wire [94:0] _T_61 = _GEN_11 << _T_60; // @[exu_div_ctl.scala 505:68] + wire _T_62 = control_ff[2] ^ control_ff[1]; // @[exu_div_ctl.scala 506:61] + wire _T_63 = ~_T_62; // @[exu_div_ctl.scala 506:42] + wire b_twos_comp = valid_ff & _T_63; // @[exu_div_ctl.scala 506:40] + wire _T_66 = ~valid_ff; // @[exu_div_ctl.scala 508:30] + wire _T_68 = _T_66 & _T_27; // @[exu_div_ctl.scala 508:40] + wire _T_70 = _T_68 & _T_62; // @[exu_div_ctl.scala 508:50] + reg by_zero_case_ff; // @[Reg.scala 27:20] + wire _T_71 = ~by_zero_case_ff; // @[exu_div_ctl.scala 508:92] + wire twos_comp_q_sel = _T_70 & _T_71; // @[exu_div_ctl.scala 508:90] + wire b_enable = io_valid_in | b_twos_comp; // @[exu_div_ctl.scala 509:43] + wire rq_enable = _T_33 | running_state; // @[exu_div_ctl.scala 510:54] + wire _T_73 = valid_ff & control_ff[2]; // @[exu_div_ctl.scala 511:40] + wire r_sign_sel = _T_73 & _T_25; // @[exu_div_ctl.scala 511:59] + reg [31:0] r_ff; // @[Reg.scala 27:20] + wire [34:0] _T_102 = {r_ff[31],r_ff,a_ff[31:30]}; // @[Cat.scala 29:58] + wire [34:0] _T_104 = {b_ff[33:0],1'h0}; // @[Cat.scala 29:58] + wire [34:0] _T_106 = _T_102 + _T_104; // @[exu_div_ctl.scala 518:57] + wire [34:0] adder3_out = _T_106 + b_ff; // @[exu_div_ctl.scala 518:79] + wire _T_109 = ~adder3_out[34]; // @[exu_div_ctl.scala 519:24] + wire _T_110 = _T_109 ^ control_ff[2]; // @[exu_div_ctl.scala 519:40] + wire _T_112 = a_ff[29:0] == 30'h0; // @[exu_div_ctl.scala 519:75] + wire _T_113 = adder3_out == 35'h0; // @[exu_div_ctl.scala 519:98] + wire _T_114 = _T_112 & _T_113; // @[exu_div_ctl.scala 519:84] + wire _T_115 = _T_110 | _T_114; // @[exu_div_ctl.scala 519:60] + wire [32:0] _T_94 = {r_ff[30:0],a_ff[31:30]}; // @[Cat.scala 29:58] + wire [33:0] _T_96 = {b_ff[32:0],1'h0}; // @[Cat.scala 29:58] + wire [33:0] _GEN_12 = {{1'd0}, _T_94}; // @[exu_div_ctl.scala 517:48] + wire [33:0] adder2_out = _GEN_12 + _T_96; // @[exu_div_ctl.scala 517:48] + wire _T_117 = ~adder2_out[33]; // @[exu_div_ctl.scala 520:6] + wire _T_118 = _T_117 ^ control_ff[2]; // @[exu_div_ctl.scala 520:22] + wire _T_121 = adder2_out == 34'h0; // @[exu_div_ctl.scala 520:80] + wire _T_122 = _T_112 & _T_121; // @[exu_div_ctl.scala 520:66] + wire _T_123 = _T_118 | _T_122; // @[exu_div_ctl.scala 520:42] + wire [32:0] adder1_out = _T_94 + b_ff[32:0]; // @[exu_div_ctl.scala 516:48] + wire _T_125 = ~adder1_out[32]; // @[exu_div_ctl.scala 521:6] + wire _T_126 = _T_125 ^ control_ff[2]; // @[exu_div_ctl.scala 521:22] + wire _T_129 = adder1_out == 33'h0; // @[exu_div_ctl.scala 521:80] + wire _T_130 = _T_112 & _T_129; // @[exu_div_ctl.scala 521:66] + wire _T_131 = _T_126 | _T_130; // @[exu_div_ctl.scala 521:42] + wire [2:0] quotient_raw = {_T_115,_T_123,_T_131}; // @[Cat.scala 29:58] + wire _T_136 = quotient_raw[2] | quotient_raw[1]; // @[exu_div_ctl.scala 522:41] + wire _T_139 = ~quotient_raw[1]; // @[exu_div_ctl.scala 522:82] + wire _T_141 = _T_139 & quotient_raw[0]; // @[exu_div_ctl.scala 522:99] + wire _T_142 = quotient_raw[2] | _T_141; // @[exu_div_ctl.scala 522:80] + wire [1:0] quotient_new = {_T_136,_T_142}; // @[Cat.scala 29:58] + wire _T_75 = quotient_new == 2'h0; // @[exu_div_ctl.scala 512:61] + wire _T_76 = running_state & _T_75; // @[exu_div_ctl.scala 512:45] + wire r_restore_sel = _T_76 & _T_56; // @[exu_div_ctl.scala 512:70] + wire _T_78 = quotient_new == 2'h1; // @[exu_div_ctl.scala 513:61] + wire _T_79 = running_state & _T_78; // @[exu_div_ctl.scala 513:45] + wire r_adder1_sel = _T_79 & _T_56; // @[exu_div_ctl.scala 513:70] + wire _T_81 = quotient_new == 2'h2; // @[exu_div_ctl.scala 514:61] + wire _T_82 = running_state & _T_81; // @[exu_div_ctl.scala 514:45] + wire r_adder2_sel = _T_82 & _T_56; // @[exu_div_ctl.scala 514:70] + wire _T_84 = quotient_new == 2'h3; // @[exu_div_ctl.scala 515:61] + wire _T_85 = running_state & _T_84; // @[exu_div_ctl.scala 515:45] + wire r_adder3_sel = _T_85 & _T_56; // @[exu_div_ctl.scala 515:70] + reg [31:0] q_ff; // @[Reg.scala 27:20] + wire [31:0] _T_145 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_146 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] twos_comp_in = _T_145 | _T_146; // @[Mux.scala 27:72] + wire _T_150 = |twos_comp_in[0]; // @[lib.scala 428:35] + wire _T_152 = ~twos_comp_in[1]; // @[lib.scala 428:40] + wire _T_154 = _T_150 ? _T_152 : twos_comp_in[1]; // @[lib.scala 428:23] + wire _T_156 = |twos_comp_in[1:0]; // @[lib.scala 428:35] + wire _T_158 = ~twos_comp_in[2]; // @[lib.scala 428:40] + wire _T_160 = _T_156 ? _T_158 : twos_comp_in[2]; // @[lib.scala 428:23] + wire _T_162 = |twos_comp_in[2:0]; // @[lib.scala 428:35] + wire _T_164 = ~twos_comp_in[3]; // @[lib.scala 428:40] + wire _T_166 = _T_162 ? _T_164 : twos_comp_in[3]; // @[lib.scala 428:23] + wire _T_168 = |twos_comp_in[3:0]; // @[lib.scala 428:35] + wire _T_170 = ~twos_comp_in[4]; // @[lib.scala 428:40] + wire _T_172 = _T_168 ? _T_170 : twos_comp_in[4]; // @[lib.scala 428:23] + wire _T_174 = |twos_comp_in[4:0]; // @[lib.scala 428:35] + wire _T_176 = ~twos_comp_in[5]; // @[lib.scala 428:40] + wire _T_178 = _T_174 ? _T_176 : twos_comp_in[5]; // @[lib.scala 428:23] + wire _T_180 = |twos_comp_in[5:0]; // @[lib.scala 428:35] + wire _T_182 = ~twos_comp_in[6]; // @[lib.scala 428:40] + wire _T_184 = _T_180 ? _T_182 : twos_comp_in[6]; // @[lib.scala 428:23] + wire _T_186 = |twos_comp_in[6:0]; // @[lib.scala 428:35] + wire _T_188 = ~twos_comp_in[7]; // @[lib.scala 428:40] + wire _T_190 = _T_186 ? _T_188 : twos_comp_in[7]; // @[lib.scala 428:23] + wire _T_192 = |twos_comp_in[7:0]; // @[lib.scala 428:35] + wire _T_194 = ~twos_comp_in[8]; // @[lib.scala 428:40] + wire _T_196 = _T_192 ? _T_194 : twos_comp_in[8]; // @[lib.scala 428:23] + wire _T_198 = |twos_comp_in[8:0]; // @[lib.scala 428:35] + wire _T_200 = ~twos_comp_in[9]; // @[lib.scala 428:40] + wire _T_202 = _T_198 ? _T_200 : twos_comp_in[9]; // @[lib.scala 428:23] + wire _T_204 = |twos_comp_in[9:0]; // @[lib.scala 428:35] + wire _T_206 = ~twos_comp_in[10]; // @[lib.scala 428:40] + wire _T_208 = _T_204 ? _T_206 : twos_comp_in[10]; // @[lib.scala 428:23] + wire _T_210 = |twos_comp_in[10:0]; // @[lib.scala 428:35] + wire _T_212 = ~twos_comp_in[11]; // @[lib.scala 428:40] + wire _T_214 = _T_210 ? _T_212 : twos_comp_in[11]; // @[lib.scala 428:23] + wire _T_216 = |twos_comp_in[11:0]; // @[lib.scala 428:35] + wire _T_218 = ~twos_comp_in[12]; // @[lib.scala 428:40] + wire _T_220 = _T_216 ? _T_218 : twos_comp_in[12]; // @[lib.scala 428:23] + wire _T_222 = |twos_comp_in[12:0]; // @[lib.scala 428:35] + wire _T_224 = ~twos_comp_in[13]; // @[lib.scala 428:40] + wire _T_226 = _T_222 ? _T_224 : twos_comp_in[13]; // @[lib.scala 428:23] + wire _T_228 = |twos_comp_in[13:0]; // @[lib.scala 428:35] + wire _T_230 = ~twos_comp_in[14]; // @[lib.scala 428:40] + wire _T_232 = _T_228 ? _T_230 : twos_comp_in[14]; // @[lib.scala 428:23] + wire _T_234 = |twos_comp_in[14:0]; // @[lib.scala 428:35] + wire _T_236 = ~twos_comp_in[15]; // @[lib.scala 428:40] + wire _T_238 = _T_234 ? _T_236 : twos_comp_in[15]; // @[lib.scala 428:23] + wire _T_240 = |twos_comp_in[15:0]; // @[lib.scala 428:35] + wire _T_242 = ~twos_comp_in[16]; // @[lib.scala 428:40] + wire _T_244 = _T_240 ? _T_242 : twos_comp_in[16]; // @[lib.scala 428:23] + wire _T_246 = |twos_comp_in[16:0]; // @[lib.scala 428:35] + wire _T_248 = ~twos_comp_in[17]; // @[lib.scala 428:40] + wire _T_250 = _T_246 ? _T_248 : twos_comp_in[17]; // @[lib.scala 428:23] + wire _T_252 = |twos_comp_in[17:0]; // @[lib.scala 428:35] + wire _T_254 = ~twos_comp_in[18]; // @[lib.scala 428:40] + wire _T_256 = _T_252 ? _T_254 : twos_comp_in[18]; // @[lib.scala 428:23] + wire _T_258 = |twos_comp_in[18:0]; // @[lib.scala 428:35] + wire _T_260 = ~twos_comp_in[19]; // @[lib.scala 428:40] + wire _T_262 = _T_258 ? _T_260 : twos_comp_in[19]; // @[lib.scala 428:23] + wire _T_264 = |twos_comp_in[19:0]; // @[lib.scala 428:35] + wire _T_266 = ~twos_comp_in[20]; // @[lib.scala 428:40] + wire _T_268 = _T_264 ? _T_266 : twos_comp_in[20]; // @[lib.scala 428:23] + wire _T_270 = |twos_comp_in[20:0]; // @[lib.scala 428:35] + wire _T_272 = ~twos_comp_in[21]; // @[lib.scala 428:40] + wire _T_274 = _T_270 ? _T_272 : twos_comp_in[21]; // @[lib.scala 428:23] + wire _T_276 = |twos_comp_in[21:0]; // @[lib.scala 428:35] + wire _T_278 = ~twos_comp_in[22]; // @[lib.scala 428:40] + wire _T_280 = _T_276 ? _T_278 : twos_comp_in[22]; // @[lib.scala 428:23] + wire _T_282 = |twos_comp_in[22:0]; // @[lib.scala 428:35] + wire _T_284 = ~twos_comp_in[23]; // @[lib.scala 428:40] + wire _T_286 = _T_282 ? _T_284 : twos_comp_in[23]; // @[lib.scala 428:23] + wire _T_288 = |twos_comp_in[23:0]; // @[lib.scala 428:35] + wire _T_290 = ~twos_comp_in[24]; // @[lib.scala 428:40] + wire _T_292 = _T_288 ? _T_290 : twos_comp_in[24]; // @[lib.scala 428:23] + wire _T_294 = |twos_comp_in[24:0]; // @[lib.scala 428:35] + wire _T_296 = ~twos_comp_in[25]; // @[lib.scala 428:40] + wire _T_298 = _T_294 ? _T_296 : twos_comp_in[25]; // @[lib.scala 428:23] + wire _T_300 = |twos_comp_in[25:0]; // @[lib.scala 428:35] + wire _T_302 = ~twos_comp_in[26]; // @[lib.scala 428:40] + wire _T_304 = _T_300 ? _T_302 : twos_comp_in[26]; // @[lib.scala 428:23] + wire _T_306 = |twos_comp_in[26:0]; // @[lib.scala 428:35] + wire _T_308 = ~twos_comp_in[27]; // @[lib.scala 428:40] + wire _T_310 = _T_306 ? _T_308 : twos_comp_in[27]; // @[lib.scala 428:23] + wire _T_312 = |twos_comp_in[27:0]; // @[lib.scala 428:35] + wire _T_314 = ~twos_comp_in[28]; // @[lib.scala 428:40] + wire _T_316 = _T_312 ? _T_314 : twos_comp_in[28]; // @[lib.scala 428:23] + wire _T_318 = |twos_comp_in[28:0]; // @[lib.scala 428:35] + wire _T_320 = ~twos_comp_in[29]; // @[lib.scala 428:40] + wire _T_322 = _T_318 ? _T_320 : twos_comp_in[29]; // @[lib.scala 428:23] + wire _T_324 = |twos_comp_in[29:0]; // @[lib.scala 428:35] + wire _T_326 = ~twos_comp_in[30]; // @[lib.scala 428:40] + wire _T_328 = _T_324 ? _T_326 : twos_comp_in[30]; // @[lib.scala 428:23] + wire _T_330 = |twos_comp_in[30:0]; // @[lib.scala 428:35] + wire _T_332 = ~twos_comp_in[31]; // @[lib.scala 428:40] + wire _T_334 = _T_330 ? _T_332 : twos_comp_in[31]; // @[lib.scala 428:23] + wire [6:0] _T_340 = {_T_190,_T_184,_T_178,_T_172,_T_166,_T_160,_T_154}; // @[lib.scala 430:14] + wire [14:0] _T_348 = {_T_238,_T_232,_T_226,_T_220,_T_214,_T_208,_T_202,_T_196,_T_340}; // @[lib.scala 430:14] + wire [7:0] _T_355 = {_T_286,_T_280,_T_274,_T_268,_T_262,_T_256,_T_250,_T_244}; // @[lib.scala 430:14] + wire [30:0] _T_364 = {_T_334,_T_328,_T_322,_T_316,_T_310,_T_304,_T_298,_T_292,_T_355,_T_348}; // @[lib.scala 430:14] + wire [31:0] twos_comp_out = {_T_364,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire _T_366 = ~a_shift; // @[exu_div_ctl.scala 530:6] + wire _T_368 = _T_366 & _T_56; // @[exu_div_ctl.scala 530:15] + wire [31:0] _T_371 = {a_ff[29:0],2'h0}; // @[Cat.scala 29:58] + wire [63:0] ar_shifted = _T_61[63:0]; // @[exu_div_ctl.scala 505:28] + wire [31:0] _T_373 = _T_368 ? io_dividend_in : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_374 = a_shift ? _T_371 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_375 = shortq_enable_ff ? ar_shifted[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_376 = _T_373 | _T_374; // @[Mux.scala 27:72] + wire [31:0] a_in = _T_376 | _T_375; // @[Mux.scala 27:72] + wire _T_378 = ~b_twos_comp; // @[exu_div_ctl.scala 536:5] + wire _T_380 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 536:63] + wire [32:0] _T_382 = {_T_380,io_divisor_in}; // @[Cat.scala 29:58] + wire _T_383 = ~control_ff[1]; // @[exu_div_ctl.scala 537:49] + wire [32:0] _T_385 = {_T_383,_T_364,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire [32:0] _T_386 = _T_378 ? _T_382 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_387 = b_twos_comp ? _T_385 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] b_in = _T_386 | _T_387; // @[Mux.scala 27:72] + wire [31:0] _T_391 = {r_ff[29:0],a_ff[31:30]}; // @[Cat.scala 29:58] + wire [31:0] _T_396 = r_sign_sel ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_397 = r_restore_sel ? _T_391 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_398 = r_adder1_sel ? adder1_out[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_399 = r_adder2_sel ? adder2_out[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_400 = r_adder3_sel ? adder3_out[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_401 = shortq_enable_ff ? ar_shifted[63:32] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_402 = by_zero_case ? a_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_403 = _T_396 | _T_397; // @[Mux.scala 27:72] + wire [31:0] _T_404 = _T_403 | _T_398; // @[Mux.scala 27:72] + wire [31:0] _T_405 = _T_404 | _T_399; // @[Mux.scala 27:72] + wire [31:0] _T_406 = _T_405 | _T_400; // @[Mux.scala 27:72] + wire [31:0] _T_407 = _T_406 | _T_401; // @[Mux.scala 27:72] + wire [31:0] r_in = _T_407 | _T_402; // @[Mux.scala 27:72] + wire [31:0] _T_411 = {q_ff[29:0],_T_136,_T_142}; // @[Cat.scala 29:58] + wire [31:0] _T_414 = _T_66 ? _T_411 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_416 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] q_in = _T_414 | _T_416; // @[Mux.scala 27:72] + wire _T_422 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 555:16] + wire _T_423 = _T_27 & _T_422; // @[exu_div_ctl.scala 555:14] + wire [31:0] _T_425 = _T_423 ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_426 = control_ff[0] ? r_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_427 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_428 = _T_425 | _T_426; // @[Mux.scala 27:72] + wire [4:0] _T_910 = 5'h1f - shortq[4:0]; // @[exu_div_ctl.scala 595:57] + wire [4:0] shortq_shift = _T_46 ? 5'h0 : _T_910; // @[exu_div_ctl.scala 595:25] + exu_div_cls a_enc ( // @[exu_div_ctl.scala 584:21] + .io_operand(a_enc_io_operand), + .io_cls(a_enc_io_cls) + ); + exu_div_cls b_enc ( // @[exu_div_ctl.scala 587:21] + .io_operand(b_enc_io_operand), + .io_cls(b_enc_io_cls) + ); + rvclkhdr rvclkhdr ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 390:23] + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en) + ); + assign io_data_out = _T_428 | _T_427; // @[exu_div_ctl.scala 554:15] + assign io_valid_out = finish_ff & _T; // @[exu_div_ctl.scala 553:16] + assign a_enc_io_operand = {control_ff[2],a_ff}; // @[exu_div_ctl.scala 585:20] + assign b_enc_io_operand = b_ff[32:0]; // @[exu_div_ctl.scala 588:20] + assign rvclkhdr_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_1_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_2_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_3_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_4_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_5_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_6_io_en = _T_35 | finish_ff; // @[lib.scala 393:17] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_7_io_en = io_valid_in | running_state; // @[lib.scala 393:17] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_8_io_en = io_valid_in | b_twos_comp; // @[lib.scala 393:17] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_9_io_en = _T_33 | running_state; // @[lib.scala 393:17] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 392:18] + assign rvclkhdr_10_io_en = _T_33 | running_state; // @[lib.scala 393:17] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + control_ff = _RAND_0[2:0]; + _RAND_1 = {2{`RANDOM}}; + b_ff1 = _RAND_1[32:0]; + _RAND_2 = {1{`RANDOM}}; + valid_ff = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + a_ff = _RAND_3[31:0]; + _RAND_4 = {1{`RANDOM}}; + count_ff = _RAND_4[6:0]; + _RAND_5 = {1{`RANDOM}}; + shortq_enable_ff = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + finish_ff = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + shortq_shift_ff = _RAND_7[3:0]; + _RAND_8 = {1{`RANDOM}}; + by_zero_case_ff = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + r_ff = _RAND_9[31:0]; + _RAND_10 = {1{`RANDOM}}; + q_ff = _RAND_10[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + control_ff = 3'h0; + end + if (reset) begin + b_ff1 = 33'h0; + end + if (reset) begin + valid_ff = 1'h0; + end + if (reset) begin + a_ff = 32'h0; + end + if (reset) begin + count_ff = 7'h0; + end + if (reset) begin + shortq_enable_ff = 1'h0; + end + if (reset) begin + finish_ff = 1'h0; + end + if (reset) begin + shortq_shift_ff = 4'h0; + end + if (reset) begin + by_zero_case_ff = 1'h0; + end + if (reset) begin + r_ff = 32'h0; + end + if (reset) begin + q_ff = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clock or posedge reset) begin + if (reset) begin + control_ff <= 3'h0; + end else if (misc_enable) begin + control_ff <= control_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + b_ff1 <= 33'h0; + end else if (b_enable) begin + b_ff1 <= b_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + valid_ff <= 1'h0; + end else if (misc_enable) begin + valid_ff <= valid_ff_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + a_ff <= 32'h0; + end else if (a_enable) begin + a_ff <= a_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + count_ff <= 7'h0; + end else if (misc_enable) begin + count_ff <= count_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_enable_ff <= 1'h0; + end else if (misc_enable) begin + shortq_enable_ff <= shortq_enable; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + finish_ff <= 1'h0; + end else if (misc_enable) begin + finish_ff <= finish; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + shortq_shift_ff <= 4'h0; + end else if (misc_enable) begin + shortq_shift_ff <= shortq_shift[4:1]; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + by_zero_case_ff <= 1'h0; + end else if (misc_enable) begin + by_zero_case_ff <= by_zero_case; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + r_ff <= 32'h0; + end else if (rq_enable) begin + r_ff <= r_in; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + q_ff <= 32'h0; + end else if (rq_enable) begin + q_ff <= q_in; + end + end +endmodule diff --git a/lsu_bus_buffer.fir b/lsu_bus_buffer.fir index e6b62def..3b9065d0 100644 --- a/lsu_bus_buffer.fir +++ b/lsu_bus_buffer.fir @@ -4493,86 +4493,89 @@ circuit lsu_bus_buffer : node _T_3553 = mux(_T_3550, _T_3551, _T_3552) @[lsu_bus_buffer.scala 446:30] buf_data_in[0] <= _T_3553 @[lsu_bus_buffer.scala 446:24] buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 448:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3554 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3554 : @[Conditional.scala 39:67] - node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 450:25] - node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 451:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 451:60] + node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 451:31] + buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 451:25] + node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 452:46] + buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 452:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 454:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3558 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3558 : @[Conditional.scala 39:67] - node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] - node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] - node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 455:104] - node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] - node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 455:25] - node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 456:48] - node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 456:104] - node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 456:91] - node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 456:77] - node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 456:135] - node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] - buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 456:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 457:29] - node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] - node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] - buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 458:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] - node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 460:56] - node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] - node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 460:44] - node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 460:60] - node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] - node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 460:74] - buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 460:25] - node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] - buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 461:28] - node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] - node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 462:67] - node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] - buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 462:24] - node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] - node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 463:68] - node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] - buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 463:25] - node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] - node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 464:85] - node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] - node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] - node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 464:73] - node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 464:30] - buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 464:24] + node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 457:60] + node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 457:89] + node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 457:124] + node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 457:104] + node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 457:75] + node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 457:31] + buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 457:25] + node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 458:48] + node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 458:104] + node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 458:91] + node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 458:77] + node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 458:135] + node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 458:148] + buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 458:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 459:29] + node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:49] + node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 460:70] + buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 460:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 461:25] + node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 462:56] + node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:46] + node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 462:44] + node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 462:60] + node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:76] + node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 462:74] + buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 462:25] + node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 463:46] + buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 463:28] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:47] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 464:67] + node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 464:81] + buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 464:24] + node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:48] + node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 465:68] + node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 465:82] + buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 465:25] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:61] + node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 466:85] + node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 466:103] + node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:126] + node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 466:73] + node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 466:30] + buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 466:24] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 467:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3592 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3592 : @[Conditional.scala 39:67] - node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:69] - node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] - node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 467:73] - node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 467:57] - node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 467:104] - node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] - node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 468:28] - node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 468:57] - node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] - node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 468:45] - node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] - node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 468:61] - node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 469:27] - node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] - node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] - node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 469:68] - node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 469:97] - node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] - node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 469:85] + node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 470:69] + node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 470:75] + node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 470:73] + node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 470:57] + node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 470:104] + node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 471:28] + node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:57] + node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 471:45] + node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 471:61] + node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:27] + node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 472:68] + node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:97] + node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 472:85] node _T_3612 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3613 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3614 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -4590,159 +4593,162 @@ circuit lsu_bus_buffer : node _T_3626 = or(_T_3625, _T_3623) @[Mux.scala 27:72] wire _T_3627 : UInt<1> @[Mux.scala 27:72] _T_3627 <= _T_3626 @[Mux.scala 27:72] - node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 469:101] - node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] - node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 469:138] - node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] - node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 469:53] - node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] - node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 468:14] - node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 467:33] - buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 467:27] - node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 470:73] - node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 470:52] - node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 471:46] - node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:23] - node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 472:47] - node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 472:27] - node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 471:77] - node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 473:26] - node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 473:54] - node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 473:44] - node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 473:42] - node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 473:58] - node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 473:94] - node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 473:74] - node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 472:71] - node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 471:25] - node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 470:105] - buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 470:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 474:29] - node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] - node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] - buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 475:25] - node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] - node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] - buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 476:24] - node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] - node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:111] - node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 477:91] - node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 478:42] - node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 478:31] - node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 478:66] - node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 478:46] - node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 477:143] - node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 479:54] - node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 479:33] - node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 478:88] - node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 477:68] - buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 477:25] - node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] - node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 480:48] - node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 480:84] - node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] - node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] - node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 480:72] - node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] - node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 480:30] - buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 480:24] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] + node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 472:101] + node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 472:138] + node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 472:53] + node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 471:14] + node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 470:33] + buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 470:27] + node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 473:73] + node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 473:52] + node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 474:46] + node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 475:23] + node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 475:47] + node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 475:27] + node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 474:77] + node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 476:26] + node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 476:54] + node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 476:44] + node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 476:42] + node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 476:58] + node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 476:94] + node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 476:74] + node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 475:71] + node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 474:25] + node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 477:29] + node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 478:25] + node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 479:24] + node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 480:111] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 480:91] + node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:42] + node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 481:31] + node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 481:66] + node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 481:46] + node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 480:143] + node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 482:54] + node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 482:33] + node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 481:88] + node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 480:68] + buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 480:25] + node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 483:48] + node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 483:72] + node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 483:30] + buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 485:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3677 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3677 : @[Conditional.scala 39:67] - node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] - node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 484:86] - node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 484:101] - node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 484:101] - node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 484:90] - node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] - node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] - node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 484:31] - buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 484:25] - node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 485:66] - node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 486:21] - node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 486:21] - node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 486:58] - node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 486:38] - node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 485:95] - node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 485:45] - buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 485:29] - node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] - node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] - buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 487:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 488:86] + node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 488:101] + node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 488:101] + node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 488:90] + node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 488:118] + node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:75] + node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 488:25] + node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 489:66] + node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 490:21] + node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 490:21] + node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 490:58] + node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 490:38] + node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 489:95] + node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 489:45] + buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 489:29] + node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 491:49] + node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 491:70] + buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 491:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 492:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 493:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3695 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3695 : @[Conditional.scala 39:67] - node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] - node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] - buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 491:25] - node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 492:37] - node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 492:98] - node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 492:80] - node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 492:65] - node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] - buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] + node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] + buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 496:25] + node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 497:37] + node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 497:98] + node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 497:80] + node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 497:65] + node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] + buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 497:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 499:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3703 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3703 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] - buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] - buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 500:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 504:108] + node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3704 : @[Reg.scala 28:19] _T_3705 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 504:18] - reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] - _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 505:60] - buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 505:17] - reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] - _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 506:63] - buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 506:20] - node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 507:109] + buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 510:18] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 511:17] + reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 512:20] + node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_3709 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3708 : @[Reg.scala 28:19] _T_3709 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 507:20] - node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 508:74] - node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 508:107] + buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 513:20] + node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 514:74] + node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_3712 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3711 : @[Reg.scala 28:19] _T_3712 <= _T_3710 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 508:17] - node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 509:78] - node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 509:111] + buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 514:17] + node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 515:78] + node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_3715 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3714 : @[Reg.scala 28:19] _T_3715 <= _T_3713 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 509:19] - node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 510:80] - node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:113] + buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 515:19] + node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 516:80] + node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_3718 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3717 : @[Reg.scala 28:19] _T_3718 <= _T_3716 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 510:20] - node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 511:78] - node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:111] + buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 516:20] + node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 517:78] + node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_3721 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3720 : @[Reg.scala 28:19] _T_3721 <= _T_3719 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 511:19] + buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 517:19] node _T_3722 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3722 : @[Conditional.scala 40:58] node _T_3723 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] @@ -4773,86 +4779,89 @@ circuit lsu_bus_buffer : node _T_3744 = mux(_T_3741, _T_3742, _T_3743) @[lsu_bus_buffer.scala 446:30] buf_data_in[1] <= _T_3744 @[lsu_bus_buffer.scala 446:24] buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 448:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3745 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3745 : @[Conditional.scala 39:67] - node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 450:25] - node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 451:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 451:60] + node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 451:31] + buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 451:25] + node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 452:46] + buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 452:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 454:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3749 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3749 : @[Conditional.scala 39:67] - node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] - node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] - node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 455:104] - node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] - node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 455:25] - node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 456:48] - node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 456:104] - node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 456:91] - node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 456:77] - node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 456:135] - node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] - buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 456:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 457:29] - node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] - node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] - buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 458:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] - node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 460:56] - node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] - node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 460:44] - node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 460:60] - node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] - node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 460:74] - buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 460:25] - node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] - buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 461:28] - node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] - node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 462:67] - node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] - buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 462:24] - node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] - node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 463:68] - node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] - buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 463:25] - node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] - node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 464:85] - node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] - node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] - node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 464:73] - node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 464:30] - buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 464:24] + node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 457:60] + node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 457:89] + node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 457:124] + node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 457:104] + node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 457:75] + node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 457:31] + buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 457:25] + node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 458:48] + node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 458:104] + node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 458:91] + node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 458:77] + node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 458:135] + node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 458:148] + buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 458:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 459:29] + node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:49] + node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 460:70] + buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 460:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 461:25] + node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 462:56] + node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:46] + node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 462:44] + node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 462:60] + node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:76] + node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 462:74] + buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 462:25] + node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 463:46] + buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 463:28] + node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:47] + node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 464:67] + node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 464:81] + buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 464:24] + node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:48] + node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 465:68] + node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 465:82] + buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 465:25] + node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:61] + node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 466:85] + node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 466:103] + node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:126] + node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 466:73] + node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 466:30] + buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 466:24] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 467:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3783 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3783 : @[Conditional.scala 39:67] - node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:69] - node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] - node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 467:73] - node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 467:57] - node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 467:104] - node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] - node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 468:28] - node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 468:57] - node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] - node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 468:45] - node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] - node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 468:61] - node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 469:27] - node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] - node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] - node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 469:68] - node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 469:97] - node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] - node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 469:85] + node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 470:69] + node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 470:75] + node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 470:73] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 470:57] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 470:104] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 471:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 471:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 471:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 472:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 472:85] node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -4870,159 +4879,162 @@ circuit lsu_bus_buffer : node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] wire _T_3818 : UInt<1> @[Mux.scala 27:72] _T_3818 <= _T_3817 @[Mux.scala 27:72] - node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 469:101] - node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] - node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 469:138] - node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] - node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 469:53] - node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] - node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 468:14] - node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 467:33] - buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 467:27] - node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 470:73] - node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 470:52] - node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 471:46] - node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:23] - node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 472:47] - node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 472:27] - node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 471:77] - node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 473:26] - node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 473:54] - node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 473:44] - node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 473:42] - node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 473:58] - node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 473:94] - node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 473:74] - node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 472:71] - node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 471:25] - node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 470:105] - buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 470:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 474:29] - node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] - node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] - buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 475:25] - node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] - node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] - buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 476:24] - node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] - node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:111] - node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 477:91] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 478:42] - node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 478:31] - node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 478:66] - node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 478:46] - node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 477:143] - node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 479:54] - node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 479:33] - node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 478:88] - node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 477:68] - buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 477:25] - node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] - node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 480:48] - node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 480:84] - node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] - node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] - node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 480:72] - node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] - node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 480:30] - buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 480:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 472:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 472:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 472:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 471:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 470:33] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 470:27] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 473:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 473:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 474:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 475:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 475:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 475:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 474:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 476:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 476:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 476:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 476:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 476:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 476:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 476:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 475:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 474:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 477:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 478:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 479:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 480:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 480:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 481:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 481:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 481:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 480:143] + node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 482:54] + node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 482:33] + node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 481:88] + node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 480:68] + buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 480:25] + node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 483:48] + node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 483:72] + node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 483:30] + buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 485:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3868 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3868 : @[Conditional.scala 39:67] - node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] - node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 484:86] - node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 484:101] - node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 484:101] - node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 484:90] - node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] - node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] - node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 484:31] - buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 484:25] - node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 485:66] - node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 486:21] - node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 486:21] - node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 486:58] - node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 486:38] - node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 485:95] - node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 485:45] - buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 485:29] - node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] - node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] - buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 487:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 488:86] + node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 488:101] + node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 488:101] + node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 488:90] + node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 488:118] + node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:75] + node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 488:25] + node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 489:66] + node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 490:21] + node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 490:21] + node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 490:58] + node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 490:38] + node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 489:95] + node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 489:45] + buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 489:29] + node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 491:49] + node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 491:70] + buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 491:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 492:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 493:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3886 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3886 : @[Conditional.scala 39:67] - node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] - node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] - buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 491:25] - node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 492:37] - node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 492:98] - node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 492:80] - node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 492:65] - node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] - buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] + node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] + buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 496:25] + node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 497:37] + node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 497:98] + node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 497:80] + node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 497:65] + node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] + buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 497:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 499:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3894 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3894 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] - buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] - buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 500:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 504:108] + node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_3896 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3895 : @[Reg.scala 28:19] _T_3896 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 504:18] - reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] - _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 505:60] - buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 505:17] - reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] - _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 506:63] - buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 506:20] - node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 507:109] + buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 510:18] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 511:17] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 512:20] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_3900 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3899 : @[Reg.scala 28:19] _T_3900 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 507:20] - node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 508:74] - node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 508:107] + buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 513:20] + node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 514:74] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3902 : @[Reg.scala 28:19] _T_3903 <= _T_3901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 508:17] - node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 509:78] - node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 509:111] + buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 514:17] + node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 515:78] + node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_3906 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3905 : @[Reg.scala 28:19] _T_3906 <= _T_3904 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 509:19] - node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 510:80] - node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:113] + buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 515:19] + node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 516:80] + node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_3909 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3908 : @[Reg.scala 28:19] _T_3909 <= _T_3907 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 510:20] - node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 511:78] - node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:111] + buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 516:20] + node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 517:78] + node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_3912 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3911 : @[Reg.scala 28:19] _T_3912 <= _T_3910 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 511:19] + buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 517:19] node _T_3913 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3913 : @[Conditional.scala 40:58] node _T_3914 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] @@ -5053,86 +5065,89 @@ circuit lsu_bus_buffer : node _T_3935 = mux(_T_3932, _T_3933, _T_3934) @[lsu_bus_buffer.scala 446:30] buf_data_in[2] <= _T_3935 @[lsu_bus_buffer.scala 446:24] buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 448:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3936 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3936 : @[Conditional.scala 39:67] - node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 450:25] - node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 451:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 451:60] + node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 451:31] + buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 451:25] + node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 452:46] + buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 452:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 454:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3940 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3940 : @[Conditional.scala 39:67] - node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] - node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] - node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 455:104] - node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] - node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 455:25] - node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 456:48] - node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 456:104] - node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 456:91] - node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 456:77] - node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 456:135] - node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] - buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 456:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 457:29] - node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] - node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] - buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 458:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] - node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 460:56] - node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] - node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 460:44] - node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 460:60] - node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] - node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 460:74] - buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 460:25] - node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] - buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 461:28] - node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] - node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 462:67] - node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] - buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 462:24] - node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] - node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 463:68] - node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] - buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 463:25] - node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] - node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 464:85] - node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] - node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] - node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 464:73] - node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 464:30] - buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 464:24] + node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 457:60] + node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 457:89] + node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 457:124] + node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 457:104] + node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 457:75] + node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 457:31] + buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 457:25] + node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 458:48] + node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 458:104] + node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 458:91] + node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 458:77] + node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 458:135] + node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 458:148] + buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 458:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 459:29] + node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:49] + node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 460:70] + buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 460:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 461:25] + node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 462:56] + node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:46] + node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 462:44] + node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 462:60] + node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:76] + node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 462:74] + buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 462:25] + node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 463:46] + buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 463:28] + node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:47] + node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 464:67] + node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 464:81] + buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 464:24] + node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:48] + node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 465:68] + node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 465:82] + buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 465:25] + node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:61] + node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 466:85] + node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 466:103] + node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:126] + node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 466:73] + node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 466:30] + buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 466:24] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 467:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3974 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3974 : @[Conditional.scala 39:67] - node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:69] - node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] - node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 467:73] - node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 467:57] - node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 467:104] - node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] - node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 468:28] - node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 468:57] - node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] - node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 468:45] - node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] - node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 468:61] - node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 469:27] - node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] - node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] - node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 469:68] - node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 469:97] - node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] - node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 469:85] + node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 470:69] + node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 470:75] + node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 470:73] + node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 470:57] + node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 470:104] + node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 471:28] + node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:57] + node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 471:45] + node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 471:61] + node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:27] + node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 472:68] + node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:97] + node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 472:85] node _T_3994 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3995 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3996 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -5150,159 +5165,162 @@ circuit lsu_bus_buffer : node _T_4008 = or(_T_4007, _T_4005) @[Mux.scala 27:72] wire _T_4009 : UInt<1> @[Mux.scala 27:72] _T_4009 <= _T_4008 @[Mux.scala 27:72] - node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 469:101] - node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] - node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 469:138] - node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] - node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 469:53] - node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] - node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 468:14] - node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 467:33] - buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 467:27] - node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 470:73] - node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 470:52] - node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 471:46] - node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:23] - node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 472:47] - node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 472:27] - node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 471:77] - node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 473:26] - node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 473:54] - node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 473:44] - node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 473:42] - node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 473:58] - node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 473:94] - node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 473:74] - node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 472:71] - node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 471:25] - node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 470:105] - buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 470:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 474:29] - node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] - node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] - buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 475:25] - node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] - node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] - buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 476:24] - node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] - node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:111] - node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 477:91] - node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 478:42] - node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 478:31] - node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 478:66] - node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 478:46] - node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 477:143] - node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 479:54] - node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 479:33] - node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 478:88] - node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 477:68] - buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 477:25] - node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] - node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 480:48] - node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 480:84] - node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] - node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] - node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 480:72] - node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] - node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 480:30] - buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 480:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] + node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 472:101] + node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 472:138] + node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 472:53] + node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 471:14] + node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 470:33] + buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 470:27] + node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 473:73] + node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 473:52] + node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 474:46] + node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 475:23] + node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 475:47] + node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 475:27] + node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 474:77] + node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 476:26] + node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 476:54] + node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 476:44] + node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 476:42] + node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 476:58] + node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 476:94] + node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 476:74] + node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 475:71] + node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 474:25] + node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 477:29] + node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 478:25] + node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 479:24] + node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 480:111] + node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 480:91] + node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:42] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 481:31] + node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 481:66] + node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 481:46] + node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 480:143] + node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 482:54] + node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 482:33] + node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 481:88] + node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 480:68] + buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 480:25] + node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 483:48] + node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 483:72] + node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 483:30] + buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 485:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4059 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4059 : @[Conditional.scala 39:67] - node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] - node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 484:86] - node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 484:101] - node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 484:101] - node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 484:90] - node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] - node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] - node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 484:31] - buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 484:25] - node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 485:66] - node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 486:21] - node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 486:21] - node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 486:58] - node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 486:38] - node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 485:95] - node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 485:45] - buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 485:29] - node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] - node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] - buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 487:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 488:86] + node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 488:101] + node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 488:101] + node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 488:90] + node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 488:118] + node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:75] + node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 488:25] + node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 489:66] + node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 490:21] + node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 490:21] + node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 490:58] + node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 490:38] + node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 489:95] + node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 489:45] + buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 489:29] + node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 491:49] + node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 491:70] + buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 491:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 492:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 493:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] - node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] - buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 491:25] - node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 492:37] - node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 492:98] - node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 492:80] - node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 492:65] - node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] - buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] + node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] + buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 496:25] + node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 497:37] + node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 497:98] + node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 497:80] + node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 497:65] + node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] + buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 497:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 499:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4085 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4085 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] - buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] - buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 500:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 504:108] + node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4086 : @[Reg.scala 28:19] _T_4087 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 504:18] - reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] - _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 505:60] - buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 505:17] - reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] - _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 506:63] - buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 506:20] - node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 507:109] + buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 510:18] + reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 511:17] + reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 512:20] + node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4090 : @[Reg.scala 28:19] _T_4091 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 507:20] - node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 508:74] - node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 508:107] + buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 513:20] + node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 514:74] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_4094 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4093 : @[Reg.scala 28:19] _T_4094 <= _T_4092 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 508:17] - node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 509:78] - node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 509:111] + buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 514:17] + node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 515:78] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4096 : @[Reg.scala 28:19] _T_4097 <= _T_4095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 509:19] - node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 510:80] - node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:113] + buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 515:19] + node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 516:80] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4099 : @[Reg.scala 28:19] _T_4100 <= _T_4098 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 510:20] - node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 511:78] - node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:111] + buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 516:20] + node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 517:78] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4102 : @[Reg.scala 28:19] _T_4103 <= _T_4101 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 511:19] + buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 517:19] node _T_4104 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4104 : @[Conditional.scala 40:58] node _T_4105 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] @@ -5333,86 +5351,89 @@ circuit lsu_bus_buffer : node _T_4126 = mux(_T_4123, _T_4124, _T_4125) @[lsu_bus_buffer.scala 446:30] buf_data_in[3] <= _T_4126 @[lsu_bus_buffer.scala 446:24] buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 448:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4127 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4127 : @[Conditional.scala 39:67] - node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 450:25] - node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 451:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 451:60] + node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 451:31] + buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 451:25] + node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 452:46] + buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 452:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 454:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4131 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4131 : @[Conditional.scala 39:67] - node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] - node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] - node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 455:104] - node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] - node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 455:25] - node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 456:48] - node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 456:104] - node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 456:91] - node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 456:77] - node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 456:135] - node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] - buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 456:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 457:29] - node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] - node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] - buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 458:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] - node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 460:56] - node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] - node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 460:44] - node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 460:60] - node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] - node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 460:74] - buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 460:25] - node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] - buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 461:28] - node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] - node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 462:67] - node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] - buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 462:24] - node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] - node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 463:68] - node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] - buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 463:25] - node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] - node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 464:85] - node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] - node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 464:73] - node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 464:30] - buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 464:24] + node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 457:60] + node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 457:89] + node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 457:124] + node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 457:104] + node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 457:75] + node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 457:31] + buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 457:25] + node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 458:48] + node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 458:104] + node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 458:91] + node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 458:77] + node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 458:135] + node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 458:148] + buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 458:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 459:29] + node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:49] + node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 460:70] + buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 460:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 461:25] + node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 462:56] + node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:46] + node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 462:44] + node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 462:60] + node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:76] + node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 462:74] + buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 462:25] + node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 463:46] + buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 463:28] + node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:47] + node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 464:67] + node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 464:81] + buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 464:24] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:48] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 465:68] + node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 465:82] + buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 465:25] + node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:61] + node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 466:85] + node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 466:103] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:126] + node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 466:73] + node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 466:30] + buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 466:24] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 467:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4165 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4165 : @[Conditional.scala 39:67] - node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:69] - node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] - node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 467:73] - node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 467:57] - node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 467:104] - node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] - node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 468:28] - node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 468:57] - node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] - node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 468:45] - node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] - node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 468:61] - node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 469:27] - node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] - node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] - node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 469:68] - node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 469:97] - node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] - node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 469:85] + node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 470:69] + node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 470:75] + node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 470:73] + node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 470:57] + node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 470:104] + node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 471:28] + node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:57] + node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 471:45] + node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 471:61] + node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:27] + node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 472:68] + node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:97] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 472:85] node _T_4185 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_4186 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_4187 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -5430,175 +5451,178 @@ circuit lsu_bus_buffer : node _T_4199 = or(_T_4198, _T_4196) @[Mux.scala 27:72] wire _T_4200 : UInt<1> @[Mux.scala 27:72] _T_4200 <= _T_4199 @[Mux.scala 27:72] - node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 469:101] - node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] - node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 469:138] - node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] - node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 469:53] - node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] - node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 468:14] - node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 467:33] - buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 467:27] - node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 470:73] - node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 470:52] - node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 471:46] - node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:23] - node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 472:47] - node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 472:27] - node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 471:77] - node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 473:26] - node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 473:54] - node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 473:44] - node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 473:42] - node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 473:58] - node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 473:94] - node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 473:74] - node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 472:71] - node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 471:25] - node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 470:105] - buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 470:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 474:29] - node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] - node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] - buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 475:25] - node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] - node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] - buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 476:24] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] - node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:111] - node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 477:91] - node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 478:42] - node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 478:31] - node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 478:66] - node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 478:46] - node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 477:143] - node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 479:54] - node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 479:33] - node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 478:88] - node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 477:68] - buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 477:25] - node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] - node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 480:48] - node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 480:84] - node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] - node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] - node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 480:72] - node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] - node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 480:30] - buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 480:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] + node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 472:101] + node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 472:138] + node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 472:53] + node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 471:14] + node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 470:33] + buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 470:27] + node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 473:73] + node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 473:52] + node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 474:46] + node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 475:23] + node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 475:47] + node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 475:27] + node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 474:77] + node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 476:26] + node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 476:54] + node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 476:44] + node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 476:42] + node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 476:58] + node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 476:94] + node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 476:74] + node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 475:71] + node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 474:25] + node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 477:29] + node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 478:25] + node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 479:24] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 480:111] + node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 480:91] + node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:42] + node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 481:31] + node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 481:66] + node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 481:46] + node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 480:143] + node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 482:54] + node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 482:33] + node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 481:88] + node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 480:68] + buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 480:25] + node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 483:48] + node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 483:72] + node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 483:30] + buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 485:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4250 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4250 : @[Conditional.scala 39:67] - node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] - node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 484:86] - node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 484:101] - node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 484:101] - node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 484:90] - node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] - node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] - node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 484:31] - buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 484:25] - node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 485:66] - node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 486:21] - node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 486:21] - node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 486:58] - node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 486:38] - node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 485:95] - node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 485:45] - buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 485:29] - node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] - node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] - buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 487:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 488:86] + node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 488:101] + node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 488:101] + node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 488:90] + node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 488:118] + node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:75] + node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 488:25] + node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 489:66] + node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 490:21] + node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 490:21] + node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 490:58] + node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 490:38] + node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 489:95] + node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 489:45] + buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 489:29] + node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 491:49] + node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 491:70] + buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 491:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 492:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 493:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4268 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4268 : @[Conditional.scala 39:67] - node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] - node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] - buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 491:25] - node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 492:37] - node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 492:98] - node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 492:80] - node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 492:65] - node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] - buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] + node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] + buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 496:25] + node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 497:37] + node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 497:98] + node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 497:80] + node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 497:65] + node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] + buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 497:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 499:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4276 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4276 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] - buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] - buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 500:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 504:108] + node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 504:18] - reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] - _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 505:60] - buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 505:17] - reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] - _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 506:63] - buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 506:20] - node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 507:109] + buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 510:18] + reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 511:17] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 512:20] + node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_4282 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4281 : @[Reg.scala 28:19] _T_4282 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 507:20] - node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 508:74] - node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 508:107] + buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 513:20] + node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 514:74] + node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_4285 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4284 : @[Reg.scala 28:19] _T_4285 <= _T_4283 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 508:17] - node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 509:78] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 509:111] + buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 514:17] + node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 515:78] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_4288 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4287 : @[Reg.scala 28:19] _T_4288 <= _T_4286 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 509:19] - node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 510:80] - node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:113] + buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 515:19] + node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 516:80] + node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4290 : @[Reg.scala 28:19] _T_4291 <= _T_4289 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 510:20] - node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 511:78] - node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:111] + buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 516:20] + node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 517:78] + node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_4294 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= _T_4292 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 511:19] - node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 514:131] + buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 517:19] + node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 514:131] + node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4298 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 514:131] + node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4300 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4299 : @[Reg.scala 28:19] _T_4300 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 514:131] + node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5606,51 +5630,51 @@ circuit lsu_bus_buffer : node _T_4303 = cat(_T_4302, _T_4300) @[Cat.scala 29:58] node _T_4304 = cat(_T_4303, _T_4298) @[Cat.scala 29:58] node _T_4305 = cat(_T_4304, _T_4296) @[Cat.scala 29:58] - buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 514:13] - node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 515:132] + buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 520:13] + node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4307 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4306 : @[Reg.scala 28:19] _T_4307 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 515:132] + node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4309 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4308 : @[Reg.scala 28:19] _T_4309 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 515:132] + node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4311 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 515:132] + node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4313 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4312 : @[Reg.scala 28:19] _T_4313 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 515:16] - buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 515:16] - buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 515:16] - buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 515:16] - node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 516:105] - node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:138] + buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 521:16] + buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 521:16] + buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 521:16] + buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 521:16] + node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 522:105] + node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4316 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= _T_4314 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 516:105] - node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:138] + node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 522:105] + node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4319 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4318 : @[Reg.scala 28:19] _T_4319 <= _T_4317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 516:105] - node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:138] + node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 522:105] + node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= _T_4320 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 516:105] - node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:138] + node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 522:105] + node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4325 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4324 : @[Reg.scala 28:19] _T_4325 <= _T_4323 @[Reg.scala 28:23] @@ -5658,27 +5682,27 @@ circuit lsu_bus_buffer : node _T_4326 = cat(_T_4325, _T_4322) @[Cat.scala 29:58] node _T_4327 = cat(_T_4326, _T_4319) @[Cat.scala 29:58] node _T_4328 = cat(_T_4327, _T_4316) @[Cat.scala 29:58] - buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 516:18] - node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 517:97] - node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 517:130] + buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 522:18] + node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 523:97] + node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4331 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4330 : @[Reg.scala 28:19] _T_4331 <= _T_4329 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 517:97] - node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 517:130] + node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 523:97] + node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4334 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4333 : @[Reg.scala 28:19] _T_4334 <= _T_4332 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 517:97] - node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 517:130] + node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 523:97] + node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4337 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4336 : @[Reg.scala 28:19] _T_4337 <= _T_4335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 517:97] - node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 517:130] + node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 523:97] + node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4340 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4339 : @[Reg.scala 28:19] _T_4340 <= _T_4338 @[Reg.scala 28:23] @@ -5686,27 +5710,27 @@ circuit lsu_bus_buffer : node _T_4341 = cat(_T_4340, _T_4337) @[Cat.scala 29:58] node _T_4342 = cat(_T_4341, _T_4334) @[Cat.scala 29:58] node _T_4343 = cat(_T_4342, _T_4331) @[Cat.scala 29:58] - buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 517:14] - node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 518:95] - node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 518:128] + buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 523:14] + node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 524:95] + node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4346 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4345 : @[Reg.scala 28:19] _T_4346 <= _T_4344 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 518:95] - node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 518:128] + node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 524:95] + node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4349 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4348 : @[Reg.scala 28:19] _T_4349 <= _T_4347 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 518:95] - node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 518:128] + node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 524:95] + node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4352 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4351 : @[Reg.scala 28:19] _T_4352 <= _T_4350 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 518:95] - node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 518:128] + node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 524:95] + node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4355 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4354 : @[Reg.scala 28:19] _T_4355 <= _T_4353 @[Reg.scala 28:23] @@ -5714,32 +5738,32 @@ circuit lsu_bus_buffer : node _T_4356 = cat(_T_4355, _T_4352) @[Cat.scala 29:58] node _T_4357 = cat(_T_4356, _T_4349) @[Cat.scala 29:58] node _T_4358 = cat(_T_4357, _T_4346) @[Cat.scala 29:58] - buf_write <= _T_4358 @[lsu_bus_buffer.scala 518:13] - node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:117] + buf_write <= _T_4358 @[lsu_bus_buffer.scala 524:13] + node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4360 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:117] + node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4362 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4361 : @[Reg.scala 28:19] _T_4362 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:117] + node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4364 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4363 : @[Reg.scala 28:19] _T_4364 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:117] + node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4366 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 519:10] - buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 519:10] - buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 519:10] - buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 519:10] - node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 520:80] + buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 525:10] + buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 525:10] + buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 525:10] + buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 525:10] + node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5750,7 +5774,7 @@ circuit lsu_bus_buffer : when _T_4367 : @[Reg.scala 28:19] _T_4368 <= buf_addr_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 520:80] + node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5761,7 +5785,7 @@ circuit lsu_bus_buffer : when _T_4369 : @[Reg.scala 28:19] _T_4370 <= buf_addr_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 520:80] + node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5772,7 +5796,7 @@ circuit lsu_bus_buffer : when _T_4371 : @[Reg.scala 28:19] _T_4372 <= buf_addr_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 520:80] + node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5783,34 +5807,34 @@ circuit lsu_bus_buffer : when _T_4373 : @[Reg.scala 28:19] _T_4374 <= buf_addr_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 520:12] - buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 520:12] - buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 520:12] - buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 520:12] - node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:125] + buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 526:12] + buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 526:12] + buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 526:12] + buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 526:12] + node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4376 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4375 : @[Reg.scala 28:19] _T_4376 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:125] + node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4378 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:125] + node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4380 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4379 : @[Reg.scala 28:19] _T_4380 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:125] + node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4382 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4381 : @[Reg.scala 28:19] _T_4382 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 521:14] - buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 521:14] - buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 521:14] - buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 521:14] + buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 527:14] + buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 527:14] + buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 527:14] + buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 527:14] inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5851,171 +5875,171 @@ circuit lsu_bus_buffer : when buf_data_en[3] : @[Reg.scala 28:19] _T_4386 <= buf_data_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 522:12] - buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 522:12] - buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 522:12] - buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 522:12] - node _T_4387 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4388 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 523:133] - node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[lsu_bus_buffer.scala 523:98] - node _T_4390 = and(_T_4387, _T_4389) @[lsu_bus_buffer.scala 523:93] - reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] - _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 523:80] - node _T_4392 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4393 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 523:133] - node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[lsu_bus_buffer.scala 523:98] - node _T_4395 = and(_T_4392, _T_4394) @[lsu_bus_buffer.scala 523:93] - reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] - _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 523:80] - node _T_4397 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4398 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 523:133] - node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[lsu_bus_buffer.scala 523:98] - node _T_4400 = and(_T_4397, _T_4399) @[lsu_bus_buffer.scala 523:93] - reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] - _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 523:80] - node _T_4402 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:81] - node _T_4403 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 523:133] - node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[lsu_bus_buffer.scala 523:98] - node _T_4405 = and(_T_4402, _T_4404) @[lsu_bus_buffer.scala 523:93] - reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 523:80] - _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 523:80] + buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 528:12] + buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 528:12] + buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 528:12] + buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 528:12] + node _T_4387 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:81] + node _T_4388 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 529:133] + node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[lsu_bus_buffer.scala 529:98] + node _T_4390 = and(_T_4387, _T_4389) @[lsu_bus_buffer.scala 529:93] + reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 529:80] + node _T_4392 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:81] + node _T_4393 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 529:133] + node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[lsu_bus_buffer.scala 529:98] + node _T_4395 = and(_T_4392, _T_4394) @[lsu_bus_buffer.scala 529:93] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 529:80] + node _T_4397 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:81] + node _T_4398 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 529:133] + node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[lsu_bus_buffer.scala 529:98] + node _T_4400 = and(_T_4397, _T_4399) @[lsu_bus_buffer.scala 529:93] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 529:80] + node _T_4402 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:81] + node _T_4403 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 529:133] + node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[lsu_bus_buffer.scala 529:98] + node _T_4405 = and(_T_4402, _T_4404) @[lsu_bus_buffer.scala 529:93] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 529:80] node _T_4407 = cat(_T_4406, _T_4401) @[Cat.scala 29:58] node _T_4408 = cat(_T_4407, _T_4396) @[Cat.scala 29:58] node _T_4409 = cat(_T_4408, _T_4391) @[Cat.scala 29:58] - buf_error <= _T_4409 @[lsu_bus_buffer.scala 523:13] + buf_error <= _T_4409 @[lsu_bus_buffer.scala 529:13] node _T_4410 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 524:28] + node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 530:28] node _T_4412 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 524:94] - node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 524:88] - node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 524:154] - node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 524:217] - node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 524:217] - node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 524:217] - node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 524:169] - node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 524:169] - node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 525:60] - node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 525:64] - node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 525:89] - node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 525:60] - node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 525:64] - node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 525:89] - node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 525:60] - node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 525:64] - node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 525:89] - node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 525:60] - node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 525:64] - node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 525:89] - node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 525:142] - node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 525:142] - node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 525:142] - buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 525:24] - node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 526:73] - node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 526:73] - node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 526:73] - node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 526:73] - node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 526:126] - node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 526:126] - node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 526:126] - buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 526:22] - node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 527:100] - node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 527:74] - node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 527:100] - node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 527:74] - node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 527:100] - node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 527:74] - node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 527:100] - node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 527:74] - node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 527:154] - node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 527:154] - node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 527:154] - buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 527:23] - node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 528:93] - node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 528:93] - node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 528:93] - any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 528:23] - node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 529:53] - io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 529:30] - node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 530:52] - node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 530:92] - node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 530:121] - node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 530:36] - io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 530:30] - node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 531:52] - node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 531:52] - node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 531:52] - node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 531:52] - node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 531:65] - node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 531:65] - node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 531:65] - node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:34] - node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:72] - node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 531:70] - node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:86] - node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 531:84] - io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 531:31] - node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 533:64] - node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 533:85] - node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:112] - node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 533:110] - node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:129] - node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 533:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 533:45] - io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 534:43] + node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 530:94] + node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 530:88] + node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 530:154] + node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 530:217] + node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 530:217] + node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 530:217] + node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 530:169] + node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 530:169] + node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 531:60] + node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 531:64] + node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 531:89] + node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 531:60] + node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 531:64] + node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 531:89] + node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 531:60] + node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 531:64] + node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 531:89] + node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 531:60] + node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 531:64] + node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 531:89] + node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 531:142] + node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 531:142] + node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 531:142] + buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 531:24] + node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 532:73] + node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 532:73] + node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 532:73] + node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 532:73] + node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 532:126] + node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 532:126] + node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 532:126] + buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 532:22] + node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 533:100] + node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 533:74] + node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 533:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 533:74] + node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 533:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 533:74] + node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 533:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 533:74] + node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 533:154] + node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 533:154] + node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 533:154] + buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 533:23] + node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 534:93] + node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 534:93] + node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 534:93] + any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 534:23] + node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 535:53] + io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 535:30] + node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 536:52] + node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 536:92] + node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 536:121] + node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 536:36] + io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 536:30] + node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 537:52] + node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 537:52] + node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 537:52] + node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 537:52] + node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 537:65] + node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 537:65] + node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 537:65] + node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:34] + node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:72] + node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 537:70] + node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:86] + node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 537:84] + io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 537:31] + node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 539:64] + node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 539:85] + node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:112] + node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 539:110] + node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:129] + node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 539:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 539:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 540:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:74] - node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 536:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 536:43] - io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 537:47] - node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:106] - node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:106] - node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:106] - node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:106] - node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] + node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:74] + node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 542:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 542:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 543:47] + node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:106] + node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:106] + node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:106] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:106] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] node _T_4529 = mux(_T_4517, _T_4519, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4530 = mux(_T_4520, _T_4522, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4531 = mux(_T_4523, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6025,26 +6049,26 @@ circuit lsu_bus_buffer : node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] - node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 539:117] - node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 539:133] - node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 539:121] - node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 539:117] - node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 539:133] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 539:121] - node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 539:117] - node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 539:133] - node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 539:121] - node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 539:117] - node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 539:133] - node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 539:121] + node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 545:117] + node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:133] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 545:121] + node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 545:117] + node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:133] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 545:121] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 545:117] + node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:133] + node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 545:121] + node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 545:117] + node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:133] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 545:121] node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6054,39 +6078,39 @@ circuit lsu_bus_buffer : node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] wire _T_4563 : UInt<1> @[Mux.scala 27:72] _T_4563 <= _T_4562 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 539:48] - node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 540:114] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 540:102] - node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 540:134] - node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 540:118] - node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 540:114] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 540:102] - node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 540:134] - node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 540:118] - node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 540:114] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 540:102] - node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 540:134] - node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 540:118] - node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 540:114] - node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 540:102] - node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 540:134] - node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 540:118] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 545:48] + node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:91] + node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:114] + node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:104] + node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 546:102] + node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:121] + node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:136] + node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 546:134] + node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 546:118] + node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:91] + node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:114] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:104] + node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 546:102] + node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:121] + node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:136] + node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 546:134] + node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 546:118] + node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:91] + node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:114] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:104] + node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 546:102] + node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:121] + node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:136] + node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 546:134] + node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 546:118] + node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:91] + node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:114] + node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:104] + node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 546:102] + node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:121] + node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:136] + node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 546:134] + node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 546:118] node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -6096,39 +6120,39 @@ circuit lsu_bus_buffer : node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] wire _T_4603 : UInt<2> @[Mux.scala 27:72] _T_4603 <= _T_4602 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 540:45] - node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 541:101] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 541:89] - node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 541:121] - node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 541:105] - node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 541:101] - node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 541:89] - node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 541:121] - node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 541:105] - node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 541:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 541:89] - node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 541:121] - node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 541:105] - node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 541:101] - node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 541:89] - node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 541:121] - node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 541:105] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 546:45] + node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 547:101] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 547:89] + node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 547:121] + node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 547:105] + node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 547:101] + node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 547:89] + node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 547:121] + node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 547:105] + node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 547:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 547:89] + node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 547:121] + node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 547:105] + node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 547:101] + node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 547:89] + node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 547:121] + node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 547:105] node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6138,30 +6162,30 @@ circuit lsu_bus_buffer : node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] - node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:101] - node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 542:89] - node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 542:120] - node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 542:105] - node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:101] - node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 542:89] - node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 542:120] - node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 542:105] - node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:101] - node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 542:89] - node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 542:120] - node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 542:105] - node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:101] - node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 542:89] - node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 542:120] - node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 542:105] + node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 548:101] + node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 548:89] + node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 548:120] + node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 548:105] + node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 548:101] + node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 548:89] + node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 548:120] + node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 548:105] + node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 548:101] + node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 548:89] + node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 548:120] + node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 548:105] + node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 548:101] + node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 548:89] + node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 548:120] + node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 548:105] node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6184,7 +6208,7 @@ circuit lsu_bus_buffer : node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] wire _T_4685 : UInt<32> @[Mux.scala 27:72] _T_4685 <= _T_4684 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 543:96] + node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 549:96] node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] node _T_4687 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] @@ -6216,36 +6240,36 @@ circuit lsu_bus_buffer : wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] node _T_4712 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 547:121] - node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 547:92] - node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:82] - node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 549:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 549:48] - node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:94] - node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 550:76] - node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 550:144] + node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 553:121] + node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 553:92] + node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 555:82] + node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 555:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 555:48] + node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:94] + node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 556:76] + node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:144] node _T_4719 = cat(UInt<24>("h00"), _T_4718) @[Cat.scala 29:58] - node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 551:45] - node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 551:26] - node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 551:95] + node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] + node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 557:26] + node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:95] node _T_4723 = cat(UInt<16>("h00"), _T_4722) @[Cat.scala 29:58] - node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:6] - node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:45] - node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 552:27] - node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 552:93] + node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:6] + node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:45] + node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 558:27] + node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 558:93] node _T_4728 = bits(_T_4727, 0, 0) @[Bitwise.scala 72:15] node _T_4729 = mux(_T_4728, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 552:123] + node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 558:123] node _T_4731 = cat(_T_4729, _T_4730) @[Cat.scala 29:58] - node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:6] - node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:45] - node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 553:27] - node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 553:93] + node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 559:6] + node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 559:45] + node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 559:27] + node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 559:93] node _T_4736 = bits(_T_4735, 0, 0) @[Bitwise.scala 72:15] node _T_4737 = mux(_T_4736, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 553:124] + node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 559:124] node _T_4739 = cat(_T_4737, _T_4738) @[Cat.scala 29:58] - node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:21] + node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 560:21] node _T_4741 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4742 = mux(_T_4721, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4743 = mux(_T_4726, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6257,74 +6281,74 @@ circuit lsu_bus_buffer : node _T_4749 = or(_T_4748, _T_4745) @[Mux.scala 27:72] wire _T_4750 : UInt<64> @[Mux.scala 27:72] _T_4750 <= _T_4749 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 550:42] - node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 555:89] - node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 555:73] - node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 555:89] - node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 555:73] - node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 555:89] - node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 555:73] - node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 555:89] - node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 555:73] - node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 555:153] - node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 555:153] - node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 555:153] - node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 555:171] - node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:189] - node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 555:157] - bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 555:23] - node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 557:37] - node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 557:19] - node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:73] - node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:107] - node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 557:95] - node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 557:81] - node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 557:59] - node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 557:37] - node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 557:19] - node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:73] - node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:107] - node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 557:95] - node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 557:81] - node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 557:59] - node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 557:37] - node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 557:19] - node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:73] - node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:107] - node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 557:95] - node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 557:81] - node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 557:59] - node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 557:37] - node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 557:19] - node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:73] - node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:107] - node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 557:95] - node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 557:81] - node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 557:59] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 556:42] + node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 561:89] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 561:73] + node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] + node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 561:89] + node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 561:73] + node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] + node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 561:89] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 561:73] + node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] + node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 561:89] + node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 561:73] + node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] + node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 561:153] + node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 561:153] + node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 561:153] + node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 561:171] + node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:189] + node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 561:157] + bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 561:23] + node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 563:37] + node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 563:19] + node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:73] + node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:107] + node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 563:95] + node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 563:81] + node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 563:59] + node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 563:37] + node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 563:19] + node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:73] + node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:107] + node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 563:95] + node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 563:81] + node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 563:59] + node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 563:37] + node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 563:19] + node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:73] + node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:107] + node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 563:95] + node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 563:81] + node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 563:59] + node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 563:37] + node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 563:19] + node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:73] + node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:107] + node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 563:95] + node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 563:81] + node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 563:59] node _T_4817 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4818 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4819 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6334,117 +6358,117 @@ circuit lsu_bus_buffer : node _T_4823 = or(_T_4822, _T_4820) @[Mux.scala 27:72] wire _T_4824 : UInt<1> @[Mux.scala 27:72] _T_4824 <= _T_4823 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 556:26] - node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 559:54] - node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 559:75] - node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 559:153] - node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 559:39] - node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 559:23] - bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 559:17] - node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 560:40] - bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 560:17] - node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 561:40] - bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 561:18] - node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 562:35] - node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 562:70] - node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 562:52] - node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 562:112] - node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 562:89] - bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 562:16] - node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 563:38] - bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 563:16] - node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 564:39] - bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 564:17] - bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 565:20] - bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 566:21] - node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:66] - node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 567:40] - bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 567:23] - node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:64] - node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 568:38] - bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 568:22] - bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 569:17] - node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 572:37] - node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:52] - node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 572:50] - node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:69] - node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 572:67] - io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 572:23] - io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 573:25] - node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 574:75] + bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 562:26] + node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 565:54] + node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 565:75] + node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:153] + node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 565:39] + node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 565:23] + bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 565:17] + node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 566:40] + bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 566:17] + node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 567:40] + bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 567:18] + node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 568:35] + node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 568:70] + node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 568:52] + node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 568:112] + node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 568:89] + bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 568:16] + node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 569:38] + bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 569:16] + node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 570:39] + bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 570:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 571:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 572:21] + node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 573:66] + node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 573:40] + bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 573:23] + node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 574:64] + node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 574:38] + bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 574:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 575:17] + node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 578:37] + node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:52] + node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 578:50] + node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:69] + node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 578:67] + io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 578:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 579:25] + node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 580:75] node _T_4849 = cat(_T_4848, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 574:33] - io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 574:27] + node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 580:33] + io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 580:27] node _T_4851 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 575:33] - io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 575:27] - io.lsu_axi.aw.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 576:27] - node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 577:34] - io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 577:28] - node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 578:41] - io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 578:29] - io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 579:26] - io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 580:28] - io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 581:26] - io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 582:27] - node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 584:36] - node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:51] - node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 584:49] - node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:69] - node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 584:67] - io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 584:22] + node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 581:33] + io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 581:27] + io.lsu_axi.aw.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 582:27] + node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 583:34] + io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 583:28] + node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 584:41] + io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 584:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 585:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 586:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 587:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 588:27] + node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 590:36] + node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:51] + node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 590:49] + node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:69] + node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 590:67] + io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 590:22] node _T_4860 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4861 = mux(_T_4860, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 585:41] - io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 585:26] - io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 586:26] - io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 587:26] - node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:39] - node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 589:37] - node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:53] - node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 589:51] - node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:68] - node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 589:66] - io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 589:23] - io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 590:25] - node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 591:75] + node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 591:41] + io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 591:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 592:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 593:26] + node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:39] + node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 595:37] + node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:53] + node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 595:51] + node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:68] + node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 595:66] + io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 595:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 596:25] + node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 597:75] node _T_4870 = cat(_T_4869, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 591:33] - io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 591:27] + node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 597:33] + io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 597:27] node _T_4872 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 592:33] - io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 592:27] - io.lsu_axi.ar.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 593:27] - node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 594:34] - io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 594:28] - node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 595:41] - io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 595:29] - io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 596:26] - io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 597:28] - io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 598:26] - io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 599:27] - io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 600:22] - io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 601:22] - node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 602:137] - node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 602:126] - node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 602:152] - node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 602:141] - node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 602:137] - node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 602:126] - node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 602:152] - node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 602:141] - node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 602:137] - node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 602:126] - node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 602:152] - node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 602:141] - node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 602:137] - node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 602:126] - node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 602:152] - node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 602:141] + node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 598:33] + io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 598:27] + io.lsu_axi.ar.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 599:27] + node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 600:34] + io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 600:28] + node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 601:41] + io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 601:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 602:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 603:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 605:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 606:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 607:22] + node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 608:137] + node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 608:126] + node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 608:152] + node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 608:141] + node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 608:137] + node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 608:126] + node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 608:152] + node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 608:141] + node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 608:137] + node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 608:126] + node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 608:152] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 608:141] + node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 608:137] + node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 608:126] + node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 608:152] + node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 608:141] node _T_4896 = mux(_T_4876, _T_4880, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4897 = mux(_T_4881, _T_4885, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4898 = mux(_T_4886, _T_4890, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6454,27 +6478,27 @@ circuit lsu_bus_buffer : node _T_4902 = or(_T_4901, _T_4899) @[Mux.scala 27:72] wire _T_4903 : UInt<1> @[Mux.scala 27:72] _T_4903 <= _T_4902 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 602:48] - node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 603:104] - node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 603:93] - node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 603:119] - node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 603:108] - node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 603:104] - node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 603:93] - node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 603:119] - node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 603:108] - node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 603:104] - node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 603:93] - node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 603:119] - node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 603:108] - node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 603:104] - node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 603:93] - node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 603:119] - node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 603:108] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 608:48] + node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 609:104] + node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 609:93] + node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 609:119] + node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 609:108] + node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 609:104] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 609:93] + node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 609:119] + node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 609:108] + node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 609:104] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 609:93] + node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 609:119] + node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 609:108] + node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 609:104] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 609:93] + node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 609:119] + node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 609:108] node _T_4924 = mux(_T_4908, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4925 = mux(_T_4913, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4926 = mux(_T_4918, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -6484,45 +6508,45 @@ circuit lsu_bus_buffer : node _T_4930 = or(_T_4929, _T_4927) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4930 @[Mux.scala 27:72] - node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 605:97] - node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 605:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 605:47] - node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 606:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 606:47] - node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 612:59] - node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 612:104] - node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 612:82] - node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 612:149] - node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 612:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 612:35] - node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 613:60] - node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 613:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 613:41] - node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 614:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 614:36] - node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:61] - node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 616:59] - node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:107] - node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 616:105] - node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 616:83] - node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:153] - node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 616:151] - node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 616:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 616:35] - reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 618:49] - _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 618:49] - WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 618:12] - reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 619:49] - _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 619:49] - WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 619:12] - node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:75] - node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 620:73] - node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:89] - node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 620:87] - reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 620:56] - _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 620:56] - io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 620:19] - reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 621:66] - _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 621:66] - lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 621:29] + node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 611:97] + node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 611:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 611:47] + node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 612:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 612:47] + node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 618:59] + node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 618:104] + node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 618:82] + node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 618:149] + node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 618:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 618:35] + node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 619:60] + node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 619:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 619:41] + node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 620:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 620:36] + node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:61] + node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 622:59] + node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:107] + node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 622:105] + node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 622:83] + node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:153] + node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 622:151] + node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 622:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 622:35] + reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:49] + _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 624:49] + WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 624:12] + reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:49] + _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 625:49] + WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 625:12] + node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:75] + node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 626:73] + node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:89] + node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 626:87] + reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 626:56] + _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 626:56] + io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 626:19] + reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 627:66] + _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 627:66] + lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 627:29] diff --git a/lsu_bus_buffer.v b/lsu_bus_buffer.v index 72b9ff9f..0d0d8e57 100644 --- a/lsu_bus_buffer.v +++ b/lsu_bus_buffer.v @@ -341,24 +341,24 @@ module lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 144:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 505:60] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 511:60] wire _T_2590 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 415:93] wire _T_4104 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4127 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4131 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1781; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 355:13] - wire _T_4138 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 456:48] + wire _T_4138 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 458:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_376 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 456:104] - wire _T_4139 = _GEN_376 == 3'h3; // @[lsu_bus_buffer.scala 456:104] - wire _T_4140 = obuf_merge & _T_4139; // @[lsu_bus_buffer.scala 456:91] - wire _T_4141 = _T_4138 | _T_4140; // @[lsu_bus_buffer.scala 456:77] + wire [2:0] _GEN_376 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 458:104] + wire _T_4139 = _GEN_376 == 3'h3; // @[lsu_bus_buffer.scala 458:104] + wire _T_4140 = obuf_merge & _T_4139; // @[lsu_bus_buffer.scala 458:91] + wire _T_4141 = _T_4138 | _T_4140; // @[lsu_bus_buffer.scala 458:77] reg obuf_valid; // @[lsu_bus_buffer.scala 348:54] - wire _T_4142 = _T_4141 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] + wire _T_4142 = _T_4141 & obuf_valid; // @[lsu_bus_buffer.scala 458:135] reg obuf_wr_enQ; // @[Reg.scala 27:20] - wire _T_4143 = _T_4142 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] + wire _T_4143 = _T_4142 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 458:148] wire _T_4165 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4250 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4268 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] @@ -375,12 +375,12 @@ module lsu_bus_buffer( wire _T_3913 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3936 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3940 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3947 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 456:48] - wire _T_3948 = _GEN_376 == 3'h2; // @[lsu_bus_buffer.scala 456:104] - wire _T_3949 = obuf_merge & _T_3948; // @[lsu_bus_buffer.scala 456:91] - wire _T_3950 = _T_3947 | _T_3949; // @[lsu_bus_buffer.scala 456:77] - wire _T_3951 = _T_3950 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] - wire _T_3952 = _T_3951 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] + wire _T_3947 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 458:48] + wire _T_3948 = _GEN_376 == 3'h2; // @[lsu_bus_buffer.scala 458:104] + wire _T_3949 = obuf_merge & _T_3948; // @[lsu_bus_buffer.scala 458:91] + wire _T_3950 = _T_3947 | _T_3949; // @[lsu_bus_buffer.scala 458:77] + wire _T_3951 = _T_3950 & obuf_valid; // @[lsu_bus_buffer.scala 458:135] + wire _T_3952 = _T_3951 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 458:148] wire _T_3974 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4059 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4077 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] @@ -396,12 +396,12 @@ module lsu_bus_buffer( wire _T_3722 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3745 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3749 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3756 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 456:48] - wire _T_3757 = _GEN_376 == 3'h1; // @[lsu_bus_buffer.scala 456:104] - wire _T_3758 = obuf_merge & _T_3757; // @[lsu_bus_buffer.scala 456:91] - wire _T_3759 = _T_3756 | _T_3758; // @[lsu_bus_buffer.scala 456:77] - wire _T_3760 = _T_3759 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] - wire _T_3761 = _T_3760 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] + wire _T_3756 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 458:48] + wire _T_3757 = _GEN_376 == 3'h1; // @[lsu_bus_buffer.scala 458:104] + wire _T_3758 = obuf_merge & _T_3757; // @[lsu_bus_buffer.scala 458:91] + wire _T_3759 = _T_3756 | _T_3758; // @[lsu_bus_buffer.scala 458:77] + wire _T_3760 = _T_3759 & obuf_valid; // @[lsu_bus_buffer.scala 458:135] + wire _T_3761 = _T_3760 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 458:148] wire _T_3783 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3868 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3886 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] @@ -417,12 +417,12 @@ module lsu_bus_buffer( wire _T_3531 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3554 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3558 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3565 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 456:48] - wire _T_3566 = _GEN_376 == 3'h0; // @[lsu_bus_buffer.scala 456:104] - wire _T_3567 = obuf_merge & _T_3566; // @[lsu_bus_buffer.scala 456:91] - wire _T_3568 = _T_3565 | _T_3567; // @[lsu_bus_buffer.scala 456:77] - wire _T_3569 = _T_3568 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] - wire _T_3570 = _T_3569 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] + wire _T_3565 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 458:48] + wire _T_3566 = _GEN_376 == 3'h0; // @[lsu_bus_buffer.scala 458:104] + wire _T_3567 = obuf_merge & _T_3566; // @[lsu_bus_buffer.scala 458:91] + wire _T_3568 = _T_3565 | _T_3567; // @[lsu_bus_buffer.scala 458:77] + wire _T_3569 = _T_3568 & obuf_valid; // @[lsu_bus_buffer.scala 458:135] + wire _T_3570 = _T_3569 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 458:148] wire _T_3592 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3677 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3695 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] @@ -459,7 +459,7 @@ module lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 160:69] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 149:150] wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 505:60] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 511:60] wire _T_2562 = buf_ageQ_2[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] wire _T_2564 = _T_2562 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire _T_2555 = buf_ageQ_2[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] @@ -481,7 +481,7 @@ module lsu_bus_buffer( wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 149:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 149:97] wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 505:60] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 511:60] wire _T_2531 = buf_ageQ_1[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] wire _T_2533 = _T_2531 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire _T_2524 = buf_ageQ_1[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] @@ -503,7 +503,7 @@ module lsu_bus_buffer( wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 149:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 149:97] wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 505:60] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 511:60] wire _T_2500 = buf_ageQ_0[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] wire _T_2502 = _T_2500 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire _T_2493 = buf_ageQ_0[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] @@ -966,8 +966,8 @@ module lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 219:32] wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 213:34] wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 213:49] - reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 619:49] - reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 618:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 625:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 624:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 229:77] @@ -1010,33 +1010,33 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 525:64] - wire _T_4442 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 525:91] - wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 525:89] - wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 525:64] - wire _T_4437 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 525:91] - wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 525:89] - wire [1:0] _T_4444 = _T_4443 + _T_4438; // @[lsu_bus_buffer.scala 525:142] - wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 525:64] - wire _T_4432 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 525:91] - wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 525:89] - wire [1:0] _GEN_380 = {{1'd0}, _T_4433}; // @[lsu_bus_buffer.scala 525:142] - wire [2:0] _T_4445 = _T_4444 + _GEN_380; // @[lsu_bus_buffer.scala 525:142] - wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 525:64] - wire _T_4427 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 525:91] - wire _T_4428 = _T_4426 & _T_4427; // @[lsu_bus_buffer.scala 525:89] - wire [2:0] _GEN_381 = {{2'd0}, _T_4428}; // @[lsu_bus_buffer.scala 525:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_381; // @[lsu_bus_buffer.scala 525:142] + wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 531:64] + wire _T_4442 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 531:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 531:89] + wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 531:64] + wire _T_4437 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 531:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 531:89] + wire [1:0] _T_4444 = _T_4443 + _T_4438; // @[lsu_bus_buffer.scala 531:142] + wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 531:64] + wire _T_4432 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 531:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 531:89] + wire [1:0] _GEN_380 = {{1'd0}, _T_4433}; // @[lsu_bus_buffer.scala 531:142] + wire [2:0] _T_4445 = _T_4444 + _GEN_380; // @[lsu_bus_buffer.scala 531:142] + wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 531:64] + wire _T_4427 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 531:91] + wire _T_4428 = _T_4426 & _T_4427; // @[lsu_bus_buffer.scala 531:89] + wire [2:0] _GEN_381 = {{2'd0}, _T_4428}; // @[lsu_bus_buffer.scala 531:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_381; // @[lsu_bus_buffer.scala 531:142] wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] - wire _T_4458 = _T_2590 & _T_4442; // @[lsu_bus_buffer.scala 526:73] - wire _T_4455 = _T_2583 & _T_4437; // @[lsu_bus_buffer.scala 526:73] - wire [1:0] _T_4459 = _T_4458 + _T_4455; // @[lsu_bus_buffer.scala 526:126] - wire _T_4452 = _T_2576 & _T_4432; // @[lsu_bus_buffer.scala 526:73] - wire [1:0] _GEN_382 = {{1'd0}, _T_4452}; // @[lsu_bus_buffer.scala 526:126] - wire [2:0] _T_4460 = _T_4459 + _GEN_382; // @[lsu_bus_buffer.scala 526:126] - wire _T_4449 = _T_2569 & _T_4427; // @[lsu_bus_buffer.scala 526:73] - wire [2:0] _GEN_383 = {{2'd0}, _T_4449}; // @[lsu_bus_buffer.scala 526:126] - wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_383; // @[lsu_bus_buffer.scala 526:126] + wire _T_4458 = _T_2590 & _T_4442; // @[lsu_bus_buffer.scala 532:73] + wire _T_4455 = _T_2583 & _T_4437; // @[lsu_bus_buffer.scala 532:73] + wire [1:0] _T_4459 = _T_4458 + _T_4455; // @[lsu_bus_buffer.scala 532:126] + wire _T_4452 = _T_2576 & _T_4432; // @[lsu_bus_buffer.scala 532:73] + wire [1:0] _GEN_382 = {{1'd0}, _T_4452}; // @[lsu_bus_buffer.scala 532:126] + wire [2:0] _T_4460 = _T_4459 + _GEN_382; // @[lsu_bus_buffer.scala 532:126] + wire _T_4449 = _T_2569 & _T_4427; // @[lsu_bus_buffer.scala 532:73] + wire [2:0] _GEN_383 = {{2'd0}, _T_4449}; // @[lsu_bus_buffer.scala 532:126] + wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_383; // @[lsu_bus_buffer.scala 532:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] reg _T_1791; // @[Reg.scala 27:20] @@ -1117,44 +1117,44 @@ module lsu_bus_buffer( wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] - wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4481 = _T_4477 | _T_4458; // @[lsu_bus_buffer.scala 527:74] - wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4476 = _T_4472 | _T_4455; // @[lsu_bus_buffer.scala 527:74] - wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 527:154] - wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4471 = _T_4467 | _T_4452; // @[lsu_bus_buffer.scala 527:74] - wire [1:0] _GEN_384 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 527:154] - wire [2:0] _T_4483 = _T_4482 + _GEN_384; // @[lsu_bus_buffer.scala 527:154] - wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4466 = _T_4462 | _T_4449; // @[lsu_bus_buffer.scala 527:74] - wire [2:0] _GEN_385 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 527:154] - wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_385; // @[lsu_bus_buffer.scala 527:154] + wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4481 = _T_4477 | _T_4458; // @[lsu_bus_buffer.scala 533:74] + wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4476 = _T_4472 | _T_4455; // @[lsu_bus_buffer.scala 533:74] + wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 533:154] + wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4471 = _T_4467 | _T_4452; // @[lsu_bus_buffer.scala 533:74] + wire [1:0] _GEN_384 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 533:154] + wire [2:0] _T_4483 = _T_4482 + _GEN_384; // @[lsu_bus_buffer.scala 533:154] + wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4466 = _T_4462 | _T_4449; // @[lsu_bus_buffer.scala 533:74] + wire [2:0] _GEN_385 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 533:154] + wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_385; // @[lsu_bus_buffer.scala 533:154] wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 288:32] - wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 555:153] - wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 555:153] - wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4769 = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 555:153] + wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] + wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] + wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 561:153] + wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] + wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 561:153] + wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] + wire _T_4769 = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 561:153] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_4770 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 555:171] - wire _T_4771 = _T_4770 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:189] - wire bus_sideeffect_pend = _T_4769 | _T_4771; // @[lsu_bus_buffer.scala 555:157] + wire _T_4770 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 561:171] + wire _T_4771 = _T_4770 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:189] + wire bus_sideeffect_pend = _T_4769 | _T_4771; // @[lsu_bus_buffer.scala 561:157] wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 288:74] wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 288:52] wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 288:50] @@ -1263,11 +1263,11 @@ module lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[Reg.scala 27:20] reg obuf_data_done; // @[Reg.scala 27:20] - wire _T_4825 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 559:54] - wire _T_4826 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 559:75] - wire _T_4827 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 559:153] - wire _T_4828 = _T_4825 ? _T_4826 : _T_4827; // @[lsu_bus_buffer.scala 559:39] - wire bus_cmd_ready = obuf_write ? _T_4828 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:23] + wire _T_4825 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 565:54] + wire _T_4826 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 565:75] + wire _T_4827 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:153] + wire _T_4828 = _T_4825 ? _T_4826 : _T_4827; // @[lsu_bus_buffer.scala 565:39] + wire bus_cmd_ready = obuf_write ? _T_4828 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 565:23] wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 292:48] wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 292:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1276,52 +1276,52 @@ module lsu_bus_buffer( wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 292:77] wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 292:75] reg [31:0] obuf_addr; // @[Reg.scala 27:20] - wire _T_4776 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 557:19] - wire _T_4779 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 557:107] - wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 557:95] - wire _T_4781 = _T_3565 | _T_4780; // @[lsu_bus_buffer.scala 557:81] - wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 557:61] - wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 557:59] + wire _T_4776 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 563:19] + wire _T_4779 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 563:107] + wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 563:95] + wire _T_4781 = _T_3565 | _T_4780; // @[lsu_bus_buffer.scala 563:81] + wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 563:61] + wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 563:59] wire _T_4817 = _T_4751 & _T_4783; // @[Mux.scala 27:72] - wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 557:19] - wire _T_4790 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 557:107] - wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 557:95] - wire _T_4792 = _T_3756 | _T_4791; // @[lsu_bus_buffer.scala 557:81] - wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 557:61] - wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 557:59] + wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 563:19] + wire _T_4790 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 563:107] + wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 563:95] + wire _T_4792 = _T_3756 | _T_4791; // @[lsu_bus_buffer.scala 563:81] + wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 563:61] + wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 563:59] wire _T_4818 = _T_4755 & _T_4794; // @[Mux.scala 27:72] wire _T_4821 = _T_4817 | _T_4818; // @[Mux.scala 27:72] - wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 557:19] - wire _T_4801 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 557:107] - wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 557:95] - wire _T_4803 = _T_3947 | _T_4802; // @[lsu_bus_buffer.scala 557:81] - wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 557:61] - wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 557:59] + wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 563:19] + wire _T_4801 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 563:107] + wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 563:95] + wire _T_4803 = _T_3947 | _T_4802; // @[lsu_bus_buffer.scala 563:81] + wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 563:61] + wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 563:59] wire _T_4819 = _T_4759 & _T_4805; // @[Mux.scala 27:72] wire _T_4822 = _T_4821 | _T_4819; // @[Mux.scala 27:72] - wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 557:19] - wire _T_4812 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 557:107] - wire _T_4813 = obuf_merge & _T_4812; // @[lsu_bus_buffer.scala 557:95] - wire _T_4814 = _T_4138 | _T_4813; // @[lsu_bus_buffer.scala 557:81] - wire _T_4815 = ~_T_4814; // @[lsu_bus_buffer.scala 557:61] - wire _T_4816 = _T_4810 & _T_4815; // @[lsu_bus_buffer.scala 557:59] + wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 563:19] + wire _T_4812 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 563:107] + wire _T_4813 = obuf_merge & _T_4812; // @[lsu_bus_buffer.scala 563:95] + wire _T_4814 = _T_4138 | _T_4813; // @[lsu_bus_buffer.scala 563:81] + wire _T_4815 = ~_T_4814; // @[lsu_bus_buffer.scala 563:61] + wire _T_4816 = _T_4810 & _T_4815; // @[lsu_bus_buffer.scala 563:59] wire _T_4820 = _T_4763 & _T_4816; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4822 | _T_4820; // @[Mux.scala 27:72] wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 292:94] wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 292:92] wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 292:118] wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 295:47] - wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 560:40] - wire _T_4832 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 562:35] - wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 561:40] - wire _T_4833 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 562:70] - wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 562:52] - wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 562:112] - wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 562:89] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 566:40] + wire _T_4832 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 568:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 567:40] + wire _T_4833 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 568:70] + wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 568:52] + wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 568:112] + wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 568:89] wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 295:33] wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 295:65] wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 295:63] @@ -1386,7 +1386,7 @@ module lsu_bus_buffer( wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 328:20] wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 328:18] reg obuf_rdrsp_pend; // @[Reg.scala 27:20] - wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 563:38] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 569:38] reg [2:0] obuf_rdrsp_tag; // @[Reg.scala 27:20] wire _T_1349 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 328:90] wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 328:70] @@ -1595,7 +1595,7 @@ module lsu_bus_buffer( wire _T_1879 = _T_1873 | _T_1821; // @[lsu_bus_buffer.scala 374:42] wire _T_1880 = ~_T_1879; // @[lsu_bus_buffer.scala 373:78] wire _T_1881 = _T_1814 & _T_1880; // @[lsu_bus_buffer.scala 373:76] - reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 506:63] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 512:63] wire _T_2717 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 417:102] wire _T_2718 = buf_rspageQ_0[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] wire _T_2714 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 417:102] @@ -1608,7 +1608,7 @@ module lsu_bus_buffer( wire _T_1972 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 385:65] wire _T_1973 = ~_T_1972; // @[lsu_bus_buffer.scala 385:44] wire _T_1975 = _T_1973 & _T_2708; // @[lsu_bus_buffer.scala 385:70] - reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 506:63] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 512:63] wire _T_2733 = buf_rspageQ_1[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] wire _T_2730 = buf_rspageQ_1[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] wire _T_2727 = buf_rspageQ_1[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] @@ -1617,7 +1617,7 @@ module lsu_bus_buffer( wire _T_1976 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 385:65] wire _T_1977 = ~_T_1976; // @[lsu_bus_buffer.scala 385:44] wire _T_1979 = _T_1977 & _T_2711; // @[lsu_bus_buffer.scala 385:70] - reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 506:63] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 512:63] wire _T_2748 = buf_rspageQ_2[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] wire _T_2745 = buf_rspageQ_2[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] wire _T_2742 = buf_rspageQ_2[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] @@ -1626,7 +1626,7 @@ module lsu_bus_buffer( wire _T_1980 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 385:65] wire _T_1981 = ~_T_1980; // @[lsu_bus_buffer.scala 385:44] wire _T_1983 = _T_1981 & _T_2714; // @[lsu_bus_buffer.scala 385:70] - reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 506:63] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 512:63] wire _T_2763 = buf_rspageQ_3[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] wire _T_2760 = buf_rspageQ_3[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] wire _T_2757 = buf_rspageQ_3[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] @@ -1659,60 +1659,60 @@ module lsu_bus_buffer( wire _T_3545 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] wire _T_3546 = ibuf_drain_vld & _T_3545; // @[lsu_bus_buffer.scala 443:201] wire _T_3547 = _T_3544 | _T_3546; // @[lsu_bus_buffer.scala 443:183] - wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 564:39] - wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 470:73] - wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 470:52] - wire _T_3638 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 471:46] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 570:39] + wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 473:73] + wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 473:52] + wire _T_3638 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 474:46] reg _T_4302; // @[Reg.scala 27:20] reg _T_4300; // @[Reg.scala 27:20] reg _T_4298; // @[Reg.scala 27:20] reg _T_4296; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4302,_T_4300,_T_4298,_T_4296}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_386 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 472:47] - wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 472:47] - wire _T_3641 = buf_ldfwd[0] & _T_3640; // @[lsu_bus_buffer.scala 472:27] - wire _T_3642 = _T_3638 | _T_3641; // @[lsu_bus_buffer.scala 471:77] - wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 473:26] - wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 473:44] - wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 473:42] - wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 473:58] + wire [2:0] _GEN_386 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 475:47] + wire _T_3641 = buf_ldfwd[0] & _T_3640; // @[lsu_bus_buffer.scala 475:27] + wire _T_3642 = _T_3638 | _T_3641; // @[lsu_bus_buffer.scala 474:77] + wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 476:26] + wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 476:42] + wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 473:94] - wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 473:94] - wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 473:74] - wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 472:71] - wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 471:25] - wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 470:105] + wire [2:0] _GEN_387 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 476:94] + wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 476:74] + wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 475:71] + wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 474:25] + wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 473:105] wire _GEN_52 = _T_3592 & _T_3652; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3558 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_3554 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_83; // @[Conditional.scala 40:58] - wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 486:21] + wire _GEN_72 = _T_3558 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_84 = _T_3554 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] + wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 490:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_33 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_34 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_33; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_35 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_34; // @[lsu_bus_buffer.scala 486:58] - wire [2:0] _GEN_389 = {{1'd0}, _GEN_35}; // @[lsu_bus_buffer.scala 486:58] - wire _T_3689 = io_lsu_axi_r_bits_id == _GEN_389; // @[lsu_bus_buffer.scala 486:58] - wire _T_3690 = _T_3687[0] & _T_3689; // @[lsu_bus_buffer.scala 486:38] - wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 485:95] - wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 485:45] + wire [1:0] _GEN_33 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_34 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_33; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_35 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_34; // @[lsu_bus_buffer.scala 490:58] + wire [2:0] _GEN_389 = {{1'd0}, _GEN_35}; // @[lsu_bus_buffer.scala 490:58] + wire _T_3689 = io_lsu_axi_r_bits_id == _GEN_389; // @[lsu_bus_buffer.scala 490:58] + wire _T_3690 = _T_3687[0] & _T_3689; // @[lsu_bus_buffer.scala 490:38] + wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 489:95] + wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 489:45] wire _GEN_46 = _T_3677 & _T_3692; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3592 ? buf_resp_state_bus_en_0 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_63 = _T_3558 ? buf_cmd_state_bus_en_0 : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_76 = _T_3554 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_76; // @[Conditional.scala 40:58] - wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] - wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] + wire _GEN_77 = _T_3554 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_77; // @[Conditional.scala 40:58] + wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 460:49] + wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 460:70] wire [1:0] RspPtr = _T_2066[1:0]; // @[lsu_bus_buffer.scala 397:10] - wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 492:37] - wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 492:98] - wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 492:80] - wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 492:65] - wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] + wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 497:37] + wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 497:98] + wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 497:80] + wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 497:65] + wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] wire _GEN_41 = _T_3695 ? _T_3702 : _T_3703; // @[Conditional.scala 39:67] wire _GEN_47 = _T_3677 ? _T_3572 : _GEN_41; // @[Conditional.scala 39:67] wire _GEN_54 = _T_3592 ? _T_3572 : _GEN_47; // @[Conditional.scala 39:67] @@ -1760,49 +1760,49 @@ module lsu_bus_buffer( wire _T_3736 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] wire _T_3737 = ibuf_drain_vld & _T_3736; // @[lsu_bus_buffer.scala 443:201] wire _T_3738 = _T_3735 | _T_3737; // @[lsu_bus_buffer.scala 443:183] - wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 470:73] - wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 470:52] - wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 471:46] - wire [2:0] _GEN_390 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 472:47] - wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_390; // @[lsu_bus_buffer.scala 472:47] - wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 472:27] - wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 471:77] - wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 473:26] - wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 473:44] - wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 473:42] - wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 473:58] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 473:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 473:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_390 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_390; // @[lsu_bus_buffer.scala 475:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 475:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 474:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 476:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 476:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_391 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 473:94] - wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_391; // @[lsu_bus_buffer.scala 473:94] - wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 473:74] - wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 472:71] - wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 471:25] - wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 470:105] + wire [2:0] _GEN_391 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_391; // @[lsu_bus_buffer.scala 476:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 476:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 475:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 474:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 473:105] wire _GEN_128 = _T_3783 & _T_3843; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3749 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire _GEN_159 = _T_3745 ? 1'h0 : _GEN_147; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_159; // @[Conditional.scala 40:58] - wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 486:21] - wire [1:0] _GEN_109 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_110 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_109; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_111 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_110; // @[lsu_bus_buffer.scala 486:58] - wire [2:0] _GEN_393 = {{1'd0}, _GEN_111}; // @[lsu_bus_buffer.scala 486:58] - wire _T_3880 = io_lsu_axi_r_bits_id == _GEN_393; // @[lsu_bus_buffer.scala 486:58] - wire _T_3881 = _T_3878[0] & _T_3880; // @[lsu_bus_buffer.scala 486:38] - wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 485:95] - wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 485:45] + wire _GEN_148 = _T_3749 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire _GEN_160 = _T_3745 ? 1'h0 : _GEN_148; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_160; // @[Conditional.scala 40:58] + wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 490:21] + wire [1:0] _GEN_109 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_110 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_109; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_111 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_110; // @[lsu_bus_buffer.scala 490:58] + wire [2:0] _GEN_393 = {{1'd0}, _GEN_111}; // @[lsu_bus_buffer.scala 490:58] + wire _T_3880 = io_lsu_axi_r_bits_id == _GEN_393; // @[lsu_bus_buffer.scala 490:58] + wire _T_3881 = _T_3878[0] & _T_3880; // @[lsu_bus_buffer.scala 490:38] + wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 489:95] + wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 489:45] wire _GEN_122 = _T_3868 & _T_3883; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3783 ? buf_resp_state_bus_en_1 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_139 = _T_3749 ? buf_cmd_state_bus_en_1 : _GEN_129; // @[Conditional.scala 39:67] - wire _GEN_152 = _T_3745 ? 1'h0 : _GEN_139; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_152; // @[Conditional.scala 40:58] - wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] - wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] - wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 492:37] - wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 492:98] - wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 492:80] - wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 492:65] - wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] + wire _GEN_153 = _T_3745 ? 1'h0 : _GEN_139; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_153; // @[Conditional.scala 40:58] + wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 460:49] + wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 460:70] + wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 497:37] + wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 497:98] + wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 497:80] + wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 497:65] + wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] wire _GEN_117 = _T_3886 ? _T_3893 : _T_3894; // @[Conditional.scala 39:67] wire _GEN_123 = _T_3868 ? _T_3763 : _GEN_117; // @[Conditional.scala 39:67] wire _GEN_130 = _T_3783 ? _T_3763 : _GEN_123; // @[Conditional.scala 39:67] @@ -1846,49 +1846,49 @@ module lsu_bus_buffer( wire _T_3927 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] wire _T_3928 = ibuf_drain_vld & _T_3927; // @[lsu_bus_buffer.scala 443:201] wire _T_3929 = _T_3926 | _T_3928; // @[lsu_bus_buffer.scala 443:183] - wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 470:73] - wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 470:52] - wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 471:46] - wire [2:0] _GEN_394 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 472:47] - wire _T_4022 = io_lsu_axi_r_bits_id == _GEN_394; // @[lsu_bus_buffer.scala 472:47] - wire _T_4023 = buf_ldfwd[2] & _T_4022; // @[lsu_bus_buffer.scala 472:27] - wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 471:77] - wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 473:26] - wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 473:44] - wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 473:42] - wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 473:58] + wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 473:73] + wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 473:52] + wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_394 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4022 = io_lsu_axi_r_bits_id == _GEN_394; // @[lsu_bus_buffer.scala 475:47] + wire _T_4023 = buf_ldfwd[2] & _T_4022; // @[lsu_bus_buffer.scala 475:27] + wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 474:77] + wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 476:26] + wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 476:42] + wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_395 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 473:94] - wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_395; // @[lsu_bus_buffer.scala 473:94] - wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 473:74] - wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 472:71] - wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 471:25] - wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 470:105] + wire [2:0] _GEN_395 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_395; // @[lsu_bus_buffer.scala 476:94] + wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 476:74] + wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 475:71] + wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 474:25] + wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 473:105] wire _GEN_204 = _T_3974 & _T_4034; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3940 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire _GEN_235 = _T_3936 ? 1'h0 : _GEN_223; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_235; // @[Conditional.scala 40:58] - wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 486:21] - wire [1:0] _GEN_185 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_186 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_185; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_187 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_186; // @[lsu_bus_buffer.scala 486:58] - wire [2:0] _GEN_397 = {{1'd0}, _GEN_187}; // @[lsu_bus_buffer.scala 486:58] - wire _T_4071 = io_lsu_axi_r_bits_id == _GEN_397; // @[lsu_bus_buffer.scala 486:58] - wire _T_4072 = _T_4069[0] & _T_4071; // @[lsu_bus_buffer.scala 486:38] - wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 485:95] - wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 485:45] + wire _GEN_224 = _T_3940 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire _GEN_236 = _T_3936 ? 1'h0 : _GEN_224; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_236; // @[Conditional.scala 40:58] + wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 490:21] + wire [1:0] _GEN_185 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_186 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_185; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_187 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_186; // @[lsu_bus_buffer.scala 490:58] + wire [2:0] _GEN_397 = {{1'd0}, _GEN_187}; // @[lsu_bus_buffer.scala 490:58] + wire _T_4071 = io_lsu_axi_r_bits_id == _GEN_397; // @[lsu_bus_buffer.scala 490:58] + wire _T_4072 = _T_4069[0] & _T_4071; // @[lsu_bus_buffer.scala 490:38] + wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 489:95] + wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 489:45] wire _GEN_198 = _T_4059 & _T_4074; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3974 ? buf_resp_state_bus_en_2 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_215 = _T_3940 ? buf_cmd_state_bus_en_2 : _GEN_205; // @[Conditional.scala 39:67] - wire _GEN_228 = _T_3936 ? 1'h0 : _GEN_215; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_228; // @[Conditional.scala 40:58] - wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] - wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] - wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 492:37] - wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 492:98] - wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 492:80] - wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 492:65] - wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] + wire _GEN_229 = _T_3936 ? 1'h0 : _GEN_215; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_229; // @[Conditional.scala 40:58] + wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 460:49] + wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 460:70] + wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 497:37] + wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 497:98] + wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 497:80] + wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 497:65] + wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] wire _GEN_193 = _T_4077 ? _T_4084 : _T_4085; // @[Conditional.scala 39:67] wire _GEN_199 = _T_4059 ? _T_3954 : _GEN_193; // @[Conditional.scala 39:67] wire _GEN_206 = _T_3974 ? _T_3954 : _GEN_199; // @[Conditional.scala 39:67] @@ -1932,49 +1932,49 @@ module lsu_bus_buffer( wire _T_4118 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] wire _T_4119 = ibuf_drain_vld & _T_4118; // @[lsu_bus_buffer.scala 443:201] wire _T_4120 = _T_4117 | _T_4119; // @[lsu_bus_buffer.scala 443:183] - wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 470:73] - wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 470:52] - wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 471:46] - wire [2:0] _GEN_398 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 472:47] - wire _T_4213 = io_lsu_axi_r_bits_id == _GEN_398; // @[lsu_bus_buffer.scala 472:47] - wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[lsu_bus_buffer.scala 472:27] - wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 471:77] - wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 473:26] - wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 473:44] - wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 473:42] - wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 473:58] + wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 473:73] + wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 473:52] + wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_398 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4213 = io_lsu_axi_r_bits_id == _GEN_398; // @[lsu_bus_buffer.scala 475:47] + wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[lsu_bus_buffer.scala 475:27] + wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 474:77] + wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 476:26] + wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 476:42] + wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_399 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 473:94] - wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_399; // @[lsu_bus_buffer.scala 473:94] - wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 473:74] - wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 472:71] - wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 471:25] - wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 470:105] + wire [2:0] _GEN_399 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_399; // @[lsu_bus_buffer.scala 476:94] + wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 476:74] + wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 475:71] + wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 474:25] + wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 473:105] wire _GEN_280 = _T_4165 & _T_4225; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4131 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire _GEN_311 = _T_4127 ? 1'h0 : _GEN_299; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_311; // @[Conditional.scala 40:58] - wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 486:21] - wire [1:0] _GEN_261 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_262 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_261; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_263 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_262; // @[lsu_bus_buffer.scala 486:58] - wire [2:0] _GEN_401 = {{1'd0}, _GEN_263}; // @[lsu_bus_buffer.scala 486:58] - wire _T_4262 = io_lsu_axi_r_bits_id == _GEN_401; // @[lsu_bus_buffer.scala 486:58] - wire _T_4263 = _T_4260[0] & _T_4262; // @[lsu_bus_buffer.scala 486:38] - wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 485:95] - wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 485:45] + wire _GEN_300 = _T_4131 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire _GEN_312 = _T_4127 ? 1'h0 : _GEN_300; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_312; // @[Conditional.scala 40:58] + wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 490:21] + wire [1:0] _GEN_261 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_262 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_261; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_263 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_262; // @[lsu_bus_buffer.scala 490:58] + wire [2:0] _GEN_401 = {{1'd0}, _GEN_263}; // @[lsu_bus_buffer.scala 490:58] + wire _T_4262 = io_lsu_axi_r_bits_id == _GEN_401; // @[lsu_bus_buffer.scala 490:58] + wire _T_4263 = _T_4260[0] & _T_4262; // @[lsu_bus_buffer.scala 490:38] + wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 489:95] + wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 489:45] wire _GEN_274 = _T_4250 & _T_4265; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4165 ? buf_resp_state_bus_en_3 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_291 = _T_4131 ? buf_cmd_state_bus_en_3 : _GEN_281; // @[Conditional.scala 39:67] - wire _GEN_304 = _T_4127 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_304; // @[Conditional.scala 40:58] - wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] - wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] - wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 492:37] - wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 492:98] - wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 492:80] - wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 492:65] - wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] + wire _GEN_305 = _T_4127 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_305; // @[Conditional.scala 40:58] + wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 460:49] + wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 460:70] + wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 497:37] + wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 497:98] + wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 497:80] + wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 497:65] + wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] wire _GEN_269 = _T_4268 ? _T_4275 : _T_4276; // @[Conditional.scala 39:67] wire _GEN_275 = _T_4250 ? _T_4145 : _GEN_269; // @[Conditional.scala 39:67] wire _GEN_282 = _T_4165 ? _T_4145 : _GEN_275; // @[Conditional.scala 39:67] @@ -2182,44 +2182,44 @@ module lsu_bus_buffer( wire _T_3525 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] wire _T_3527 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] wire [3:0] buf_write_in = {_T_3527,_T_3525,_T_3523,_T_3521}; // @[Cat.scala 29:58] - wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 455:89] - wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 455:104] - wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 460:44] - wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] - wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 460:74] - wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] - wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 568:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 568:38] - wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] - wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 477:91] - wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 478:31] - wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 478:46] - wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 477:143] - wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 567:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 567:40] - wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 479:33] - wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 478:88] - wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 477:68] + wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 457:89] + wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 457:104] + wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 462:44] + wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 462:60] + wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 462:74] + wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 464:67] + wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 464:81] + wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 574:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 574:38] + wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 465:82] + wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 480:91] + wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 481:46] + wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 480:143] + wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 573:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 573:40] + wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 482:33] + wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 481:88] + wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 480:68] wire _GEN_56 = _T_3592 & _T_3668; // @[Conditional.scala 39:67] wire _GEN_69 = _T_3558 ? _T_3585 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_3554 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3531 ? 1'h0 : _GEN_81; // @[Conditional.scala 40:58] - wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 467:75] - wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 467:73] - wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 467:57] - wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 468:30] - wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 468:28] - wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 468:45] - wire [2:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_29; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 468:90] - wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 468:90] - wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 468:61] - wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 528:93] - wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 528:93] - wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 528:93] - wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] + wire _GEN_82 = _T_3554 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3531 ? 1'h0 : _GEN_82; // @[Conditional.scala 40:58] + wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:75] + wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 470:73] + wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 470:57] + wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 471:30] + wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 471:28] + wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_29; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 471:90] + wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 471:61] + wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 534:93] + wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 534:93] + wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 534:93] + wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] wire _T_3612 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3614 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3616 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2231,63 +2231,63 @@ module lsu_bus_buffer( wire _T_3624 = _T_3620 | _T_3621; // @[Mux.scala 27:72] wire _T_3625 = _T_3624 | _T_3622; // @[Mux.scala 27:72] wire _T_3626 = _T_3625 | _T_3623; // @[Mux.scala 27:72] - wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 469:101] - wire _T_3629 = _GEN_31 == 3'h4; // @[lsu_bus_buffer.scala 469:167] - wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 469:138] - wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] - wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 469:53] - wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] - wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] - wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 480:50] - wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 480:48] - wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 484:90] - wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] + wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 472:101] + wire _T_3629 = _GEN_31 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 472:138] + wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 472:53] + wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 483:50] + wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 483:48] + wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 488:90] + wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 488:118] wire _GEN_39 = _T_3703 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3695 ? 1'h0 : _T_3703; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3695 ? io_dec_tlu_force_halt : _T_3703; // @[Conditional.scala 39:67] wire _GEN_44 = _T_3695 ? io_dec_tlu_force_halt : _GEN_39; // @[Conditional.scala 39:67] - wire _GEN_49 = _T_3677 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_49 = _T_3677 ? io_dec_tlu_force_halt : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_50 = _T_3677 ? io_dec_tlu_force_halt : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_55 = _T_3592 & _T_3656; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3592 ? 1'h0 : _GEN_49; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3592 ? io_dec_tlu_force_halt : _GEN_49; // @[Conditional.scala 39:67] wire _GEN_60 = _T_3592 ? io_dec_tlu_force_halt : _GEN_50; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3558 ? _T_3578 : _GEN_60; // @[Conditional.scala 39:67] wire _GEN_68 = _T_3558 ? _T_3582 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_72 = _T_3558 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire _GEN_78 = _T_3554 ? io_dec_tlu_force_halt : _GEN_66; // @[Conditional.scala 39:67] - wire _GEN_80 = _T_3554 ? 1'h0 : _GEN_68; // @[Conditional.scala 39:67] - wire _GEN_84 = _T_3554 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3558 ? io_dec_tlu_force_halt : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_76 = _T_3554 ? io_dec_tlu_force_halt : _GEN_71; // @[Conditional.scala 39:67] + wire _GEN_79 = _T_3554 ? io_dec_tlu_force_halt : _GEN_66; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_3554 ? 1'h0 : _GEN_68; // @[Conditional.scala 39:67] wire buf_wr_en_0 = _T_3531 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3531 ? buf_state_en_0 : _GEN_80; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_78; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3531 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] - wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 460:44] - wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] - wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 460:74] - wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] - wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] - wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 477:91] - wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 478:31] - wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 478:46] - wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 477:143] - wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 479:33] - wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 478:88] - wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 477:68] + wire buf_data_en_0 = _T_3531 ? buf_state_en_0 : _GEN_81; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_76; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_79; // @[Conditional.scala 40:58] + wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 462:44] + wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 462:60] + wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 462:74] + wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 464:67] + wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 464:81] + wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 465:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 480:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 481:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 480:143] + wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 482:33] + wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 481:88] + wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 480:68] wire _GEN_132 = _T_3783 & _T_3859; // @[Conditional.scala 39:67] wire _GEN_145 = _T_3749 ? _T_3776 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_157 = _T_3745 ? 1'h0 : _GEN_145; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3722 ? 1'h0 : _GEN_157; // @[Conditional.scala 40:58] - wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 467:73] - wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 467:57] - wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 468:30] - wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 468:28] - wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 468:45] - wire [2:0] _GEN_105 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_106 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_105; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_107 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_106; // @[lsu_bus_buffer.scala 468:90] - wire _T_3794 = _GEN_107 != 3'h4; // @[lsu_bus_buffer.scala 468:90] - wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 468:61] - wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] + wire _GEN_158 = _T_3745 ? 1'h0 : _GEN_145; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3722 ? 1'h0 : _GEN_158; // @[Conditional.scala 40:58] + wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 470:73] + wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 470:57] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 471:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 471:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_105 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_106 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_105; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_107 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_106; // @[lsu_bus_buffer.scala 471:90] + wire _T_3794 = _GEN_107 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 471:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2299,63 +2299,63 @@ module lsu_bus_buffer( wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] - wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 469:101] - wire _T_3820 = _GEN_107 == 3'h4; // @[lsu_bus_buffer.scala 469:167] - wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 469:138] - wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] - wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 469:53] - wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] - wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] - wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 480:50] - wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 480:48] - wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 484:90] - wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 472:101] + wire _T_3820 = _GEN_107 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 472:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 472:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 483:50] + wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 483:48] + wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 488:90] + wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 488:118] wire _GEN_115 = _T_3894 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3886 ? 1'h0 : _T_3894; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3886 ? io_dec_tlu_force_halt : _T_3894; // @[Conditional.scala 39:67] wire _GEN_120 = _T_3886 ? io_dec_tlu_force_halt : _GEN_115; // @[Conditional.scala 39:67] - wire _GEN_125 = _T_3868 ? 1'h0 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_125 = _T_3868 ? io_dec_tlu_force_halt : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_126 = _T_3868 ? io_dec_tlu_force_halt : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_131 = _T_3783 & _T_3847; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3783 ? 1'h0 : _GEN_125; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3783 ? io_dec_tlu_force_halt : _GEN_125; // @[Conditional.scala 39:67] wire _GEN_136 = _T_3783 ? io_dec_tlu_force_halt : _GEN_126; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3749 ? _T_3769 : _GEN_136; // @[Conditional.scala 39:67] wire _GEN_144 = _T_3749 ? _T_3773 : _GEN_131; // @[Conditional.scala 39:67] - wire _GEN_148 = _T_3749 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire _GEN_154 = _T_3745 ? io_dec_tlu_force_halt : _GEN_142; // @[Conditional.scala 39:67] - wire _GEN_156 = _T_3745 ? 1'h0 : _GEN_144; // @[Conditional.scala 39:67] - wire _GEN_160 = _T_3745 ? 1'h0 : _GEN_148; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3749 ? io_dec_tlu_force_halt : _GEN_135; // @[Conditional.scala 39:67] + wire _GEN_152 = _T_3745 ? io_dec_tlu_force_halt : _GEN_147; // @[Conditional.scala 39:67] + wire _GEN_155 = _T_3745 ? io_dec_tlu_force_halt : _GEN_142; // @[Conditional.scala 39:67] + wire _GEN_157 = _T_3745 ? 1'h0 : _GEN_144; // @[Conditional.scala 39:67] wire buf_wr_en_1 = _T_3722 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3722 ? buf_state_en_1 : _GEN_156; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_154; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3722 ? 1'h0 : _GEN_160; // @[Conditional.scala 40:58] - wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 460:44] - wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] - wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 460:74] - wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] - wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] - wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 477:91] - wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 478:31] - wire _T_4045 = _T_4043 & _T_4022; // @[lsu_bus_buffer.scala 478:46] - wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 477:143] - wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 479:33] - wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 478:88] - wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 477:68] + wire buf_data_en_1 = _T_3722 ? buf_state_en_1 : _GEN_157; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_152; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_155; // @[Conditional.scala 40:58] + wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 462:44] + wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 462:60] + wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 462:74] + wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 464:67] + wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 464:81] + wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 465:82] + wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 480:91] + wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4045 = _T_4043 & _T_4022; // @[lsu_bus_buffer.scala 481:46] + wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 480:143] + wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 482:33] + wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 481:88] + wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 480:68] wire _GEN_208 = _T_3974 & _T_4050; // @[Conditional.scala 39:67] wire _GEN_221 = _T_3940 ? _T_3967 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_233 = _T_3936 ? 1'h0 : _GEN_221; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3913 ? 1'h0 : _GEN_233; // @[Conditional.scala 40:58] - wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 467:73] - wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 467:57] - wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 468:30] - wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 468:28] - wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 468:45] - wire [2:0] _GEN_181 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_182 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_181; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_183 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_182; // @[lsu_bus_buffer.scala 468:90] - wire _T_3985 = _GEN_183 != 3'h4; // @[lsu_bus_buffer.scala 468:90] - wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 468:61] - wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] + wire _GEN_234 = _T_3936 ? 1'h0 : _GEN_221; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3913 ? 1'h0 : _GEN_234; // @[Conditional.scala 40:58] + wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 470:73] + wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 470:57] + wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 471:30] + wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 471:28] + wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_181 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_182 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_181; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_183 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_182; // @[lsu_bus_buffer.scala 471:90] + wire _T_3985 = _GEN_183 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 471:61] + wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] wire _T_3994 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3996 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3998 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2367,63 +2367,63 @@ module lsu_bus_buffer( wire _T_4006 = _T_4002 | _T_4003; // @[Mux.scala 27:72] wire _T_4007 = _T_4006 | _T_4004; // @[Mux.scala 27:72] wire _T_4008 = _T_4007 | _T_4005; // @[Mux.scala 27:72] - wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 469:101] - wire _T_4011 = _GEN_183 == 3'h4; // @[lsu_bus_buffer.scala 469:167] - wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 469:138] - wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] - wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 469:53] - wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] - wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] - wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 480:50] - wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 480:48] - wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 484:90] - wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] + wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 472:101] + wire _T_4011 = _GEN_183 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 472:138] + wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 472:53] + wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 483:50] + wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 483:48] + wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 488:90] + wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 488:118] wire _GEN_191 = _T_4085 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_4077 ? 1'h0 : _T_4085; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_4077 ? io_dec_tlu_force_halt : _T_4085; // @[Conditional.scala 39:67] wire _GEN_196 = _T_4077 ? io_dec_tlu_force_halt : _GEN_191; // @[Conditional.scala 39:67] - wire _GEN_201 = _T_4059 ? 1'h0 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_201 = _T_4059 ? io_dec_tlu_force_halt : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_202 = _T_4059 ? io_dec_tlu_force_halt : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_207 = _T_3974 & _T_4038; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3974 ? 1'h0 : _GEN_201; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3974 ? io_dec_tlu_force_halt : _GEN_201; // @[Conditional.scala 39:67] wire _GEN_212 = _T_3974 ? io_dec_tlu_force_halt : _GEN_202; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3940 ? _T_3960 : _GEN_212; // @[Conditional.scala 39:67] wire _GEN_220 = _T_3940 ? _T_3964 : _GEN_207; // @[Conditional.scala 39:67] - wire _GEN_224 = _T_3940 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire _GEN_230 = _T_3936 ? io_dec_tlu_force_halt : _GEN_218; // @[Conditional.scala 39:67] - wire _GEN_232 = _T_3936 ? 1'h0 : _GEN_220; // @[Conditional.scala 39:67] - wire _GEN_236 = _T_3936 ? 1'h0 : _GEN_224; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3940 ? io_dec_tlu_force_halt : _GEN_211; // @[Conditional.scala 39:67] + wire _GEN_228 = _T_3936 ? io_dec_tlu_force_halt : _GEN_223; // @[Conditional.scala 39:67] + wire _GEN_231 = _T_3936 ? io_dec_tlu_force_halt : _GEN_218; // @[Conditional.scala 39:67] + wire _GEN_233 = _T_3936 ? 1'h0 : _GEN_220; // @[Conditional.scala 39:67] wire buf_wr_en_2 = _T_3913 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3913 ? buf_state_en_2 : _GEN_232; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_230; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3913 ? 1'h0 : _GEN_236; // @[Conditional.scala 40:58] - wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 460:44] - wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] - wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 460:74] - wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] - wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] - wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 477:91] - wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 478:31] - wire _T_4236 = _T_4234 & _T_4213; // @[lsu_bus_buffer.scala 478:46] - wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 477:143] - wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 479:33] - wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 478:88] - wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 477:68] + wire buf_data_en_2 = _T_3913 ? buf_state_en_2 : _GEN_233; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_228; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_231; // @[Conditional.scala 40:58] + wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 462:44] + wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 462:60] + wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 462:74] + wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 464:67] + wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 464:81] + wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 465:82] + wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 480:91] + wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4236 = _T_4234 & _T_4213; // @[lsu_bus_buffer.scala 481:46] + wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 480:143] + wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 482:33] + wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 481:88] + wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 480:68] wire _GEN_284 = _T_4165 & _T_4241; // @[Conditional.scala 39:67] wire _GEN_297 = _T_4131 ? _T_4158 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_309 = _T_4127 ? 1'h0 : _GEN_297; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4104 ? 1'h0 : _GEN_309; // @[Conditional.scala 40:58] - wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 467:73] - wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 467:57] - wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 468:30] - wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 468:28] - wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 468:45] - wire [2:0] _GEN_257 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_258 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_257; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_259 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_258; // @[lsu_bus_buffer.scala 468:90] - wire _T_4176 = _GEN_259 != 3'h4; // @[lsu_bus_buffer.scala 468:90] - wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 468:61] - wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] + wire _GEN_310 = _T_4127 ? 1'h0 : _GEN_297; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4104 ? 1'h0 : _GEN_310; // @[Conditional.scala 40:58] + wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 470:73] + wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 470:57] + wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 471:30] + wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 471:28] + wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_257 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_258 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_257; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_259 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_258; // @[lsu_bus_buffer.scala 471:90] + wire _T_4176 = _GEN_259 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 471:61] + wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] wire _T_4185 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_4187 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_4189 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2435,85 +2435,85 @@ module lsu_bus_buffer( wire _T_4197 = _T_4193 | _T_4194; // @[Mux.scala 27:72] wire _T_4198 = _T_4197 | _T_4195; // @[Mux.scala 27:72] wire _T_4199 = _T_4198 | _T_4196; // @[Mux.scala 27:72] - wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 469:101] - wire _T_4202 = _GEN_259 == 3'h4; // @[lsu_bus_buffer.scala 469:167] - wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 469:138] - wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] - wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 469:53] - wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] - wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] - wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 480:50] - wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 480:48] - wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 484:90] - wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] + wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 472:101] + wire _T_4202 = _GEN_259 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 472:138] + wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 472:53] + wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 483:50] + wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 483:48] + wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 488:90] + wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 488:118] wire _GEN_267 = _T_4276 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4268 ? 1'h0 : _T_4276; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4268 ? io_dec_tlu_force_halt : _T_4276; // @[Conditional.scala 39:67] wire _GEN_272 = _T_4268 ? io_dec_tlu_force_halt : _GEN_267; // @[Conditional.scala 39:67] - wire _GEN_277 = _T_4250 ? 1'h0 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_277 = _T_4250 ? io_dec_tlu_force_halt : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_278 = _T_4250 ? io_dec_tlu_force_halt : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_283 = _T_4165 & _T_4229; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4165 ? 1'h0 : _GEN_277; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4165 ? io_dec_tlu_force_halt : _GEN_277; // @[Conditional.scala 39:67] wire _GEN_288 = _T_4165 ? io_dec_tlu_force_halt : _GEN_278; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4131 ? _T_4151 : _GEN_288; // @[Conditional.scala 39:67] wire _GEN_296 = _T_4131 ? _T_4155 : _GEN_283; // @[Conditional.scala 39:67] - wire _GEN_300 = _T_4131 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire _GEN_306 = _T_4127 ? io_dec_tlu_force_halt : _GEN_294; // @[Conditional.scala 39:67] - wire _GEN_308 = _T_4127 ? 1'h0 : _GEN_296; // @[Conditional.scala 39:67] - wire _GEN_312 = _T_4127 ? 1'h0 : _GEN_300; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4131 ? io_dec_tlu_force_halt : _GEN_287; // @[Conditional.scala 39:67] + wire _GEN_304 = _T_4127 ? io_dec_tlu_force_halt : _GEN_299; // @[Conditional.scala 39:67] + wire _GEN_307 = _T_4127 ? io_dec_tlu_force_halt : _GEN_294; // @[Conditional.scala 39:67] + wire _GEN_309 = _T_4127 ? 1'h0 : _GEN_296; // @[Conditional.scala 39:67] wire buf_wr_en_3 = _T_4104 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4104 ? buf_state_en_3 : _GEN_308; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4104 ? io_dec_tlu_force_halt : _GEN_306; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4104 ? 1'h0 : _GEN_312; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4104 ? buf_state_en_3 : _GEN_309; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4104 ? io_dec_tlu_force_halt : _GEN_304; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4104 ? io_dec_tlu_force_halt : _GEN_307; // @[Conditional.scala 40:58] reg _T_4331; // @[Reg.scala 27:20] reg _T_4334; // @[Reg.scala 27:20] reg _T_4337; // @[Reg.scala 27:20] reg _T_4340; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4340,_T_4337,_T_4334,_T_4331}; // @[Cat.scala 29:58] - wire _T_4387 = ~buf_rst_0; // @[lsu_bus_buffer.scala 523:81] - reg _T_4406; // @[lsu_bus_buffer.scala 523:80] - reg _T_4401; // @[lsu_bus_buffer.scala 523:80] - reg _T_4396; // @[lsu_bus_buffer.scala 523:80] - reg _T_4391; // @[lsu_bus_buffer.scala 523:80] + wire _T_4387 = ~buf_rst_0; // @[lsu_bus_buffer.scala 529:81] + reg _T_4406; // @[lsu_bus_buffer.scala 529:80] + reg _T_4401; // @[lsu_bus_buffer.scala 529:80] + reg _T_4396; // @[lsu_bus_buffer.scala 529:80] + reg _T_4391; // @[lsu_bus_buffer.scala 529:80] wire [3:0] buf_error = {_T_4406,_T_4401,_T_4396,_T_4391}; // @[Cat.scala 29:58] - wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 523:98] - wire _T_4392 = ~buf_rst_1; // @[lsu_bus_buffer.scala 523:81] - wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 523:98] - wire _T_4397 = ~buf_rst_2; // @[lsu_bus_buffer.scala 523:81] - wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 523:98] - wire _T_4402 = ~buf_rst_3; // @[lsu_bus_buffer.scala 523:81] - wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 523:98] + wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 529:98] + wire _T_4392 = ~buf_rst_1; // @[lsu_bus_buffer.scala 529:81] + wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 529:98] + wire _T_4397 = ~buf_rst_2; // @[lsu_bus_buffer.scala 529:81] + wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 529:98] + wire _T_4402 = ~buf_rst_3; // @[lsu_bus_buffer.scala 529:81] + wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 529:98] wire [1:0] _T_4410 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 524:28] + wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 530:28] wire [1:0] _T_4412 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 524:94] - wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 524:88] - wire [2:0] _GEN_406 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 524:154] - wire [3:0] _T_4415 = _T_4414 + _GEN_406; // @[lsu_bus_buffer.scala 524:154] - wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 524:217] - wire [1:0] _GEN_407 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 524:217] - wire [2:0] _T_4421 = _T_4420 + _GEN_407; // @[lsu_bus_buffer.scala 524:217] - wire [2:0] _GEN_408 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 524:217] - wire [3:0] _T_4422 = _T_4421 + _GEN_408; // @[lsu_bus_buffer.scala 524:217] - wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 524:169] - wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 530:52] - wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 530:92] - wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 530:121] - wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 531:52] - wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 531:52] - wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 531:52] - wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 531:52] - wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 531:65] - wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 531:65] - wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 531:65] - wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 531:34] - wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 531:70] - wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 533:64] - wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 533:85] - wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 533:112] - wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 533:110] - wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 533:129] - wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 536:74] - reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 621:66] + wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 530:94] + wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 530:88] + wire [2:0] _GEN_406 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 530:154] + wire [3:0] _T_4415 = _T_4414 + _GEN_406; // @[lsu_bus_buffer.scala 530:154] + wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 530:217] + wire [1:0] _GEN_407 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 530:217] + wire [2:0] _T_4421 = _T_4420 + _GEN_407; // @[lsu_bus_buffer.scala 530:217] + wire [2:0] _GEN_408 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 530:217] + wire [3:0] _T_4422 = _T_4421 + _GEN_408; // @[lsu_bus_buffer.scala 530:217] + wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 530:169] + wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 536:52] + wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 536:92] + wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 536:121] + wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 537:52] + wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 537:52] + wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 537:52] + wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 537:52] + wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 537:65] + wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 537:65] + wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 537:65] + wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 537:34] + wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 537:70] + wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 539:64] + wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 539:85] + wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 539:112] + wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 539:110] + wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 539:129] + wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 542:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 627:66] wire _T_4529 = _T_2770 & _T_3645; // @[Mux.scala 27:72] wire _T_4530 = _T_2792 & _T_3836; // @[Mux.scala 27:72] wire _T_4531 = _T_2814 & _T_4027; // @[Mux.scala 27:72] @@ -2521,32 +2521,32 @@ module lsu_bus_buffer( wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] - wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 539:121] - wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 539:121] - wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 539:121] - wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 539:121] + wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 545:121] + wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 545:121] + wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 545:121] + wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 545:121] wire _T_4556 = _T_2770 & _T_4540; // @[Mux.scala 27:72] wire _T_4557 = _T_2792 & _T_4545; // @[Mux.scala 27:72] wire _T_4558 = _T_2814 & _T_4550; // @[Mux.scala 27:72] wire _T_4559 = _T_2836 & _T_4555; // @[Mux.scala 27:72] wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] - wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 540:121] - wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 540:136] - wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 540:134] - wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 540:118] - wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 540:121] - wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 540:136] - wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 540:134] - wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 540:118] - wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 540:121] - wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 540:136] - wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 540:134] - wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 540:118] - wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 540:121] - wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 540:136] - wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 540:134] - wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 540:118] + wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 546:121] + wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 546:136] + wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 546:134] + wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 546:118] + wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 546:121] + wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 546:136] + wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 546:134] + wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 546:118] + wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 546:121] + wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 546:136] + wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 546:134] + wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 546:118] + wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 546:121] + wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 546:136] + wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 546:134] + wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 546:118] wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_409 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] @@ -2558,10 +2558,10 @@ module lsu_bus_buffer( wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] - wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 542:105] - wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 542:105] - wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 542:105] - wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 542:105] + wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 548:105] + wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 548:105] + wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 548:105] + wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 548:105] wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2580,7 +2580,7 @@ module lsu_bus_buffer( wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 543:96] + wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 549:96] wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2596,24 +2596,24 @@ module lsu_bus_buffer( wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] wire [63:0] _T_4712 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_410 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 547:121] - wire [5:0] _T_4713 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 547:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 547:92] - wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 549:82] - wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 550:94] - wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 550:76] + wire [3:0] _GEN_410 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 553:121] + wire [5:0] _T_4713 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 553:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 553:92] + wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 555:82] + wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 556:94] + wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 556:76] wire [31:0] _T_4719 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 551:45] - wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 551:26] + wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 557:45] + wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 557:26] wire [31:0] _T_4723 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 552:6] - wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 552:27] + wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 558:6] + wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 558:27] wire [23:0] _T_4729 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4731 = {_T_4729,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 553:27] + wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 559:27] wire [15:0] _T_4737 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4739 = {_T_4737,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 554:21] + wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 560:21] wire [31:0] _T_4741 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4742 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4743 = _T_4726 ? _T_4731 : 32'h0; // @[Mux.scala 27:72] @@ -2624,60 +2624,60 @@ module lsu_bus_buffer( wire [31:0] _T_4748 = _T_4747 | _T_4744; // @[Mux.scala 27:72] wire [63:0] _GEN_411 = {{32'd0}, _T_4748}; // @[Mux.scala 27:72] wire [63:0] _T_4749 = _GEN_411 | _T_4745; // @[Mux.scala 27:72] - wire _T_4843 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 572:37] - wire _T_4844 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 572:52] - wire _T_4845 = _T_4843 & _T_4844; // @[lsu_bus_buffer.scala 572:50] + wire _T_4843 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 578:37] + wire _T_4844 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 578:52] + wire _T_4845 = _T_4843 & _T_4844; // @[lsu_bus_buffer.scala 578:50] wire [31:0] _T_4849 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4851 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4856 = ~obuf_data_done; // @[lsu_bus_buffer.scala 584:51] - wire _T_4857 = _T_4843 & _T_4856; // @[lsu_bus_buffer.scala 584:49] + wire _T_4856 = ~obuf_data_done; // @[lsu_bus_buffer.scala 590:51] + wire _T_4857 = _T_4843 & _T_4856; // @[lsu_bus_buffer.scala 590:49] wire [7:0] _T_4861 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4864 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 589:37] - wire _T_4866 = _T_4864 & _T_1347; // @[lsu_bus_buffer.scala 589:51] - wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 602:141] + wire _T_4864 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 595:37] + wire _T_4866 = _T_4864 & _T_1347; // @[lsu_bus_buffer.scala 595:51] + wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 608:141] wire _T_4896 = _T_2770 & _T_4880; // @[Mux.scala 27:72] wire _T_4897 = _T_2792 & _T_4885; // @[Mux.scala 27:72] wire _T_4898 = _T_2814 & _T_4890; // @[Mux.scala 27:72] wire _T_4899 = _T_2836 & _T_4895; // @[Mux.scala 27:72] wire _T_4900 = _T_4896 | _T_4897; // @[Mux.scala 27:72] wire _T_4901 = _T_4900 | _T_4898; // @[Mux.scala 27:72] - wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 603:108] - wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 603:108] - wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 603:108] + wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 609:93] + wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 609:108] + wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 609:93] + wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 609:108] + wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 609:93] + wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 609:108] wire [1:0] _T_4926 = _T_4918 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4927 = _T_4923 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_412 = {{1'd0}, _T_4913}; // @[Mux.scala 27:72] wire [1:0] _T_4929 = _GEN_412 | _T_4926; // @[Mux.scala 27:72] wire [1:0] lsu_imprecise_error_store_tag = _T_4929 | _T_4927; // @[Mux.scala 27:72] - wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 605:97] - wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 606:53] - wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 612:82] - wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 613:60] - wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 616:61] - wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 616:59] - wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 616:107] - wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 616:105] - wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 616:83] - wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 616:153] - wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 616:151] - wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 620:75] - wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 620:73] - reg _T_4956; // @[lsu_bus_buffer.scala 620:56] + wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:97] + wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 612:53] + wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 618:82] + wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 619:60] + wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 622:61] + wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 622:59] + wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 622:107] + wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 622:105] + wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 622:83] + wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 622:153] + wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 622:151] + wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 626:75] + wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 626:73] + reg _T_4956; // @[lsu_bus_buffer.scala 626:56] rvclkhdr rvclkhdr ( // @[lib.scala 390:23] .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) @@ -2726,53 +2726,53 @@ module lsu_bus_buffer( .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 612:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 613:41] - assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 614:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 616:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 605:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 602:48] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 606:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 533:45] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 534:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 536:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 537:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 549:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 539:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 540:45] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 550:42] - assign io_lsu_axi_aw_valid = _T_4845 & _T_1237; // @[lsu_bus_buffer.scala 572:23] - assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 573:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 574:27] - assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 578:29] - assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 579:26] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 575:27] - assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 580:28] - assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 582:27] - assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 577:28] - assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 576:27] - assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 581:26] - assign io_lsu_axi_w_valid = _T_4857 & _T_1237; // @[lsu_bus_buffer.scala 584:22] - assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 586:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4861; // @[lsu_bus_buffer.scala 585:26] - assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 587:26] - assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 600:22] - assign io_lsu_axi_ar_valid = _T_4866 & _T_1237; // @[lsu_bus_buffer.scala 589:23] - assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 590:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 591:27] - assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 595:29] - assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 596:26] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 592:27] - assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 597:28] - assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 599:27] - assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 594:28] - assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 593:27] - assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 598:26] - assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 601:22] - assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 620:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 529:30] - assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 530:30] - assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 531:31] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 618:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 619:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 620:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 622:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 611:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 608:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 612:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 539:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 540:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 542:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 543:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 555:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 545:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 546:45] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 556:42] + assign io_lsu_axi_aw_valid = _T_4845 & _T_1237; // @[lsu_bus_buffer.scala 578:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 579:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 580:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 584:29] + assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 585:26] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 581:27] + assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 586:28] + assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 588:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 583:28] + assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 582:27] + assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 587:26] + assign io_lsu_axi_w_valid = _T_4857 & _T_1237; // @[lsu_bus_buffer.scala 590:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 592:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4861; // @[lsu_bus_buffer.scala 591:26] + assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 593:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 606:22] + assign io_lsu_axi_ar_valid = _T_4866 & _T_1237; // @[lsu_bus_buffer.scala 595:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 596:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 597:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 601:29] + assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 602:26] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 598:27] + assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 603:28] + assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 605:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 600:28] + assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 599:27] + assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 604:26] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 607:22] + assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 626:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 535:30] + assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 536:30] + assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 537:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] @@ -2794,13 +2794,13 @@ module lsu_bus_buffer( assign rvclkhdr_7_io_clk = clock; // @[lib.scala 392:18] assign rvclkhdr_7_io_en = _T_4104 & buf_state_en_3; // @[lib.scala 393:17] assign rvclkhdr_8_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_8_io_en = _T_3531 ? buf_state_en_0 : _GEN_80; // @[lib.scala 393:17] + assign rvclkhdr_8_io_en = _T_3531 ? buf_state_en_0 : _GEN_81; // @[lib.scala 393:17] assign rvclkhdr_9_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_9_io_en = _T_3722 ? buf_state_en_1 : _GEN_156; // @[lib.scala 393:17] + assign rvclkhdr_9_io_en = _T_3722 ? buf_state_en_1 : _GEN_157; // @[lib.scala 393:17] assign rvclkhdr_10_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_10_io_en = _T_3913 ? buf_state_en_2 : _GEN_232; // @[lib.scala 393:17] + assign rvclkhdr_10_io_en = _T_3913 ? buf_state_en_2 : _GEN_233; // @[lib.scala 393:17] assign rvclkhdr_11_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_11_io_en = _T_4104 ? buf_state_en_3 : _GEN_308; // @[lib.scala 393:17] + assign rvclkhdr_11_io_en = _T_4104 ? buf_state_en_3 : _GEN_309; // @[lib.scala 393:17] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -3051,325 +3051,325 @@ initial begin _RAND_106 = {1{`RANDOM}}; _T_4956 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT - if (reset) begin + if (!reset) begin buf_addr_0 = 32'h0; end - if (reset) begin + if (!reset) begin _T_4355 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4352 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4349 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4346 = 1'h0; end - if (reset) begin + if (!reset) begin buf_state_0 = 3'h0; end - if (reset) begin + if (!reset) begin buf_addr_1 = 32'h0; end - if (reset) begin + if (!reset) begin buf_state_1 = 3'h0; end - if (reset) begin + if (!reset) begin buf_addr_2 = 32'h0; end - if (reset) begin + if (!reset) begin buf_state_2 = 3'h0; end - if (reset) begin + if (!reset) begin buf_addr_3 = 32'h0; end - if (reset) begin + if (!reset) begin buf_state_3 = 3'h0; end - if (reset) begin + if (!reset) begin buf_byteen_3 = 4'h0; end - if (reset) begin + if (!reset) begin buf_byteen_2 = 4'h0; end - if (reset) begin + if (!reset) begin buf_byteen_1 = 4'h0; end - if (reset) begin + if (!reset) begin buf_byteen_0 = 4'h0; end - if (reset) begin + if (!reset) begin buf_ageQ_3 = 4'h0; end - if (reset) begin + if (!reset) begin _T_1781 = 2'h0; end - if (reset) begin + if (!reset) begin obuf_merge = 1'h0; end - if (reset) begin + if (!reset) begin obuf_tag1 = 2'h0; end - if (reset) begin + if (!reset) begin obuf_valid = 1'h0; end - if (reset) begin + if (!reset) begin obuf_wr_enQ = 1'h0; end - if (reset) begin + if (!reset) begin ibuf_addr = 32'h0; end - if (reset) begin + if (!reset) begin ibuf_write = 1'h0; end - if (reset) begin + if (!reset) begin ibuf_valid = 1'h0; end - if (reset) begin + if (!reset) begin ibuf_byteen = 4'h0; end - if (reset) begin + if (!reset) begin buf_ageQ_2 = 4'h0; end - if (reset) begin + if (!reset) begin buf_ageQ_1 = 4'h0; end - if (reset) begin + if (!reset) begin buf_ageQ_0 = 4'h0; end - if (reset) begin + if (!reset) begin buf_data_0 = 32'h0; end - if (reset) begin + if (!reset) begin buf_data_1 = 32'h0; end - if (reset) begin + if (!reset) begin buf_data_2 = 32'h0; end - if (reset) begin + if (!reset) begin buf_data_3 = 32'h0; end - if (reset) begin + if (!reset) begin ibuf_data = 32'h0; end - if (reset) begin + if (!reset) begin ibuf_timer = 3'h0; end - if (reset) begin + if (!reset) begin ibuf_sideeffect = 1'h0; end - if (reset) begin + if (!reset) begin WrPtr1_r = 2'h0; end - if (reset) begin + if (!reset) begin WrPtr0_r = 2'h0; end - if (reset) begin + if (!reset) begin ibuf_tag = 2'h0; end - if (reset) begin + if (!reset) begin ibuf_dualtag = 2'h0; end - if (reset) begin + if (!reset) begin ibuf_dual = 1'h0; end - if (reset) begin + if (!reset) begin ibuf_samedw = 1'h0; end - if (reset) begin + if (!reset) begin ibuf_nomerge = 1'h0; end - if (reset) begin + if (!reset) begin ibuf_unsign = 1'h0; end - if (reset) begin + if (!reset) begin ibuf_sz = 2'h0; end - if (reset) begin + if (!reset) begin _T_1791 = 1'h0; end - if (reset) begin + if (!reset) begin buf_nomerge_0 = 1'h0; end - if (reset) begin + if (!reset) begin buf_nomerge_1 = 1'h0; end - if (reset) begin + if (!reset) begin buf_nomerge_2 = 1'h0; end - if (reset) begin + if (!reset) begin buf_nomerge_3 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4325 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4322 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4319 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4316 = 1'h0; end - if (reset) begin + if (!reset) begin obuf_sideeffect = 1'h0; end - if (reset) begin + if (!reset) begin buf_dual_3 = 1'h0; end - if (reset) begin + if (!reset) begin buf_dual_2 = 1'h0; end - if (reset) begin + if (!reset) begin buf_dual_1 = 1'h0; end - if (reset) begin + if (!reset) begin buf_dual_0 = 1'h0; end - if (reset) begin + if (!reset) begin buf_samedw_3 = 1'h0; end - if (reset) begin + if (!reset) begin buf_samedw_2 = 1'h0; end - if (reset) begin + if (!reset) begin buf_samedw_1 = 1'h0; end - if (reset) begin + if (!reset) begin buf_samedw_0 = 1'h0; end - if (reset) begin + if (!reset) begin obuf_write = 1'h0; end - if (reset) begin + if (!reset) begin obuf_cmd_done = 1'h0; end - if (reset) begin + if (!reset) begin obuf_data_done = 1'h0; end - if (reset) begin + if (!reset) begin obuf_nosend = 1'h0; end - if (reset) begin + if (!reset) begin obuf_addr = 32'h0; end - if (reset) begin + if (!reset) begin buf_sz_0 = 2'h0; end - if (reset) begin + if (!reset) begin buf_sz_1 = 2'h0; end - if (reset) begin + if (!reset) begin buf_sz_2 = 2'h0; end - if (reset) begin + if (!reset) begin buf_sz_3 = 2'h0; end - if (reset) begin + if (!reset) begin obuf_rdrsp_pend = 1'h0; end - if (reset) begin + if (!reset) begin obuf_rdrsp_tag = 3'h0; end - if (reset) begin + if (!reset) begin buf_dualhi_3 = 1'h0; end - if (reset) begin + if (!reset) begin buf_dualhi_2 = 1'h0; end - if (reset) begin + if (!reset) begin buf_dualhi_1 = 1'h0; end - if (reset) begin + if (!reset) begin buf_dualhi_0 = 1'h0; end - if (reset) begin + if (!reset) begin obuf_sz = 2'h0; end - if (reset) begin + if (!reset) begin obuf_byteen = 8'h0; end - if (reset) begin + if (!reset) begin obuf_data = 64'h0; end - if (reset) begin + if (!reset) begin buf_rspageQ_0 = 4'h0; end - if (reset) begin + if (!reset) begin buf_rspageQ_1 = 4'h0; end - if (reset) begin + if (!reset) begin buf_rspageQ_2 = 4'h0; end - if (reset) begin + if (!reset) begin buf_rspageQ_3 = 4'h0; end - if (reset) begin + if (!reset) begin _T_4302 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4300 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4298 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4296 = 1'h0; end - if (reset) begin + if (!reset) begin buf_ldfwdtag_0 = 2'h0; end - if (reset) begin + if (!reset) begin buf_dualtag_0 = 2'h0; end - if (reset) begin + if (!reset) begin buf_ldfwdtag_3 = 2'h0; end - if (reset) begin + if (!reset) begin buf_ldfwdtag_2 = 2'h0; end - if (reset) begin + if (!reset) begin buf_ldfwdtag_1 = 2'h0; end - if (reset) begin + if (!reset) begin buf_dualtag_1 = 2'h0; end - if (reset) begin + if (!reset) begin buf_dualtag_2 = 2'h0; end - if (reset) begin + if (!reset) begin buf_dualtag_3 = 2'h0; end - if (reset) begin + if (!reset) begin _T_4331 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4334 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4337 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4340 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4406 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4401 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4396 = 1'h0; end - if (reset) begin + if (!reset) begin _T_4391 = 1'h0; end - if (reset) begin + if (!reset) begin lsu_nonblock_load_valid_r = 1'h0; end - if (reset) begin + if (!reset) begin _T_4956 = 1'h0; end `endif // RANDOMIZE @@ -3378,8 +3378,8 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin buf_addr_0 <= 32'h0; end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin @@ -3391,36 +3391,36 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4355 <= 1'h0; end else if (buf_wr_en_3) begin _T_4355 <= buf_write_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4352 <= 1'h0; end else if (buf_wr_en_2) begin _T_4352 <= buf_write_in[2]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4349 <= 1'h0; end else if (buf_wr_en_1) begin _T_4349 <= buf_write_in[1]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4346 <= 1'h0; end else if (buf_wr_en_0) begin _T_4346 <= buf_write_in[0]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin if (_T_3531) begin @@ -3472,8 +3472,8 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin buf_addr_1 <= 32'h0; end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin @@ -3485,8 +3485,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin if (_T_3722) begin @@ -3538,8 +3538,8 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin buf_addr_2 <= 32'h0; end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin @@ -3551,8 +3551,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin if (_T_3913) begin @@ -3604,8 +3604,8 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin buf_addr_3 <= 32'h0; end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin @@ -3617,8 +3617,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin if (_T_4104) begin @@ -3670,8 +3670,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_byteen_3 <= 4'h0; end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin @@ -3683,8 +3683,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_byteen_2 <= 4'h0; end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin @@ -3696,8 +3696,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_byteen_1 <= 4'h0; end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin @@ -3709,8 +3709,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_byteen_0 <= 4'h0; end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin @@ -3722,15 +3722,15 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_ageQ_3 <= 4'h0; end else begin buf_ageQ_3 <= {_T_2474,_T_2397}; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin _T_1781 <= 2'h0; end else if (_T_1780) begin if (ibuf_buf_byp) begin @@ -3740,15 +3740,15 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_merge <= 1'h0; end else if (_T_1780) begin obuf_merge <= obuf_merge_en; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_tag1 <= 2'h0; end else if (_T_1780) begin if (ibuf_buf_byp) begin @@ -3758,22 +3758,22 @@ end // initial end end end - always @(posedge io_lsu_free_c2_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_free_c2_clk or negedge reset) begin + if (!reset) begin obuf_valid <= 1'h0; end else begin obuf_valid <= _T_1771 & _T_1772; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_wr_enQ <= 1'h0; end else if (io_lsu_busm_clken) begin obuf_wr_enQ <= obuf_wr_en; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin ibuf_addr <= 32'h0; end else if (ibuf_wr_en) begin if (io_ldst_dual_r) begin @@ -3783,22 +3783,22 @@ end // initial end end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_write <= 1'h0; end else if (ibuf_wr_en) begin ibuf_write <= io_lsu_pkt_r_bits_store; end end - always @(posedge io_lsu_free_c2_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_free_c2_clk or negedge reset) begin + if (!reset) begin ibuf_valid <= 1'h0; end else begin ibuf_valid <= _T_1005 & _T_1006; end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_byteen <= 4'h0; end else if (ibuf_wr_en) begin if (_T_866) begin @@ -3810,29 +3810,29 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_ageQ_2 <= 4'h0; end else begin buf_ageQ_2 <= {_T_2372,_T_2295}; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_ageQ_1 <= 4'h0; end else begin buf_ageQ_1 <= {_T_2270,_T_2193}; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_ageQ_0 <= 4'h0; end else begin buf_ageQ_0 <= {_T_2168,_T_2091}; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin buf_data_0 <= 32'h0; end else if (buf_data_en_0) begin if (_T_3531) begin @@ -3866,8 +3866,8 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin buf_data_1 <= 32'h0; end else if (buf_data_en_1) begin if (_T_3722) begin @@ -3901,8 +3901,8 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin buf_data_2 <= 32'h0; end else if (buf_data_en_2) begin if (_T_3913) begin @@ -3936,8 +3936,8 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin buf_data_3 <= 32'h0; end else if (buf_data_en_3) begin if (_T_4104) begin @@ -3971,15 +3971,15 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin ibuf_data <= 32'h0; end else if (ibuf_wr_en) begin ibuf_data <= ibuf_data_in; end end - always @(posedge io_lsu_free_c2_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_free_c2_clk or negedge reset) begin + if (!reset) begin ibuf_timer <= 3'h0; end else if (ibuf_wr_en) begin ibuf_timer <= 3'h0; @@ -3987,15 +3987,15 @@ end // initial ibuf_timer <= _T_926; end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_sideeffect <= 1'h0; end else if (ibuf_wr_en) begin ibuf_sideeffect <= io_is_sideeffects_r; end end - always @(posedge io_lsu_c2_r_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_c2_r_clk or negedge reset) begin + if (!reset) begin WrPtr1_r <= 2'h0; end else if (_T_1853) begin WrPtr1_r <= 2'h0; @@ -4007,8 +4007,8 @@ end // initial WrPtr1_r <= 2'h3; end end - always @(posedge io_lsu_c2_r_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_c2_r_clk or negedge reset) begin + if (!reset) begin WrPtr0_r <= 2'h0; end else if (_T_1802) begin WrPtr0_r <= 2'h0; @@ -4020,8 +4020,8 @@ end // initial WrPtr0_r <= 2'h3; end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_tag <= 2'h0; end else if (ibuf_wr_en) begin if (!(_T_866)) begin @@ -4033,113 +4033,113 @@ end // initial end end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_dualtag <= 2'h0; end else if (ibuf_wr_en) begin ibuf_dualtag <= WrPtr0_r; end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_dual <= 1'h0; end else if (ibuf_wr_en) begin ibuf_dual <= io_ldst_dual_r; end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_samedw <= 1'h0; end else if (ibuf_wr_en) begin ibuf_samedw <= ldst_samedw_r; end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_nomerge <= 1'h0; end else if (ibuf_wr_en) begin ibuf_nomerge <= io_no_dword_merge_r; end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_unsign <= 1'h0; end else if (ibuf_wr_en) begin ibuf_unsign <= io_lsu_pkt_r_bits_unsign; end end - always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_ibuf_c1_clk or negedge reset) begin + if (!reset) begin ibuf_sz <= 2'h0; end else if (ibuf_wr_en) begin ibuf_sz <= ibuf_sz_in; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin _T_1791 <= 1'h0; end else if (obuf_wr_en) begin _T_1791 <= obuf_data_done_in; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_nomerge_0 <= 1'h0; end else if (buf_wr_en_0) begin buf_nomerge_0 <= buf_nomerge_in[0]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_nomerge_1 <= 1'h0; end else if (buf_wr_en_1) begin buf_nomerge_1 <= buf_nomerge_in[1]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_nomerge_2 <= 1'h0; end else if (buf_wr_en_2) begin buf_nomerge_2 <= buf_nomerge_in[2]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_nomerge_3 <= 1'h0; end else if (buf_wr_en_3) begin buf_nomerge_3 <= buf_nomerge_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4325 <= 1'h0; end else if (buf_wr_en_3) begin _T_4325 <= buf_sideeffect_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4322 <= 1'h0; end else if (buf_wr_en_2) begin _T_4322 <= buf_sideeffect_in[2]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4319 <= 1'h0; end else if (buf_wr_en_1) begin _T_4319 <= buf_sideeffect_in[1]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4316 <= 1'h0; end else if (buf_wr_en_0) begin _T_4316 <= buf_sideeffect_in[0]; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_sideeffect <= 1'h0; end else if (_T_1780) begin if (ibuf_buf_byp) begin @@ -4149,64 +4149,64 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dual_3 <= 1'h0; end else if (buf_wr_en_3) begin buf_dual_3 <= buf_dual_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dual_2 <= 1'h0; end else if (buf_wr_en_2) begin buf_dual_2 <= buf_dual_in[2]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dual_1 <= 1'h0; end else if (buf_wr_en_1) begin buf_dual_1 <= buf_dual_in[1]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dual_0 <= 1'h0; end else if (buf_wr_en_0) begin buf_dual_0 <= buf_dual_in[0]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_samedw_3 <= 1'h0; end else if (buf_wr_en_3) begin buf_samedw_3 <= buf_samedw_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_samedw_2 <= 1'h0; end else if (buf_wr_en_2) begin buf_samedw_2 <= buf_samedw_in[2]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_samedw_1 <= 1'h0; end else if (buf_wr_en_1) begin buf_samedw_1 <= buf_samedw_in[1]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_samedw_0 <= 1'h0; end else if (buf_wr_en_0) begin buf_samedw_0 <= buf_samedw_in[0]; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_write <= 1'h0; end else if (_T_1780) begin if (ibuf_buf_byp) begin @@ -4216,29 +4216,29 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_cmd_done <= 1'h0; end else if (io_lsu_busm_clken) begin obuf_cmd_done <= obuf_cmd_done_in; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_data_done <= 1'h0; end else if (io_lsu_busm_clken) begin obuf_data_done <= obuf_data_done_in; end end - always @(posedge io_lsu_free_c2_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_free_c2_clk or negedge reset) begin + if (!reset) begin obuf_nosend <= 1'h0; end else if (obuf_wr_en) begin obuf_nosend <= obuf_nosend_in; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_addr <= 32'h0; end else if (obuf_wr_en) begin if (ibuf_buf_byp) begin @@ -4248,8 +4248,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_sz_0 <= 2'h0; end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin @@ -4259,8 +4259,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_sz_1 <= 2'h0; end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin @@ -4270,8 +4270,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_sz_2 <= 2'h0; end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin @@ -4281,8 +4281,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_sz_3 <= 2'h0; end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin @@ -4292,15 +4292,15 @@ end // initial end end end - always @(posedge io_lsu_free_c2_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_free_c2_clk or negedge reset) begin + if (!reset) begin obuf_rdrsp_pend <= 1'h0; end else if (obuf_rdrsp_pend_en) begin obuf_rdrsp_pend <= obuf_rdrsp_pend_in; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_rdrsp_tag <= 3'h0; end else if (io_lsu_busm_clken) begin if (_T_1330) begin @@ -4308,36 +4308,36 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dualhi_3 <= 1'h0; end else if (buf_wr_en_3) begin buf_dualhi_3 <= buf_dualhi_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dualhi_2 <= 1'h0; end else if (buf_wr_en_2) begin buf_dualhi_2 <= buf_dualhi_in[2]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dualhi_1 <= 1'h0; end else if (buf_wr_en_1) begin buf_dualhi_1 <= buf_dualhi_in[1]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dualhi_0 <= 1'h0; end else if (buf_wr_en_0) begin buf_dualhi_0 <= buf_dualhi_in[0]; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_sz <= 2'h0; end else if (_T_1780) begin if (ibuf_buf_byp) begin @@ -4347,50 +4347,50 @@ end // initial end end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_byteen <= 8'h0; end else if (_T_1780) begin obuf_byteen <= obuf_byteen_in; end end - always @(posedge clock or posedge reset) begin - if (reset) begin + always @(posedge clock or negedge reset) begin + if (!reset) begin obuf_data <= 64'h0; end else if (obuf_wr_en) begin obuf_data <= obuf_data_in; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_rspageQ_0 <= 4'h0; end else begin buf_rspageQ_0 <= {_T_3144,_T_3133}; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_rspageQ_1 <= 4'h0; end else begin buf_rspageQ_1 <= {_T_3159,_T_3148}; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_rspageQ_2 <= 4'h0; end else begin buf_rspageQ_2 <= {_T_3174,_T_3163}; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_rspageQ_3 <= 4'h0; end else begin buf_rspageQ_3 <= {_T_3189,_T_3178}; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4302 <= 1'h0; end else if (buf_ldfwd_en_3) begin if (_T_4104) begin @@ -4402,8 +4402,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4300 <= 1'h0; end else if (buf_ldfwd_en_2) begin if (_T_3913) begin @@ -4415,8 +4415,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4298 <= 1'h0; end else if (buf_ldfwd_en_1) begin if (_T_3722) begin @@ -4428,8 +4428,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4296 <= 1'h0; end else if (buf_ldfwd_en_0) begin if (_T_3531) begin @@ -4441,8 +4441,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin if (_T_3531) begin @@ -4456,8 +4456,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dualtag_0 <= 2'h0; end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin @@ -4469,8 +4469,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin if (_T_4104) begin @@ -4484,8 +4484,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin if (_T_3913) begin @@ -4499,8 +4499,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin if (_T_3722) begin @@ -4514,8 +4514,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dualtag_1 <= 2'h0; end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin @@ -4527,8 +4527,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dualtag_2 <= 2'h0; end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin @@ -4540,8 +4540,8 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin buf_dualtag_3 <= 2'h0; end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin @@ -4553,71 +4553,71 @@ end // initial end end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4331 <= 1'h0; end else if (buf_wr_en_0) begin _T_4331 <= buf_unsign_in[0]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4334 <= 1'h0; end else if (buf_wr_en_1) begin _T_4334 <= buf_unsign_in[1]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4337 <= 1'h0; end else if (buf_wr_en_2) begin _T_4337 <= buf_unsign_in[2]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4340 <= 1'h0; end else if (buf_wr_en_3) begin _T_4340 <= buf_unsign_in[3]; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4406 <= 1'h0; end else begin _T_4406 <= _T_4402 & _T_4404; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4401 <= 1'h0; end else begin _T_4401 <= _T_4397 & _T_4399; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4396 <= 1'h0; end else begin _T_4396 <= _T_4392 & _T_4394; end end - always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_bus_buf_c1_clk or negedge reset) begin + if (!reset) begin _T_4391 <= 1'h0; end else begin _T_4391 <= _T_4387 & _T_4389; end end - always @(posedge io_lsu_c2_r_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_c2_r_clk or negedge reset) begin + if (!reset) begin lsu_nonblock_load_valid_r <= 1'h0; end else begin lsu_nonblock_load_valid_r <= io_dctl_busbuff_lsu_nonblock_load_valid_m; end end - always @(posedge io_lsu_c2_r_clk or posedge reset) begin - if (reset) begin + always @(posedge io_lsu_c2_r_clk or negedge reset) begin + if (!reset) begin _T_4956 <= 1'h0; end else begin _T_4956 <= _T_4953 & _T_4513; diff --git a/lsu_bus_intf.fir b/lsu_bus_intf.fir index 3dc4735e..e98a24e7 100644 --- a/lsu_bus_intf.fir +++ b/lsu_bus_intf.fir @@ -4493,86 +4493,89 @@ circuit lsu_bus_intf : node _T_3553 = mux(_T_3550, _T_3551, _T_3552) @[lsu_bus_buffer.scala 446:30] buf_data_in[0] <= _T_3553 @[lsu_bus_buffer.scala 446:24] buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 448:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3554 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3554 : @[Conditional.scala 39:67] - node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 450:25] - node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 451:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_3555 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 451:60] + node _T_3556 = mux(_T_3555, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 451:31] + buf_nxtstate[0] <= _T_3556 @[lsu_bus_buffer.scala 451:25] + node _T_3557 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 452:46] + buf_state_en[0] <= _T_3557 @[lsu_bus_buffer.scala 452:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 454:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3558 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3558 : @[Conditional.scala 39:67] - node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] - node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] - node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 455:104] - node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] - node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 455:25] - node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 456:48] - node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 456:104] - node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 456:91] - node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 456:77] - node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 456:135] - node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] - buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 456:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 457:29] - node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] - node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] - buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 458:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] - node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 460:56] - node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] - node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 460:44] - node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 460:60] - node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] - node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 460:74] - buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 460:25] - node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] - buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 461:28] - node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] - node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 462:67] - node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] - buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 462:24] - node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] - node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 463:68] - node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] - buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 463:25] - node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] - node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 464:85] - node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] - node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] - node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 464:73] - node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 464:30] - buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 464:24] + node _T_3559 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 457:60] + node _T_3560 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 457:89] + node _T_3561 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 457:124] + node _T_3562 = and(_T_3560, _T_3561) @[lsu_bus_buffer.scala 457:104] + node _T_3563 = mux(_T_3562, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 457:75] + node _T_3564 = mux(_T_3559, UInt<3>("h00"), _T_3563) @[lsu_bus_buffer.scala 457:31] + buf_nxtstate[0] <= _T_3564 @[lsu_bus_buffer.scala 457:25] + node _T_3565 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 458:48] + node _T_3566 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 458:104] + node _T_3567 = and(obuf_merge, _T_3566) @[lsu_bus_buffer.scala 458:91] + node _T_3568 = or(_T_3565, _T_3567) @[lsu_bus_buffer.scala 458:77] + node _T_3569 = and(_T_3568, obuf_valid) @[lsu_bus_buffer.scala 458:135] + node _T_3570 = and(_T_3569, obuf_wr_enQ) @[lsu_bus_buffer.scala 458:148] + buf_cmd_state_bus_en[0] <= _T_3570 @[lsu_bus_buffer.scala 458:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 459:29] + node _T_3571 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:49] + node _T_3572 = or(_T_3571, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 460:70] + buf_state_en[0] <= _T_3572 @[lsu_bus_buffer.scala 460:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 461:25] + node _T_3573 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 462:56] + node _T_3574 = eq(_T_3573, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:46] + node _T_3575 = and(buf_state_en[0], _T_3574) @[lsu_bus_buffer.scala 462:44] + node _T_3576 = and(_T_3575, obuf_nosend) @[lsu_bus_buffer.scala 462:60] + node _T_3577 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:76] + node _T_3578 = and(_T_3576, _T_3577) @[lsu_bus_buffer.scala 462:74] + buf_ldfwd_en[0] <= _T_3578 @[lsu_bus_buffer.scala 462:25] + node _T_3579 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 463:46] + buf_ldfwdtag_in[0] <= _T_3579 @[lsu_bus_buffer.scala 463:28] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:47] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 464:67] + node _T_3582 = and(_T_3581, bus_rsp_read) @[lsu_bus_buffer.scala 464:81] + buf_data_en[0] <= _T_3582 @[lsu_bus_buffer.scala 464:24] + node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:48] + node _T_3584 = and(_T_3583, obuf_nosend) @[lsu_bus_buffer.scala 465:68] + node _T_3585 = and(_T_3584, bus_rsp_read_error) @[lsu_bus_buffer.scala 465:82] + buf_error_en[0] <= _T_3585 @[lsu_bus_buffer.scala 465:25] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:61] + node _T_3587 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 466:85] + node _T_3588 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 466:103] + node _T_3589 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:126] + node _T_3590 = mux(_T_3587, _T_3588, _T_3589) @[lsu_bus_buffer.scala 466:73] + node _T_3591 = mux(buf_error_en[0], _T_3586, _T_3590) @[lsu_bus_buffer.scala 466:30] + buf_data_in[0] <= _T_3591 @[lsu_bus_buffer.scala 466:24] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 467:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3592 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3592 : @[Conditional.scala 39:67] - node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:69] - node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] - node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 467:73] - node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 467:57] - node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 467:104] - node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] - node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 468:28] - node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 468:57] - node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] - node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 468:45] - node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] - node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 468:61] - node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 469:27] - node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] - node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] - node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 469:68] - node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 469:97] - node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] - node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 469:85] + node _T_3593 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 470:69] + node _T_3594 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 470:75] + node _T_3595 = and(_T_3593, _T_3594) @[lsu_bus_buffer.scala 470:73] + node _T_3596 = or(io.dec_tlu_force_halt, _T_3595) @[lsu_bus_buffer.scala 470:57] + node _T_3597 = bits(_T_3596, 0, 0) @[lsu_bus_buffer.scala 470:104] + node _T_3598 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3599 = and(buf_dual[0], _T_3598) @[lsu_bus_buffer.scala 471:28] + node _T_3600 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:57] + node _T_3601 = eq(_T_3600, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3602 = and(_T_3599, _T_3601) @[lsu_bus_buffer.scala 471:45] + node _T_3603 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3604 = and(_T_3602, _T_3603) @[lsu_bus_buffer.scala 471:61] + node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:27] + node _T_3606 = or(_T_3605, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3607 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3608 = and(buf_dual[0], _T_3607) @[lsu_bus_buffer.scala 472:68] + node _T_3609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:97] + node _T_3610 = eq(_T_3609, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3611 = and(_T_3608, _T_3610) @[lsu_bus_buffer.scala 472:85] node _T_3612 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3613 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3614 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -4590,159 +4593,162 @@ circuit lsu_bus_intf : node _T_3626 = or(_T_3625, _T_3623) @[Mux.scala 27:72] wire _T_3627 : UInt<1> @[Mux.scala 27:72] _T_3627 <= _T_3626 @[Mux.scala 27:72] - node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 469:101] - node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] - node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 469:138] - node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] - node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 469:53] - node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] - node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 468:14] - node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 467:33] - buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 467:27] - node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 470:73] - node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 470:52] - node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 471:46] - node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:23] - node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 472:47] - node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 472:27] - node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 471:77] - node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 473:26] - node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 473:54] - node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 473:44] - node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 473:42] - node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 473:58] - node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 473:94] - node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 473:74] - node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 472:71] - node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 471:25] - node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 470:105] - buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 470:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 474:29] - node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] - node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] - buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 475:25] - node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] - node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] - buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 476:24] - node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] - node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:111] - node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 477:91] - node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 478:42] - node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 478:31] - node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 478:66] - node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 478:46] - node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 477:143] - node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 479:54] - node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 479:33] - node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 478:88] - node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 477:68] - buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 477:25] - node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] - node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 480:48] - node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 480:84] - node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] - node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] - node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 480:72] - node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] - node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 480:30] - buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 480:24] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] + node _T_3628 = and(_T_3611, _T_3627) @[lsu_bus_buffer.scala 472:101] + node _T_3629 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3630 = and(_T_3628, _T_3629) @[lsu_bus_buffer.scala 472:138] + node _T_3631 = and(_T_3630, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3632 = or(_T_3606, _T_3631) @[lsu_bus_buffer.scala 472:53] + node _T_3633 = mux(_T_3632, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3634 = mux(_T_3604, UInt<3>("h04"), _T_3633) @[lsu_bus_buffer.scala 471:14] + node _T_3635 = mux(_T_3597, UInt<3>("h00"), _T_3634) @[lsu_bus_buffer.scala 470:33] + buf_nxtstate[0] <= _T_3635 @[lsu_bus_buffer.scala 470:27] + node _T_3636 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 473:73] + node _T_3637 = and(bus_rsp_write, _T_3636) @[lsu_bus_buffer.scala 473:52] + node _T_3638 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 474:46] + node _T_3639 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 475:23] + node _T_3640 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 475:47] + node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 475:27] + node _T_3642 = or(_T_3638, _T_3641) @[lsu_bus_buffer.scala 474:77] + node _T_3643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 476:26] + node _T_3644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 476:54] + node _T_3645 = not(_T_3644) @[lsu_bus_buffer.scala 476:44] + node _T_3646 = and(_T_3643, _T_3645) @[lsu_bus_buffer.scala 476:42] + node _T_3647 = and(_T_3646, buf_samedw[0]) @[lsu_bus_buffer.scala 476:58] + node _T_3648 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 476:94] + node _T_3649 = and(_T_3647, _T_3648) @[lsu_bus_buffer.scala 476:74] + node _T_3650 = or(_T_3642, _T_3649) @[lsu_bus_buffer.scala 475:71] + node _T_3651 = and(bus_rsp_read, _T_3650) @[lsu_bus_buffer.scala 474:25] + node _T_3652 = or(_T_3637, _T_3651) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[0] <= _T_3652 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 477:29] + node _T_3653 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3654 = or(_T_3653, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[0] <= _T_3654 @[lsu_bus_buffer.scala 478:25] + node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 479:24] + node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 480:111] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 480:91] + node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:42] + node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 481:31] + node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 481:66] + node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 481:46] + node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 480:143] + node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 482:54] + node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 482:33] + node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 481:88] + node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 480:68] + buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 480:25] + node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 483:48] + node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3672 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3673 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3674 = mux(_T_3671, _T_3672, _T_3673) @[lsu_bus_buffer.scala 483:72] + node _T_3675 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3676 = mux(_T_3670, _T_3674, _T_3675) @[lsu_bus_buffer.scala 483:30] + buf_data_in[0] <= _T_3676 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 485:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3677 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3677 : @[Conditional.scala 39:67] - node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] - node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 484:86] - node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 484:101] - node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 484:101] - node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 484:90] - node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] - node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] - node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 484:31] - buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 484:25] - node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 485:66] - node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 486:21] - node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 486:21] - node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 486:58] - node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 486:38] - node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 485:95] - node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 485:45] - buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 485:29] - node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] - node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] - buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 487:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + node _T_3678 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3679 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 488:86] + node _T_3680 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 488:101] + node _T_3681 = bits(_T_3680, 0, 0) @[lsu_bus_buffer.scala 488:101] + node _T_3682 = or(_T_3679, _T_3681) @[lsu_bus_buffer.scala 488:90] + node _T_3683 = or(_T_3682, any_done_wait_state) @[lsu_bus_buffer.scala 488:118] + node _T_3684 = mux(_T_3683, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:75] + node _T_3685 = mux(_T_3678, UInt<3>("h00"), _T_3684) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[0] <= _T_3685 @[lsu_bus_buffer.scala 488:25] + node _T_3686 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 489:66] + node _T_3687 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 490:21] + node _T_3688 = bits(_T_3687, 0, 0) @[lsu_bus_buffer.scala 490:21] + node _T_3689 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 490:58] + node _T_3690 = and(_T_3688, _T_3689) @[lsu_bus_buffer.scala 490:38] + node _T_3691 = or(_T_3686, _T_3690) @[lsu_bus_buffer.scala 489:95] + node _T_3692 = and(bus_rsp_read, _T_3691) @[lsu_bus_buffer.scala 489:45] + buf_state_bus_en[0] <= _T_3692 @[lsu_bus_buffer.scala 489:29] + node _T_3693 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 491:49] + node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 491:70] + buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 491:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 492:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 493:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3695 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3695 : @[Conditional.scala 39:67] - node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] - node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] - buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 491:25] - node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 492:37] - node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 492:98] - node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 492:80] - node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 492:65] - node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] - buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_3696 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] + node _T_3697 = mux(_T_3696, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] + buf_nxtstate[0] <= _T_3697 @[lsu_bus_buffer.scala 496:25] + node _T_3698 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 497:37] + node _T_3699 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 497:98] + node _T_3700 = and(buf_dual[0], _T_3699) @[lsu_bus_buffer.scala 497:80] + node _T_3701 = or(_T_3698, _T_3700) @[lsu_bus_buffer.scala 497:65] + node _T_3702 = or(_T_3701, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] + buf_state_en[0] <= _T_3702 @[lsu_bus_buffer.scala 497:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 499:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3703 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3703 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] - buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] - buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 500:25] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 504:108] + node _T_3704 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3704 : @[Reg.scala 28:19] _T_3705 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 504:18] - reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] - _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 505:60] - buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 505:17] - reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] - _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 506:63] - buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 506:20] - node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 507:109] + buf_state[0] <= _T_3705 @[lsu_bus_buffer.scala 510:18] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_3706 <= buf_age_in_0 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 511:17] + reg _T_3707 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_3707 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[0] <= _T_3707 @[lsu_bus_buffer.scala 512:20] + node _T_3708 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_3709 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3708 : @[Reg.scala 28:19] _T_3709 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 507:20] - node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 508:74] - node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 508:107] + buf_dualtag[0] <= _T_3709 @[lsu_bus_buffer.scala 513:20] + node _T_3710 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 514:74] + node _T_3711 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_3712 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3711 : @[Reg.scala 28:19] _T_3712 <= _T_3710 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 508:17] - node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 509:78] - node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 509:111] + buf_dual[0] <= _T_3712 @[lsu_bus_buffer.scala 514:17] + node _T_3713 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 515:78] + node _T_3714 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_3715 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3714 : @[Reg.scala 28:19] _T_3715 <= _T_3713 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 509:19] - node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 510:80] - node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:113] + buf_samedw[0] <= _T_3715 @[lsu_bus_buffer.scala 515:19] + node _T_3716 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 516:80] + node _T_3717 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_3718 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3717 : @[Reg.scala 28:19] _T_3718 <= _T_3716 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 510:20] - node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 511:78] - node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:111] + buf_nomerge[0] <= _T_3718 @[lsu_bus_buffer.scala 516:20] + node _T_3719 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 517:78] + node _T_3720 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_3721 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3720 : @[Reg.scala 28:19] _T_3721 <= _T_3719 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 511:19] + buf_dualhi[0] <= _T_3721 @[lsu_bus_buffer.scala 517:19] node _T_3722 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3722 : @[Conditional.scala 40:58] node _T_3723 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] @@ -4773,86 +4779,89 @@ circuit lsu_bus_intf : node _T_3744 = mux(_T_3741, _T_3742, _T_3743) @[lsu_bus_buffer.scala 446:30] buf_data_in[1] <= _T_3744 @[lsu_bus_buffer.scala 446:24] buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 448:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3745 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3745 : @[Conditional.scala 39:67] - node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 450:25] - node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 451:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_3746 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 451:60] + node _T_3747 = mux(_T_3746, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 451:31] + buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 451:25] + node _T_3748 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 452:46] + buf_state_en[1] <= _T_3748 @[lsu_bus_buffer.scala 452:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 454:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3749 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3749 : @[Conditional.scala 39:67] - node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] - node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] - node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 455:104] - node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] - node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 455:25] - node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 456:48] - node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 456:104] - node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 456:91] - node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 456:77] - node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 456:135] - node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] - buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 456:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 457:29] - node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] - node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] - buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 458:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] - node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 460:56] - node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] - node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 460:44] - node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 460:60] - node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] - node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 460:74] - buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 460:25] - node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] - buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 461:28] - node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] - node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 462:67] - node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] - buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 462:24] - node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] - node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 463:68] - node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] - buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 463:25] - node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] - node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 464:85] - node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] - node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] - node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 464:73] - node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 464:30] - buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 464:24] + node _T_3750 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 457:60] + node _T_3751 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 457:89] + node _T_3752 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 457:124] + node _T_3753 = and(_T_3751, _T_3752) @[lsu_bus_buffer.scala 457:104] + node _T_3754 = mux(_T_3753, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 457:75] + node _T_3755 = mux(_T_3750, UInt<3>("h00"), _T_3754) @[lsu_bus_buffer.scala 457:31] + buf_nxtstate[1] <= _T_3755 @[lsu_bus_buffer.scala 457:25] + node _T_3756 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 458:48] + node _T_3757 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 458:104] + node _T_3758 = and(obuf_merge, _T_3757) @[lsu_bus_buffer.scala 458:91] + node _T_3759 = or(_T_3756, _T_3758) @[lsu_bus_buffer.scala 458:77] + node _T_3760 = and(_T_3759, obuf_valid) @[lsu_bus_buffer.scala 458:135] + node _T_3761 = and(_T_3760, obuf_wr_enQ) @[lsu_bus_buffer.scala 458:148] + buf_cmd_state_bus_en[1] <= _T_3761 @[lsu_bus_buffer.scala 458:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 459:29] + node _T_3762 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:49] + node _T_3763 = or(_T_3762, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 460:70] + buf_state_en[1] <= _T_3763 @[lsu_bus_buffer.scala 460:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 461:25] + node _T_3764 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 462:56] + node _T_3765 = eq(_T_3764, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:46] + node _T_3766 = and(buf_state_en[1], _T_3765) @[lsu_bus_buffer.scala 462:44] + node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 462:60] + node _T_3768 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:76] + node _T_3769 = and(_T_3767, _T_3768) @[lsu_bus_buffer.scala 462:74] + buf_ldfwd_en[1] <= _T_3769 @[lsu_bus_buffer.scala 462:25] + node _T_3770 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 463:46] + buf_ldfwdtag_in[1] <= _T_3770 @[lsu_bus_buffer.scala 463:28] + node _T_3771 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:47] + node _T_3772 = and(_T_3771, obuf_nosend) @[lsu_bus_buffer.scala 464:67] + node _T_3773 = and(_T_3772, bus_rsp_read) @[lsu_bus_buffer.scala 464:81] + buf_data_en[1] <= _T_3773 @[lsu_bus_buffer.scala 464:24] + node _T_3774 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:48] + node _T_3775 = and(_T_3774, obuf_nosend) @[lsu_bus_buffer.scala 465:68] + node _T_3776 = and(_T_3775, bus_rsp_read_error) @[lsu_bus_buffer.scala 465:82] + buf_error_en[1] <= _T_3776 @[lsu_bus_buffer.scala 465:25] + node _T_3777 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:61] + node _T_3778 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 466:85] + node _T_3779 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 466:103] + node _T_3780 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:126] + node _T_3781 = mux(_T_3778, _T_3779, _T_3780) @[lsu_bus_buffer.scala 466:73] + node _T_3782 = mux(buf_error_en[1], _T_3777, _T_3781) @[lsu_bus_buffer.scala 466:30] + buf_data_in[1] <= _T_3782 @[lsu_bus_buffer.scala 466:24] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 467:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3783 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3783 : @[Conditional.scala 39:67] - node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:69] - node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] - node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 467:73] - node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 467:57] - node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 467:104] - node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] - node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 468:28] - node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 468:57] - node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] - node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 468:45] - node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] - node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 468:61] - node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 469:27] - node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] - node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] - node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 469:68] - node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 469:97] - node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] - node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 469:85] + node _T_3784 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 470:69] + node _T_3785 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 470:75] + node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 470:73] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 470:57] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 470:104] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 471:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 471:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 471:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 472:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 472:85] node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -4870,159 +4879,162 @@ circuit lsu_bus_intf : node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] wire _T_3818 : UInt<1> @[Mux.scala 27:72] _T_3818 <= _T_3817 @[Mux.scala 27:72] - node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 469:101] - node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] - node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 469:138] - node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] - node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 469:53] - node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] - node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 468:14] - node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 467:33] - buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 467:27] - node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 470:73] - node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 470:52] - node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 471:46] - node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:23] - node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 472:47] - node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 472:27] - node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 471:77] - node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 473:26] - node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 473:54] - node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 473:44] - node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 473:42] - node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 473:58] - node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 473:94] - node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 473:74] - node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 472:71] - node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 471:25] - node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 470:105] - buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 470:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 474:29] - node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] - node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] - buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 475:25] - node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] - node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] - buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 476:24] - node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] - node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:111] - node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 477:91] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 478:42] - node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 478:31] - node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 478:66] - node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 478:46] - node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 477:143] - node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 479:54] - node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 479:33] - node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 478:88] - node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 477:68] - buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 477:25] - node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] - node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 480:48] - node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 480:84] - node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] - node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] - node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 480:72] - node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] - node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 480:30] - buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 480:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 472:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 472:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 472:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 471:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 470:33] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 470:27] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 473:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 473:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 474:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 475:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 475:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 475:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 474:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 476:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 476:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 476:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 476:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 476:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 476:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 476:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 475:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 474:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 477:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 478:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 479:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 480:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 480:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 481:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 481:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 481:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 480:143] + node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 482:54] + node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 482:33] + node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 481:88] + node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 480:68] + buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 480:25] + node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 483:48] + node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3863 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3864 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3865 = mux(_T_3862, _T_3863, _T_3864) @[lsu_bus_buffer.scala 483:72] + node _T_3866 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3867 = mux(_T_3861, _T_3865, _T_3866) @[lsu_bus_buffer.scala 483:30] + buf_data_in[1] <= _T_3867 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 485:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3868 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3868 : @[Conditional.scala 39:67] - node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] - node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 484:86] - node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 484:101] - node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 484:101] - node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 484:90] - node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] - node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] - node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 484:31] - buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 484:25] - node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 485:66] - node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 486:21] - node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 486:21] - node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 486:58] - node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 486:38] - node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 485:95] - node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 485:45] - buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 485:29] - node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] - node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] - buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 487:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + node _T_3869 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3870 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 488:86] + node _T_3871 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 488:101] + node _T_3872 = bits(_T_3871, 0, 0) @[lsu_bus_buffer.scala 488:101] + node _T_3873 = or(_T_3870, _T_3872) @[lsu_bus_buffer.scala 488:90] + node _T_3874 = or(_T_3873, any_done_wait_state) @[lsu_bus_buffer.scala 488:118] + node _T_3875 = mux(_T_3874, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:75] + node _T_3876 = mux(_T_3869, UInt<3>("h00"), _T_3875) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[1] <= _T_3876 @[lsu_bus_buffer.scala 488:25] + node _T_3877 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 489:66] + node _T_3878 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 490:21] + node _T_3879 = bits(_T_3878, 0, 0) @[lsu_bus_buffer.scala 490:21] + node _T_3880 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 490:58] + node _T_3881 = and(_T_3879, _T_3880) @[lsu_bus_buffer.scala 490:38] + node _T_3882 = or(_T_3877, _T_3881) @[lsu_bus_buffer.scala 489:95] + node _T_3883 = and(bus_rsp_read, _T_3882) @[lsu_bus_buffer.scala 489:45] + buf_state_bus_en[1] <= _T_3883 @[lsu_bus_buffer.scala 489:29] + node _T_3884 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 491:49] + node _T_3885 = or(_T_3884, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 491:70] + buf_state_en[1] <= _T_3885 @[lsu_bus_buffer.scala 491:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 492:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 493:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3886 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3886 : @[Conditional.scala 39:67] - node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] - node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] - buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 491:25] - node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 492:37] - node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 492:98] - node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 492:80] - node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 492:65] - node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] - buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_3887 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] + node _T_3888 = mux(_T_3887, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] + buf_nxtstate[1] <= _T_3888 @[lsu_bus_buffer.scala 496:25] + node _T_3889 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 497:37] + node _T_3890 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 497:98] + node _T_3891 = and(buf_dual[1], _T_3890) @[lsu_bus_buffer.scala 497:80] + node _T_3892 = or(_T_3889, _T_3891) @[lsu_bus_buffer.scala 497:65] + node _T_3893 = or(_T_3892, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] + buf_state_en[1] <= _T_3893 @[lsu_bus_buffer.scala 497:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 499:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3894 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3894 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] - buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] - buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 500:25] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 504:108] + node _T_3895 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_3896 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3895 : @[Reg.scala 28:19] _T_3896 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 504:18] - reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] - _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 505:60] - buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 505:17] - reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] - _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 506:63] - buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 506:20] - node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 507:109] + buf_state[1] <= _T_3896 @[lsu_bus_buffer.scala 510:18] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_3897 <= buf_age_in_1 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[1] <= _T_3897 @[lsu_bus_buffer.scala 511:17] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_3898 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 512:20] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_3900 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3899 : @[Reg.scala 28:19] _T_3900 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 507:20] - node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 508:74] - node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 508:107] + buf_dualtag[1] <= _T_3900 @[lsu_bus_buffer.scala 513:20] + node _T_3901 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 514:74] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3902 : @[Reg.scala 28:19] _T_3903 <= _T_3901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 508:17] - node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 509:78] - node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 509:111] + buf_dual[1] <= _T_3903 @[lsu_bus_buffer.scala 514:17] + node _T_3904 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 515:78] + node _T_3905 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_3906 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3905 : @[Reg.scala 28:19] _T_3906 <= _T_3904 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 509:19] - node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 510:80] - node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:113] + buf_samedw[1] <= _T_3906 @[lsu_bus_buffer.scala 515:19] + node _T_3907 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 516:80] + node _T_3908 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_3909 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3908 : @[Reg.scala 28:19] _T_3909 <= _T_3907 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 510:20] - node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 511:78] - node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:111] + buf_nomerge[1] <= _T_3909 @[lsu_bus_buffer.scala 516:20] + node _T_3910 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 517:78] + node _T_3911 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_3912 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3911 : @[Reg.scala 28:19] _T_3912 <= _T_3910 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 511:19] + buf_dualhi[1] <= _T_3912 @[lsu_bus_buffer.scala 517:19] node _T_3913 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3913 : @[Conditional.scala 40:58] node _T_3914 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] @@ -5053,86 +5065,89 @@ circuit lsu_bus_intf : node _T_3935 = mux(_T_3932, _T_3933, _T_3934) @[lsu_bus_buffer.scala 446:30] buf_data_in[2] <= _T_3935 @[lsu_bus_buffer.scala 446:24] buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 448:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3936 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3936 : @[Conditional.scala 39:67] - node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 450:25] - node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 451:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 451:60] + node _T_3938 = mux(_T_3937, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 451:31] + buf_nxtstate[2] <= _T_3938 @[lsu_bus_buffer.scala 451:25] + node _T_3939 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 452:46] + buf_state_en[2] <= _T_3939 @[lsu_bus_buffer.scala 452:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 454:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3940 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3940 : @[Conditional.scala 39:67] - node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] - node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] - node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 455:104] - node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] - node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 455:25] - node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 456:48] - node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 456:104] - node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 456:91] - node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 456:77] - node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 456:135] - node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] - buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 456:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 457:29] - node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] - node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] - buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 458:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] - node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 460:56] - node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] - node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 460:44] - node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 460:60] - node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] - node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 460:74] - buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 460:25] - node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] - buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 461:28] - node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] - node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 462:67] - node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] - buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 462:24] - node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] - node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 463:68] - node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] - buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 463:25] - node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] - node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 464:85] - node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] - node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] - node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 464:73] - node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 464:30] - buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 464:24] + node _T_3941 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 457:60] + node _T_3942 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 457:89] + node _T_3943 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 457:124] + node _T_3944 = and(_T_3942, _T_3943) @[lsu_bus_buffer.scala 457:104] + node _T_3945 = mux(_T_3944, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 457:75] + node _T_3946 = mux(_T_3941, UInt<3>("h00"), _T_3945) @[lsu_bus_buffer.scala 457:31] + buf_nxtstate[2] <= _T_3946 @[lsu_bus_buffer.scala 457:25] + node _T_3947 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 458:48] + node _T_3948 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 458:104] + node _T_3949 = and(obuf_merge, _T_3948) @[lsu_bus_buffer.scala 458:91] + node _T_3950 = or(_T_3947, _T_3949) @[lsu_bus_buffer.scala 458:77] + node _T_3951 = and(_T_3950, obuf_valid) @[lsu_bus_buffer.scala 458:135] + node _T_3952 = and(_T_3951, obuf_wr_enQ) @[lsu_bus_buffer.scala 458:148] + buf_cmd_state_bus_en[2] <= _T_3952 @[lsu_bus_buffer.scala 458:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 459:29] + node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:49] + node _T_3954 = or(_T_3953, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 460:70] + buf_state_en[2] <= _T_3954 @[lsu_bus_buffer.scala 460:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 461:25] + node _T_3955 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 462:56] + node _T_3956 = eq(_T_3955, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:46] + node _T_3957 = and(buf_state_en[2], _T_3956) @[lsu_bus_buffer.scala 462:44] + node _T_3958 = and(_T_3957, obuf_nosend) @[lsu_bus_buffer.scala 462:60] + node _T_3959 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:76] + node _T_3960 = and(_T_3958, _T_3959) @[lsu_bus_buffer.scala 462:74] + buf_ldfwd_en[2] <= _T_3960 @[lsu_bus_buffer.scala 462:25] + node _T_3961 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 463:46] + buf_ldfwdtag_in[2] <= _T_3961 @[lsu_bus_buffer.scala 463:28] + node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:47] + node _T_3963 = and(_T_3962, obuf_nosend) @[lsu_bus_buffer.scala 464:67] + node _T_3964 = and(_T_3963, bus_rsp_read) @[lsu_bus_buffer.scala 464:81] + buf_data_en[2] <= _T_3964 @[lsu_bus_buffer.scala 464:24] + node _T_3965 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:48] + node _T_3966 = and(_T_3965, obuf_nosend) @[lsu_bus_buffer.scala 465:68] + node _T_3967 = and(_T_3966, bus_rsp_read_error) @[lsu_bus_buffer.scala 465:82] + buf_error_en[2] <= _T_3967 @[lsu_bus_buffer.scala 465:25] + node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:61] + node _T_3969 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 466:85] + node _T_3970 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 466:103] + node _T_3971 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:126] + node _T_3972 = mux(_T_3969, _T_3970, _T_3971) @[lsu_bus_buffer.scala 466:73] + node _T_3973 = mux(buf_error_en[2], _T_3968, _T_3972) @[lsu_bus_buffer.scala 466:30] + buf_data_in[2] <= _T_3973 @[lsu_bus_buffer.scala 466:24] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 467:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3974 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3974 : @[Conditional.scala 39:67] - node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:69] - node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] - node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 467:73] - node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 467:57] - node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 467:104] - node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] - node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 468:28] - node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 468:57] - node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] - node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 468:45] - node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] - node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 468:61] - node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 469:27] - node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] - node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] - node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 469:68] - node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 469:97] - node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] - node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 469:85] + node _T_3975 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 470:69] + node _T_3976 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 470:75] + node _T_3977 = and(_T_3975, _T_3976) @[lsu_bus_buffer.scala 470:73] + node _T_3978 = or(io.dec_tlu_force_halt, _T_3977) @[lsu_bus_buffer.scala 470:57] + node _T_3979 = bits(_T_3978, 0, 0) @[lsu_bus_buffer.scala 470:104] + node _T_3980 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3981 = and(buf_dual[2], _T_3980) @[lsu_bus_buffer.scala 471:28] + node _T_3982 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:57] + node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3984 = and(_T_3981, _T_3983) @[lsu_bus_buffer.scala 471:45] + node _T_3985 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3986 = and(_T_3984, _T_3985) @[lsu_bus_buffer.scala 471:61] + node _T_3987 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:27] + node _T_3988 = or(_T_3987, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3989 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3990 = and(buf_dual[2], _T_3989) @[lsu_bus_buffer.scala 472:68] + node _T_3991 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:97] + node _T_3992 = eq(_T_3991, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3993 = and(_T_3990, _T_3992) @[lsu_bus_buffer.scala 472:85] node _T_3994 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_3995 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_3996 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -5150,159 +5165,162 @@ circuit lsu_bus_intf : node _T_4008 = or(_T_4007, _T_4005) @[Mux.scala 27:72] wire _T_4009 : UInt<1> @[Mux.scala 27:72] _T_4009 <= _T_4008 @[Mux.scala 27:72] - node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 469:101] - node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] - node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 469:138] - node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] - node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 469:53] - node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] - node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 468:14] - node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 467:33] - buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 467:27] - node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 470:73] - node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 470:52] - node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 471:46] - node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:23] - node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 472:47] - node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 472:27] - node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 471:77] - node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 473:26] - node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 473:54] - node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 473:44] - node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 473:42] - node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 473:58] - node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 473:94] - node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 473:74] - node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 472:71] - node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 471:25] - node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 470:105] - buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 470:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 474:29] - node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] - node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] - buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 475:25] - node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] - node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] - buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 476:24] - node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] - node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:111] - node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 477:91] - node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 478:42] - node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 478:31] - node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 478:66] - node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 478:46] - node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 477:143] - node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 479:54] - node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 479:33] - node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 478:88] - node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 477:68] - buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 477:25] - node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] - node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 480:48] - node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 480:84] - node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] - node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] - node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 480:72] - node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] - node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 480:30] - buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 480:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] + node _T_4010 = and(_T_3993, _T_4009) @[lsu_bus_buffer.scala 472:101] + node _T_4011 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4012 = and(_T_4010, _T_4011) @[lsu_bus_buffer.scala 472:138] + node _T_4013 = and(_T_4012, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4014 = or(_T_3988, _T_4013) @[lsu_bus_buffer.scala 472:53] + node _T_4015 = mux(_T_4014, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4016 = mux(_T_3986, UInt<3>("h04"), _T_4015) @[lsu_bus_buffer.scala 471:14] + node _T_4017 = mux(_T_3979, UInt<3>("h00"), _T_4016) @[lsu_bus_buffer.scala 470:33] + buf_nxtstate[2] <= _T_4017 @[lsu_bus_buffer.scala 470:27] + node _T_4018 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 473:73] + node _T_4019 = and(bus_rsp_write, _T_4018) @[lsu_bus_buffer.scala 473:52] + node _T_4020 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 474:46] + node _T_4021 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 475:23] + node _T_4022 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 475:47] + node _T_4023 = and(_T_4021, _T_4022) @[lsu_bus_buffer.scala 475:27] + node _T_4024 = or(_T_4020, _T_4023) @[lsu_bus_buffer.scala 474:77] + node _T_4025 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 476:26] + node _T_4026 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 476:54] + node _T_4027 = not(_T_4026) @[lsu_bus_buffer.scala 476:44] + node _T_4028 = and(_T_4025, _T_4027) @[lsu_bus_buffer.scala 476:42] + node _T_4029 = and(_T_4028, buf_samedw[2]) @[lsu_bus_buffer.scala 476:58] + node _T_4030 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 476:94] + node _T_4031 = and(_T_4029, _T_4030) @[lsu_bus_buffer.scala 476:74] + node _T_4032 = or(_T_4024, _T_4031) @[lsu_bus_buffer.scala 475:71] + node _T_4033 = and(bus_rsp_read, _T_4032) @[lsu_bus_buffer.scala 474:25] + node _T_4034 = or(_T_4019, _T_4033) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[2] <= _T_4034 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 477:29] + node _T_4035 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4036 = or(_T_4035, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[2] <= _T_4036 @[lsu_bus_buffer.scala 478:25] + node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 479:24] + node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 480:111] + node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 480:91] + node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:42] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 481:31] + node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 481:66] + node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 481:46] + node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 480:143] + node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 482:54] + node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 482:33] + node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 481:88] + node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 480:68] + buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 480:25] + node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 483:48] + node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4054 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4055 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4056 = mux(_T_4053, _T_4054, _T_4055) @[lsu_bus_buffer.scala 483:72] + node _T_4057 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4058 = mux(_T_4052, _T_4056, _T_4057) @[lsu_bus_buffer.scala 483:30] + buf_data_in[2] <= _T_4058 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 485:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4059 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4059 : @[Conditional.scala 39:67] - node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] - node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 484:86] - node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 484:101] - node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 484:101] - node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 484:90] - node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] - node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] - node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 484:31] - buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 484:25] - node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 485:66] - node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 486:21] - node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 486:21] - node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 486:58] - node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 486:38] - node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 485:95] - node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 485:45] - buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 485:29] - node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] - node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] - buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 487:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + node _T_4060 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4061 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 488:86] + node _T_4062 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 488:101] + node _T_4063 = bits(_T_4062, 0, 0) @[lsu_bus_buffer.scala 488:101] + node _T_4064 = or(_T_4061, _T_4063) @[lsu_bus_buffer.scala 488:90] + node _T_4065 = or(_T_4064, any_done_wait_state) @[lsu_bus_buffer.scala 488:118] + node _T_4066 = mux(_T_4065, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:75] + node _T_4067 = mux(_T_4060, UInt<3>("h00"), _T_4066) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[2] <= _T_4067 @[lsu_bus_buffer.scala 488:25] + node _T_4068 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 489:66] + node _T_4069 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 490:21] + node _T_4070 = bits(_T_4069, 0, 0) @[lsu_bus_buffer.scala 490:21] + node _T_4071 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 490:58] + node _T_4072 = and(_T_4070, _T_4071) @[lsu_bus_buffer.scala 490:38] + node _T_4073 = or(_T_4068, _T_4072) @[lsu_bus_buffer.scala 489:95] + node _T_4074 = and(bus_rsp_read, _T_4073) @[lsu_bus_buffer.scala 489:45] + buf_state_bus_en[2] <= _T_4074 @[lsu_bus_buffer.scala 489:29] + node _T_4075 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 491:49] + node _T_4076 = or(_T_4075, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 491:70] + buf_state_en[2] <= _T_4076 @[lsu_bus_buffer.scala 491:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 492:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 493:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] - node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] - buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 491:25] - node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 492:37] - node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 492:98] - node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 492:80] - node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 492:65] - node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] - buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] + node _T_4079 = mux(_T_4078, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] + buf_nxtstate[2] <= _T_4079 @[lsu_bus_buffer.scala 496:25] + node _T_4080 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 497:37] + node _T_4081 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 497:98] + node _T_4082 = and(buf_dual[2], _T_4081) @[lsu_bus_buffer.scala 497:80] + node _T_4083 = or(_T_4080, _T_4082) @[lsu_bus_buffer.scala 497:65] + node _T_4084 = or(_T_4083, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] + buf_state_en[2] <= _T_4084 @[lsu_bus_buffer.scala 497:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 499:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4085 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4085 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] - buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] - buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 500:25] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 504:108] + node _T_4086 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4086 : @[Reg.scala 28:19] _T_4087 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 504:18] - reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] - _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 505:60] - buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 505:17] - reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] - _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 506:63] - buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 506:20] - node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 507:109] + buf_state[2] <= _T_4087 @[lsu_bus_buffer.scala 510:18] + reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_4088 <= buf_age_in_2 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[2] <= _T_4088 @[lsu_bus_buffer.scala 511:17] + reg _T_4089 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_4089 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[2] <= _T_4089 @[lsu_bus_buffer.scala 512:20] + node _T_4090 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4090 : @[Reg.scala 28:19] _T_4091 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 507:20] - node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 508:74] - node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 508:107] + buf_dualtag[2] <= _T_4091 @[lsu_bus_buffer.scala 513:20] + node _T_4092 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 514:74] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_4094 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4093 : @[Reg.scala 28:19] _T_4094 <= _T_4092 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 508:17] - node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 509:78] - node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 509:111] + buf_dual[2] <= _T_4094 @[lsu_bus_buffer.scala 514:17] + node _T_4095 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 515:78] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4096 : @[Reg.scala 28:19] _T_4097 <= _T_4095 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 509:19] - node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 510:80] - node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:113] + buf_samedw[2] <= _T_4097 @[lsu_bus_buffer.scala 515:19] + node _T_4098 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 516:80] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4099 : @[Reg.scala 28:19] _T_4100 <= _T_4098 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 510:20] - node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 511:78] - node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:111] + buf_nomerge[2] <= _T_4100 @[lsu_bus_buffer.scala 516:20] + node _T_4101 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 517:78] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4102 : @[Reg.scala 28:19] _T_4103 <= _T_4101 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 511:19] + buf_dualhi[2] <= _T_4103 @[lsu_bus_buffer.scala 517:19] node _T_4104 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4104 : @[Conditional.scala 40:58] node _T_4105 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] @@ -5333,86 +5351,89 @@ circuit lsu_bus_intf : node _T_4126 = mux(_T_4123, _T_4124, _T_4125) @[lsu_bus_buffer.scala 446:30] buf_data_in[3] <= _T_4126 @[lsu_bus_buffer.scala 446:24] buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 447:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 448:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4127 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4127 : @[Conditional.scala 39:67] - node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] - node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] - buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 450:25] - node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] - buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 451:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 452:34] + node _T_4128 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 451:60] + node _T_4129 = mux(_T_4128, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 451:31] + buf_nxtstate[3] <= _T_4129 @[lsu_bus_buffer.scala 451:25] + node _T_4130 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 452:46] + buf_state_en[3] <= _T_4130 @[lsu_bus_buffer.scala 452:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 453:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 454:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4131 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4131 : @[Conditional.scala 39:67] - node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 455:60] - node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 455:89] - node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 455:124] - node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 455:104] - node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 455:75] - node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 455:31] - buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 455:25] - node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 456:48] - node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 456:104] - node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 456:91] - node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 456:77] - node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 456:135] - node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 456:148] - buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 456:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 457:29] - node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 458:49] - node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 458:70] - buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 458:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 459:25] - node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 460:56] - node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:46] - node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 460:44] - node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 460:60] - node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 460:76] - node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 460:74] - buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 460:25] - node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 461:46] - buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 461:28] - node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:47] - node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 462:67] - node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 462:81] - buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 462:24] - node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 463:48] - node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 463:68] - node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 463:82] - buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 463:25] - node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:61] - node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 464:85] - node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 464:103] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 464:126] - node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 464:73] - node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 464:30] - buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 464:24] + node _T_4132 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 457:60] + node _T_4133 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 457:89] + node _T_4134 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 457:124] + node _T_4135 = and(_T_4133, _T_4134) @[lsu_bus_buffer.scala 457:104] + node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 457:75] + node _T_4137 = mux(_T_4132, UInt<3>("h00"), _T_4136) @[lsu_bus_buffer.scala 457:31] + buf_nxtstate[3] <= _T_4137 @[lsu_bus_buffer.scala 457:25] + node _T_4138 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 458:48] + node _T_4139 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 458:104] + node _T_4140 = and(obuf_merge, _T_4139) @[lsu_bus_buffer.scala 458:91] + node _T_4141 = or(_T_4138, _T_4140) @[lsu_bus_buffer.scala 458:77] + node _T_4142 = and(_T_4141, obuf_valid) @[lsu_bus_buffer.scala 458:135] + node _T_4143 = and(_T_4142, obuf_wr_enQ) @[lsu_bus_buffer.scala 458:148] + buf_cmd_state_bus_en[3] <= _T_4143 @[lsu_bus_buffer.scala 458:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 459:29] + node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:49] + node _T_4145 = or(_T_4144, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 460:70] + buf_state_en[3] <= _T_4145 @[lsu_bus_buffer.scala 460:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 461:25] + node _T_4146 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 462:56] + node _T_4147 = eq(_T_4146, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:46] + node _T_4148 = and(buf_state_en[3], _T_4147) @[lsu_bus_buffer.scala 462:44] + node _T_4149 = and(_T_4148, obuf_nosend) @[lsu_bus_buffer.scala 462:60] + node _T_4150 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 462:76] + node _T_4151 = and(_T_4149, _T_4150) @[lsu_bus_buffer.scala 462:74] + buf_ldfwd_en[3] <= _T_4151 @[lsu_bus_buffer.scala 462:25] + node _T_4152 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 463:46] + buf_ldfwdtag_in[3] <= _T_4152 @[lsu_bus_buffer.scala 463:28] + node _T_4153 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 464:47] + node _T_4154 = and(_T_4153, obuf_nosend) @[lsu_bus_buffer.scala 464:67] + node _T_4155 = and(_T_4154, bus_rsp_read) @[lsu_bus_buffer.scala 464:81] + buf_data_en[3] <= _T_4155 @[lsu_bus_buffer.scala 464:24] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:48] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 465:68] + node _T_4158 = and(_T_4157, bus_rsp_read_error) @[lsu_bus_buffer.scala 465:82] + buf_error_en[3] <= _T_4158 @[lsu_bus_buffer.scala 465:25] + node _T_4159 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:61] + node _T_4160 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 466:85] + node _T_4161 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 466:103] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 466:126] + node _T_4163 = mux(_T_4160, _T_4161, _T_4162) @[lsu_bus_buffer.scala 466:73] + node _T_4164 = mux(buf_error_en[3], _T_4159, _T_4163) @[lsu_bus_buffer.scala 466:30] + buf_data_in[3] <= _T_4164 @[lsu_bus_buffer.scala 466:24] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 467:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4165 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4165 : @[Conditional.scala 39:67] - node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:69] - node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:75] - node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 467:73] - node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 467:57] - node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 467:104] - node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:30] - node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 468:28] - node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 468:57] - node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:47] - node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 468:45] - node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:90] - node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 468:61] - node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 469:27] - node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 469:31] - node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 469:70] - node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 469:68] - node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 469:97] - node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 469:87] - node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 469:85] + node _T_4166 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 470:69] + node _T_4167 = eq(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 470:75] + node _T_4168 = and(_T_4166, _T_4167) @[lsu_bus_buffer.scala 470:73] + node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[lsu_bus_buffer.scala 470:57] + node _T_4170 = bits(_T_4169, 0, 0) @[lsu_bus_buffer.scala 470:104] + node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_4172 = and(buf_dual[3], _T_4171) @[lsu_bus_buffer.scala 471:28] + node _T_4173 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:57] + node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_4175 = and(_T_4172, _T_4174) @[lsu_bus_buffer.scala 471:45] + node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_4177 = and(_T_4175, _T_4176) @[lsu_bus_buffer.scala 471:61] + node _T_4178 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:27] + node _T_4179 = or(_T_4178, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_4181 = and(buf_dual[3], _T_4180) @[lsu_bus_buffer.scala 472:68] + node _T_4182 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:97] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_4184 = and(_T_4181, _T_4183) @[lsu_bus_buffer.scala 472:85] node _T_4185 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] node _T_4186 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] node _T_4187 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] @@ -5430,175 +5451,178 @@ circuit lsu_bus_intf : node _T_4199 = or(_T_4198, _T_4196) @[Mux.scala 27:72] wire _T_4200 : UInt<1> @[Mux.scala 27:72] _T_4200 <= _T_4199 @[Mux.scala 27:72] - node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 469:101] - node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 469:167] - node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 469:138] - node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 469:187] - node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 469:53] - node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 469:16] - node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 468:14] - node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 467:33] - buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 467:27] - node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 470:73] - node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 470:52] - node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 471:46] - node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:23] - node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 472:47] - node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 472:27] - node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 471:77] - node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 473:26] - node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 473:54] - node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 473:44] - node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 473:42] - node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 473:58] - node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 473:94] - node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 473:74] - node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 472:71] - node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 471:25] - node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 470:105] - buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 470:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 474:29] - node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:49] - node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 475:70] - buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 475:25] - node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 476:47] - node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:62] - buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 476:24] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 477:48] - node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:111] - node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 477:91] - node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 478:42] - node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 478:31] - node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 478:66] - node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 478:46] - node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 477:143] - node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 479:54] - node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 479:33] - node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 478:88] - node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 477:68] - buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 477:25] - node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 480:50] - node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 480:48] - node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 480:84] - node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 480:102] - node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:125] - node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 480:72] - node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 480:148] - node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 480:30] - buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 480:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 481:34] + node _T_4201 = and(_T_4184, _T_4200) @[lsu_bus_buffer.scala 472:101] + node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 472:138] + node _T_4204 = and(_T_4203, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4205 = or(_T_4179, _T_4204) @[lsu_bus_buffer.scala 472:53] + node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[lsu_bus_buffer.scala 471:14] + node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[lsu_bus_buffer.scala 470:33] + buf_nxtstate[3] <= _T_4208 @[lsu_bus_buffer.scala 470:27] + node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 473:73] + node _T_4210 = and(bus_rsp_write, _T_4209) @[lsu_bus_buffer.scala 473:52] + node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 474:46] + node _T_4212 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 475:23] + node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 475:47] + node _T_4214 = and(_T_4212, _T_4213) @[lsu_bus_buffer.scala 475:27] + node _T_4215 = or(_T_4211, _T_4214) @[lsu_bus_buffer.scala 474:77] + node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 476:26] + node _T_4217 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 476:54] + node _T_4218 = not(_T_4217) @[lsu_bus_buffer.scala 476:44] + node _T_4219 = and(_T_4216, _T_4218) @[lsu_bus_buffer.scala 476:42] + node _T_4220 = and(_T_4219, buf_samedw[3]) @[lsu_bus_buffer.scala 476:58] + node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 476:94] + node _T_4222 = and(_T_4220, _T_4221) @[lsu_bus_buffer.scala 476:74] + node _T_4223 = or(_T_4215, _T_4222) @[lsu_bus_buffer.scala 475:71] + node _T_4224 = and(bus_rsp_read, _T_4223) @[lsu_bus_buffer.scala 474:25] + node _T_4225 = or(_T_4210, _T_4224) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[3] <= _T_4225 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 477:29] + node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[3] <= _T_4227 @[lsu_bus_buffer.scala 478:25] + node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 479:24] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 480:111] + node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 480:91] + node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:42] + node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 481:31] + node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 481:66] + node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 481:46] + node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 480:143] + node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 482:54] + node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 482:33] + node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 481:88] + node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 480:68] + buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 480:25] + node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 483:48] + node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4245 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4246 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4247 = mux(_T_4244, _T_4245, _T_4246) @[lsu_bus_buffer.scala 483:72] + node _T_4248 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4249 = mux(_T_4243, _T_4247, _T_4248) @[lsu_bus_buffer.scala 483:30] + buf_data_in[3] <= _T_4249 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 485:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4250 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4250 : @[Conditional.scala 39:67] - node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 484:60] - node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 484:86] - node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 484:101] - node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 484:101] - node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 484:90] - node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 484:118] - node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 484:75] - node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 484:31] - buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 484:25] - node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 485:66] - node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 486:21] - node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 486:21] - node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 486:58] - node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 486:38] - node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 485:95] - node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 485:45] - buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 485:29] - node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 487:49] - node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 487:70] - buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 487:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 488:34] + node _T_4251 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4252 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 488:86] + node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 488:101] + node _T_4254 = bits(_T_4253, 0, 0) @[lsu_bus_buffer.scala 488:101] + node _T_4255 = or(_T_4252, _T_4254) @[lsu_bus_buffer.scala 488:90] + node _T_4256 = or(_T_4255, any_done_wait_state) @[lsu_bus_buffer.scala 488:118] + node _T_4257 = mux(_T_4256, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:75] + node _T_4258 = mux(_T_4251, UInt<3>("h00"), _T_4257) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[3] <= _T_4258 @[lsu_bus_buffer.scala 488:25] + node _T_4259 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 489:66] + node _T_4260 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 490:21] + node _T_4261 = bits(_T_4260, 0, 0) @[lsu_bus_buffer.scala 490:21] + node _T_4262 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 490:58] + node _T_4263 = and(_T_4261, _T_4262) @[lsu_bus_buffer.scala 490:38] + node _T_4264 = or(_T_4259, _T_4263) @[lsu_bus_buffer.scala 489:95] + node _T_4265 = and(bus_rsp_read, _T_4264) @[lsu_bus_buffer.scala 489:45] + buf_state_bus_en[3] <= _T_4265 @[lsu_bus_buffer.scala 489:29] + node _T_4266 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 491:49] + node _T_4267 = or(_T_4266, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 491:70] + buf_state_en[3] <= _T_4267 @[lsu_bus_buffer.scala 491:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 492:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 493:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4268 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4268 : @[Conditional.scala 39:67] - node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 491:60] - node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 491:31] - buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 491:25] - node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 492:37] - node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 492:98] - node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 492:80] - node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 492:65] - node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 492:112] - buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 492:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 493:34] + node _T_4269 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 496:60] + node _T_4270 = mux(_T_4269, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 496:31] + buf_nxtstate[3] <= _T_4270 @[lsu_bus_buffer.scala 496:25] + node _T_4271 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 497:37] + node _T_4272 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 497:98] + node _T_4273 = and(buf_dual[3], _T_4272) @[lsu_bus_buffer.scala 497:80] + node _T_4274 = or(_T_4271, _T_4273) @[lsu_bus_buffer.scala 497:65] + node _T_4275 = or(_T_4274, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 497:112] + buf_state_en[3] <= _T_4275 @[lsu_bus_buffer.scala 497:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 498:34] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 499:20] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4276 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4276 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 496:25] - buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 497:20] - buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 498:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 499:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 500:25] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 501:34] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 502:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 503:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 504:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 505:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 506:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 507:34] skip @[Conditional.scala 39:67] - node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 504:108] + node _T_4277 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 510:108] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 504:18] - reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 505:60] - _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 505:60] - buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 505:17] - reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 506:63] - _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 506:63] - buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 506:20] - node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 507:109] + buf_state[3] <= _T_4278 @[lsu_bus_buffer.scala 510:18] + reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 511:60] + _T_4279 <= buf_age_in_3 @[lsu_bus_buffer.scala 511:60] + buf_ageQ[3] <= _T_4279 @[lsu_bus_buffer.scala 511:17] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 512:63] + _T_4280 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 512:63] + buf_rspageQ[3] <= _T_4280 @[lsu_bus_buffer.scala 512:20] + node _T_4281 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:109] reg _T_4282 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4281 : @[Reg.scala 28:19] _T_4282 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 507:20] - node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 508:74] - node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 508:107] + buf_dualtag[3] <= _T_4282 @[lsu_bus_buffer.scala 513:20] + node _T_4283 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 514:74] + node _T_4284 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:107] reg _T_4285 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4284 : @[Reg.scala 28:19] _T_4285 <= _T_4283 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 508:17] - node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 509:78] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 509:111] + buf_dual[3] <= _T_4285 @[lsu_bus_buffer.scala 514:17] + node _T_4286 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 515:78] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:111] reg _T_4288 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4287 : @[Reg.scala 28:19] _T_4288 <= _T_4286 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 509:19] - node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 510:80] - node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:113] + buf_samedw[3] <= _T_4288 @[lsu_bus_buffer.scala 515:19] + node _T_4289 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 516:80] + node _T_4290 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:113] reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4290 : @[Reg.scala 28:19] _T_4291 <= _T_4289 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 510:20] - node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 511:78] - node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:111] + buf_nomerge[3] <= _T_4291 @[lsu_bus_buffer.scala 516:20] + node _T_4292 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 517:78] + node _T_4293 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 517:111] reg _T_4294 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= _T_4292 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 511:19] - node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 514:131] + buf_dualhi[3] <= _T_4294 @[lsu_bus_buffer.scala 517:19] + node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 514:131] + node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4298 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 514:131] + node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4300 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4299 : @[Reg.scala 28:19] _T_4300 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 514:131] + node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 520:131] reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5606,51 +5630,51 @@ circuit lsu_bus_intf : node _T_4303 = cat(_T_4302, _T_4300) @[Cat.scala 29:58] node _T_4304 = cat(_T_4303, _T_4298) @[Cat.scala 29:58] node _T_4305 = cat(_T_4304, _T_4296) @[Cat.scala 29:58] - buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 514:13] - node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 515:132] + buf_ldfwd <= _T_4305 @[lsu_bus_buffer.scala 520:13] + node _T_4306 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4307 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4306 : @[Reg.scala 28:19] _T_4307 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 515:132] + node _T_4308 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4309 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4308 : @[Reg.scala 28:19] _T_4309 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 515:132] + node _T_4310 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4311 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 515:132] + node _T_4312 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 521:132] reg _T_4313 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4312 : @[Reg.scala 28:19] _T_4313 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 515:16] - buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 515:16] - buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 515:16] - buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 515:16] - node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 516:105] - node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:138] + buf_ldfwdtag[0] <= _T_4307 @[lsu_bus_buffer.scala 521:16] + buf_ldfwdtag[1] <= _T_4309 @[lsu_bus_buffer.scala 521:16] + buf_ldfwdtag[2] <= _T_4311 @[lsu_bus_buffer.scala 521:16] + buf_ldfwdtag[3] <= _T_4313 @[lsu_bus_buffer.scala 521:16] + node _T_4314 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 522:105] + node _T_4315 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4316 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= _T_4314 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 516:105] - node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:138] + node _T_4317 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 522:105] + node _T_4318 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4319 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4318 : @[Reg.scala 28:19] _T_4319 <= _T_4317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 516:105] - node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:138] + node _T_4320 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 522:105] + node _T_4321 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= _T_4320 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 516:105] - node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:138] + node _T_4323 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 522:105] + node _T_4324 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:138] reg _T_4325 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4324 : @[Reg.scala 28:19] _T_4325 <= _T_4323 @[Reg.scala 28:23] @@ -5658,27 +5682,27 @@ circuit lsu_bus_intf : node _T_4326 = cat(_T_4325, _T_4322) @[Cat.scala 29:58] node _T_4327 = cat(_T_4326, _T_4319) @[Cat.scala 29:58] node _T_4328 = cat(_T_4327, _T_4316) @[Cat.scala 29:58] - buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 516:18] - node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 517:97] - node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 517:130] + buf_sideeffect <= _T_4328 @[lsu_bus_buffer.scala 522:18] + node _T_4329 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 523:97] + node _T_4330 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4331 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4330 : @[Reg.scala 28:19] _T_4331 <= _T_4329 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 517:97] - node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 517:130] + node _T_4332 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 523:97] + node _T_4333 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4334 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4333 : @[Reg.scala 28:19] _T_4334 <= _T_4332 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 517:97] - node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 517:130] + node _T_4335 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 523:97] + node _T_4336 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4337 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4336 : @[Reg.scala 28:19] _T_4337 <= _T_4335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 517:97] - node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 517:130] + node _T_4338 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 523:97] + node _T_4339 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:130] reg _T_4340 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4339 : @[Reg.scala 28:19] _T_4340 <= _T_4338 @[Reg.scala 28:23] @@ -5686,27 +5710,27 @@ circuit lsu_bus_intf : node _T_4341 = cat(_T_4340, _T_4337) @[Cat.scala 29:58] node _T_4342 = cat(_T_4341, _T_4334) @[Cat.scala 29:58] node _T_4343 = cat(_T_4342, _T_4331) @[Cat.scala 29:58] - buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 517:14] - node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 518:95] - node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 518:128] + buf_unsign <= _T_4343 @[lsu_bus_buffer.scala 523:14] + node _T_4344 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 524:95] + node _T_4345 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4346 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4345 : @[Reg.scala 28:19] _T_4346 <= _T_4344 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 518:95] - node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 518:128] + node _T_4347 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 524:95] + node _T_4348 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4349 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4348 : @[Reg.scala 28:19] _T_4349 <= _T_4347 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 518:95] - node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 518:128] + node _T_4350 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 524:95] + node _T_4351 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4352 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4351 : @[Reg.scala 28:19] _T_4352 <= _T_4350 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 518:95] - node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 518:128] + node _T_4353 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 524:95] + node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:128] reg _T_4355 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4354 : @[Reg.scala 28:19] _T_4355 <= _T_4353 @[Reg.scala 28:23] @@ -5714,32 +5738,32 @@ circuit lsu_bus_intf : node _T_4356 = cat(_T_4355, _T_4352) @[Cat.scala 29:58] node _T_4357 = cat(_T_4356, _T_4349) @[Cat.scala 29:58] node _T_4358 = cat(_T_4357, _T_4346) @[Cat.scala 29:58] - buf_write <= _T_4358 @[lsu_bus_buffer.scala 518:13] - node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:117] + buf_write <= _T_4358 @[lsu_bus_buffer.scala 524:13] + node _T_4359 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4360 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:117] + node _T_4361 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4362 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4361 : @[Reg.scala 28:19] _T_4362 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:117] + node _T_4363 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4364 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4363 : @[Reg.scala 28:19] _T_4364 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:117] + node _T_4365 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 525:117] reg _T_4366 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 519:10] - buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 519:10] - buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 519:10] - buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 519:10] - node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 520:80] + buf_sz[0] <= _T_4360 @[lsu_bus_buffer.scala 525:10] + buf_sz[1] <= _T_4362 @[lsu_bus_buffer.scala 525:10] + buf_sz[2] <= _T_4364 @[lsu_bus_buffer.scala 525:10] + buf_sz[3] <= _T_4366 @[lsu_bus_buffer.scala 525:10] + node _T_4367 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5750,7 +5774,7 @@ circuit lsu_bus_intf : when _T_4367 : @[Reg.scala 28:19] _T_4368 <= buf_addr_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 520:80] + node _T_4369 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5761,7 +5785,7 @@ circuit lsu_bus_intf : when _T_4369 : @[Reg.scala 28:19] _T_4370 <= buf_addr_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 520:80] + node _T_4371 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5772,7 +5796,7 @@ circuit lsu_bus_intf : when _T_4371 : @[Reg.scala 28:19] _T_4372 <= buf_addr_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 520:80] + node _T_4373 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 526:80] inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5783,34 +5807,34 @@ circuit lsu_bus_intf : when _T_4373 : @[Reg.scala 28:19] _T_4374 <= buf_addr_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 520:12] - buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 520:12] - buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 520:12] - buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 520:12] - node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:125] + buf_addr[0] <= _T_4368 @[lsu_bus_buffer.scala 526:12] + buf_addr[1] <= _T_4370 @[lsu_bus_buffer.scala 526:12] + buf_addr[2] <= _T_4372 @[lsu_bus_buffer.scala 526:12] + buf_addr[3] <= _T_4374 @[lsu_bus_buffer.scala 526:12] + node _T_4375 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4376 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4375 : @[Reg.scala 28:19] _T_4376 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:125] + node _T_4377 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4378 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:125] + node _T_4379 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4380 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4379 : @[Reg.scala 28:19] _T_4380 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:125] + node _T_4381 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 527:125] reg _T_4382 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4381 : @[Reg.scala 28:19] _T_4382 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 521:14] - buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 521:14] - buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 521:14] - buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 521:14] + buf_byteen[0] <= _T_4376 @[lsu_bus_buffer.scala 527:14] + buf_byteen[1] <= _T_4378 @[lsu_bus_buffer.scala 527:14] + buf_byteen[2] <= _T_4380 @[lsu_bus_buffer.scala 527:14] + buf_byteen[3] <= _T_4382 @[lsu_bus_buffer.scala 527:14] inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5851,684 +5875,680 @@ circuit lsu_bus_intf : when buf_data_en[3] : @[Reg.scala 28:19] _T_4386 <= buf_data_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 522:12] - buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 522:12] - buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 522:12] - buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 522:12] - node _T_4387 = bits(buf_rst[0], 0, 0) @[lsu_bus_buffer.scala 523:91] - node _T_4388 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] - node _T_4389 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 523:159] - node _T_4390 = mux(buf_error_en[0], UInt<1>("h01"), _T_4389) @[lsu_bus_buffer.scala 523:124] - node _T_4391 = and(_T_4388, _T_4390) @[lsu_bus_buffer.scala 523:119] - reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4387, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] - _T_4392 <= _T_4391 @[lsu_bus_buffer.scala 523:106] - node _T_4393 = bits(buf_rst[1], 0, 0) @[lsu_bus_buffer.scala 523:91] - node _T_4394 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] - node _T_4395 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 523:159] - node _T_4396 = mux(buf_error_en[1], UInt<1>("h01"), _T_4395) @[lsu_bus_buffer.scala 523:124] - node _T_4397 = and(_T_4394, _T_4396) @[lsu_bus_buffer.scala 523:119] - reg _T_4398 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4393, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] - _T_4398 <= _T_4397 @[lsu_bus_buffer.scala 523:106] - node _T_4399 = bits(buf_rst[2], 0, 0) @[lsu_bus_buffer.scala 523:91] - node _T_4400 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] - node _T_4401 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 523:159] - node _T_4402 = mux(buf_error_en[2], UInt<1>("h01"), _T_4401) @[lsu_bus_buffer.scala 523:124] - node _T_4403 = and(_T_4400, _T_4402) @[lsu_bus_buffer.scala 523:119] - reg _T_4404 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4399, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] - _T_4404 <= _T_4403 @[lsu_bus_buffer.scala 523:106] - node _T_4405 = bits(buf_rst[3], 0, 0) @[lsu_bus_buffer.scala 523:91] - node _T_4406 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:107] - node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 523:159] - node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 523:124] - node _T_4409 = and(_T_4406, _T_4408) @[lsu_bus_buffer.scala 523:119] - reg _T_4410 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (_T_4405, io.dec_tlu_force_halt)) @[lsu_bus_buffer.scala 523:106] - _T_4410 <= _T_4409 @[lsu_bus_buffer.scala 523:106] - node _T_4411 = cat(_T_4410, _T_4404) @[Cat.scala 29:58] - node _T_4412 = cat(_T_4411, _T_4398) @[Cat.scala 29:58] - node _T_4413 = cat(_T_4412, _T_4392) @[Cat.scala 29:58] - buf_error <= _T_4413 @[lsu_bus_buffer.scala 523:13] - node _T_4414 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4415 = mux(io.ldst_dual_m, _T_4414, io.lsu_busreq_m) @[lsu_bus_buffer.scala 524:28] - node _T_4416 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4417 = mux(io.ldst_dual_r, _T_4416, io.lsu_busreq_r) @[lsu_bus_buffer.scala 524:94] - node _T_4418 = add(_T_4415, _T_4417) @[lsu_bus_buffer.scala 524:88] - node _T_4419 = add(_T_4418, ibuf_valid) @[lsu_bus_buffer.scala 524:154] - node _T_4420 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4421 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4422 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4423 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 524:190] - node _T_4424 = add(_T_4420, _T_4421) @[lsu_bus_buffer.scala 524:217] - node _T_4425 = add(_T_4424, _T_4422) @[lsu_bus_buffer.scala 524:217] - node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 524:217] - node _T_4427 = add(_T_4419, _T_4426) @[lsu_bus_buffer.scala 524:169] - node buf_numvld_any = tail(_T_4427, 1) @[lsu_bus_buffer.scala 524:169] - node _T_4428 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 525:60] - node _T_4429 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4430 = and(_T_4428, _T_4429) @[lsu_bus_buffer.scala 525:64] - node _T_4431 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4432 = and(_T_4430, _T_4431) @[lsu_bus_buffer.scala 525:89] - node _T_4433 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 525:60] - node _T_4434 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4435 = and(_T_4433, _T_4434) @[lsu_bus_buffer.scala 525:64] - node _T_4436 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4437 = and(_T_4435, _T_4436) @[lsu_bus_buffer.scala 525:89] - node _T_4438 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 525:60] - node _T_4439 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4440 = and(_T_4438, _T_4439) @[lsu_bus_buffer.scala 525:64] - node _T_4441 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4442 = and(_T_4440, _T_4441) @[lsu_bus_buffer.scala 525:89] - node _T_4443 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 525:60] - node _T_4444 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 525:79] - node _T_4445 = and(_T_4443, _T_4444) @[lsu_bus_buffer.scala 525:64] - node _T_4446 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 525:91] - node _T_4447 = and(_T_4445, _T_4446) @[lsu_bus_buffer.scala 525:89] - node _T_4448 = add(_T_4447, _T_4442) @[lsu_bus_buffer.scala 525:142] - node _T_4449 = add(_T_4448, _T_4437) @[lsu_bus_buffer.scala 525:142] - node _T_4450 = add(_T_4449, _T_4432) @[lsu_bus_buffer.scala 525:142] - buf_numvld_wrcmd_any <= _T_4450 @[lsu_bus_buffer.scala 525:24] - node _T_4451 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4452 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4453 = and(_T_4451, _T_4452) @[lsu_bus_buffer.scala 526:73] - node _T_4454 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4455 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4456 = and(_T_4454, _T_4455) @[lsu_bus_buffer.scala 526:73] - node _T_4457 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4458 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4459 = and(_T_4457, _T_4458) @[lsu_bus_buffer.scala 526:73] - node _T_4460 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 526:63] - node _T_4461 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:75] - node _T_4462 = and(_T_4460, _T_4461) @[lsu_bus_buffer.scala 526:73] - node _T_4463 = add(_T_4462, _T_4459) @[lsu_bus_buffer.scala 526:126] - node _T_4464 = add(_T_4463, _T_4456) @[lsu_bus_buffer.scala 526:126] - node _T_4465 = add(_T_4464, _T_4453) @[lsu_bus_buffer.scala 526:126] - buf_numvld_cmd_any <= _T_4465 @[lsu_bus_buffer.scala 526:22] - node _T_4466 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4467 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4468 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4469 = and(_T_4467, _T_4468) @[lsu_bus_buffer.scala 527:100] - node _T_4470 = or(_T_4466, _T_4469) @[lsu_bus_buffer.scala 527:74] - node _T_4471 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4472 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4473 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4474 = and(_T_4472, _T_4473) @[lsu_bus_buffer.scala 527:100] - node _T_4475 = or(_T_4471, _T_4474) @[lsu_bus_buffer.scala 527:74] - node _T_4476 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4477 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4478 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4479 = and(_T_4477, _T_4478) @[lsu_bus_buffer.scala 527:100] - node _T_4480 = or(_T_4476, _T_4479) @[lsu_bus_buffer.scala 527:74] - node _T_4481 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 527:63] - node _T_4482 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 527:90] - node _T_4483 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 527:102] - node _T_4484 = and(_T_4482, _T_4483) @[lsu_bus_buffer.scala 527:100] - node _T_4485 = or(_T_4481, _T_4484) @[lsu_bus_buffer.scala 527:74] - node _T_4486 = add(_T_4485, _T_4480) @[lsu_bus_buffer.scala 527:154] - node _T_4487 = add(_T_4486, _T_4475) @[lsu_bus_buffer.scala 527:154] - node _T_4488 = add(_T_4487, _T_4470) @[lsu_bus_buffer.scala 527:154] - buf_numvld_pend_any <= _T_4488 @[lsu_bus_buffer.scala 527:23] - node _T_4489 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4490 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4491 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4492 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 528:61] - node _T_4493 = or(_T_4492, _T_4491) @[lsu_bus_buffer.scala 528:93] - node _T_4494 = or(_T_4493, _T_4490) @[lsu_bus_buffer.scala 528:93] - node _T_4495 = or(_T_4494, _T_4489) @[lsu_bus_buffer.scala 528:93] - any_done_wait_state <= _T_4495 @[lsu_bus_buffer.scala 528:23] - node _T_4496 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 529:53] - io.lsu_bus_buffer_pend_any <= _T_4496 @[lsu_bus_buffer.scala 529:30] - node _T_4497 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 530:52] - node _T_4498 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 530:92] - node _T_4499 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 530:121] - node _T_4500 = mux(_T_4497, _T_4498, _T_4499) @[lsu_bus_buffer.scala 530:36] - io.lsu_bus_buffer_full_any <= _T_4500 @[lsu_bus_buffer.scala 530:30] - node _T_4501 = orr(buf_state[0]) @[lsu_bus_buffer.scala 531:52] - node _T_4502 = orr(buf_state[1]) @[lsu_bus_buffer.scala 531:52] - node _T_4503 = orr(buf_state[2]) @[lsu_bus_buffer.scala 531:52] - node _T_4504 = orr(buf_state[3]) @[lsu_bus_buffer.scala 531:52] - node _T_4505 = or(_T_4501, _T_4502) @[lsu_bus_buffer.scala 531:65] - node _T_4506 = or(_T_4505, _T_4503) @[lsu_bus_buffer.scala 531:65] - node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 531:65] - node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:34] - node _T_4509 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:72] - node _T_4510 = and(_T_4508, _T_4509) @[lsu_bus_buffer.scala 531:70] - node _T_4511 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 531:86] - node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 531:84] - io.lsu_bus_buffer_empty_any <= _T_4512 @[lsu_bus_buffer.scala 531:31] - node _T_4513 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 533:64] - node _T_4514 = and(_T_4513, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 533:85] - node _T_4515 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:112] - node _T_4516 = and(_T_4514, _T_4515) @[lsu_bus_buffer.scala 533:110] - node _T_4517 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:129] - node _T_4518 = and(_T_4516, _T_4517) @[lsu_bus_buffer.scala 533:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4518 @[lsu_bus_buffer.scala 533:45] - io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 534:43] + buf_data[0] <= _T_4383 @[lsu_bus_buffer.scala 528:12] + buf_data[1] <= _T_4384 @[lsu_bus_buffer.scala 528:12] + buf_data[2] <= _T_4385 @[lsu_bus_buffer.scala 528:12] + buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 528:12] + node _T_4387 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:81] + node _T_4388 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 529:133] + node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[lsu_bus_buffer.scala 529:98] + node _T_4390 = and(_T_4387, _T_4389) @[lsu_bus_buffer.scala 529:93] + reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 529:80] + node _T_4392 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:81] + node _T_4393 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 529:133] + node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[lsu_bus_buffer.scala 529:98] + node _T_4395 = and(_T_4392, _T_4394) @[lsu_bus_buffer.scala 529:93] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 529:80] + node _T_4397 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:81] + node _T_4398 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 529:133] + node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[lsu_bus_buffer.scala 529:98] + node _T_4400 = and(_T_4397, _T_4399) @[lsu_bus_buffer.scala 529:93] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 529:80] + node _T_4402 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:81] + node _T_4403 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 529:133] + node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[lsu_bus_buffer.scala 529:98] + node _T_4405 = and(_T_4402, _T_4404) @[lsu_bus_buffer.scala 529:93] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 529:80] + node _T_4407 = cat(_T_4406, _T_4401) @[Cat.scala 29:58] + node _T_4408 = cat(_T_4407, _T_4396) @[Cat.scala 29:58] + node _T_4409 = cat(_T_4408, _T_4391) @[Cat.scala 29:58] + buf_error <= _T_4409 @[lsu_bus_buffer.scala 529:13] + node _T_4410 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 530:28] + node _T_4412 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 530:94] + node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 530:88] + node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 530:154] + node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 530:217] + node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 530:217] + node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 530:217] + node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 530:169] + node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 530:169] + node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 531:60] + node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 531:64] + node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 531:89] + node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 531:60] + node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 531:64] + node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 531:89] + node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 531:60] + node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 531:64] + node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 531:89] + node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 531:60] + node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 531:64] + node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 531:89] + node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 531:142] + node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 531:142] + node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 531:142] + buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 531:24] + node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 532:73] + node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 532:73] + node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 532:73] + node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 532:73] + node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 532:126] + node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 532:126] + node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 532:126] + buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 532:22] + node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 533:100] + node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 533:74] + node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 533:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 533:74] + node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 533:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 533:74] + node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 533:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 533:74] + node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 533:154] + node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 533:154] + node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 533:154] + buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 533:23] + node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 534:93] + node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 534:93] + node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 534:93] + any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 534:23] + node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 535:53] + io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 535:30] + node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 536:52] + node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 536:92] + node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 536:121] + node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 536:36] + io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 536:30] + node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 537:52] + node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 537:52] + node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 537:52] + node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 537:52] + node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 537:65] + node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 537:65] + node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 537:65] + node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:34] + node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:72] + node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 537:70] + node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:86] + node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 537:84] + io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 537:31] + node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 539:64] + node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 539:85] + node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:112] + node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 539:110] + node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:129] + node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 539:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 539:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 540:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4519 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:74] - node _T_4520 = and(lsu_nonblock_load_valid_r, _T_4519) @[lsu_bus_buffer.scala 536:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4520 @[lsu_bus_buffer.scala 536:43] - io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 537:47] - node _T_4521 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4522 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:106] - node _T_4523 = eq(_T_4522, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4524 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4525 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:106] - node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4527 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4528 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:106] - node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4530 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:80] - node _T_4531 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:106] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:95] - node _T_4533 = mux(_T_4521, _T_4523, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4534 = mux(_T_4524, _T_4526, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4535 = mux(_T_4527, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4536 = mux(_T_4530, _T_4532, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4537 = or(_T_4533, _T_4534) @[Mux.scala 27:72] - node _T_4538 = or(_T_4537, _T_4535) @[Mux.scala 27:72] - node _T_4539 = or(_T_4538, _T_4536) @[Mux.scala 27:72] + node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:74] + node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 542:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 542:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 543:47] + node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:106] + node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:106] + node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:106] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:106] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4529 = mux(_T_4517, _T_4519, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4530 = mux(_T_4520, _T_4522, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4531 = mux(_T_4523, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4532 = mux(_T_4526, _T_4528, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4533 = or(_T_4529, _T_4530) @[Mux.scala 27:72] + node _T_4534 = or(_T_4533, _T_4531) @[Mux.scala 27:72] + node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4539 @[Mux.scala 27:72] - node _T_4540 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4541 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 539:117] - node _T_4542 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 539:133] - node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4544 = and(_T_4541, _T_4543) @[lsu_bus_buffer.scala 539:121] - node _T_4545 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4546 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 539:117] - node _T_4547 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 539:133] - node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 539:121] - node _T_4550 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4551 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 539:117] - node _T_4552 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 539:133] - node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 539:121] - node _T_4555 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:93] - node _T_4556 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 539:117] - node _T_4557 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 539:133] - node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:123] - node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 539:121] - node _T_4560 = mux(_T_4540, _T_4544, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4561 = mux(_T_4545, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4562 = mux(_T_4550, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4563 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4564 = or(_T_4560, _T_4561) @[Mux.scala 27:72] - node _T_4565 = or(_T_4564, _T_4562) @[Mux.scala 27:72] - node _T_4566 = or(_T_4565, _T_4563) @[Mux.scala 27:72] - wire _T_4567 : UInt<1> @[Mux.scala 27:72] - _T_4567 <= _T_4566 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4567 @[lsu_bus_buffer.scala 539:48] - node _T_4568 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4569 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 540:114] - node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4571 = and(_T_4568, _T_4570) @[lsu_bus_buffer.scala 540:102] - node _T_4572 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4573 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4574 = or(_T_4572, _T_4573) @[lsu_bus_buffer.scala 540:134] - node _T_4575 = and(_T_4571, _T_4574) @[lsu_bus_buffer.scala 540:118] - node _T_4576 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4577 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 540:114] - node _T_4578 = eq(_T_4577, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4579 = and(_T_4576, _T_4578) @[lsu_bus_buffer.scala 540:102] - node _T_4580 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4581 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4582 = or(_T_4580, _T_4581) @[lsu_bus_buffer.scala 540:134] - node _T_4583 = and(_T_4579, _T_4582) @[lsu_bus_buffer.scala 540:118] - node _T_4584 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4585 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 540:114] - node _T_4586 = eq(_T_4585, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4587 = and(_T_4584, _T_4586) @[lsu_bus_buffer.scala 540:102] - node _T_4588 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4589 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4590 = or(_T_4588, _T_4589) @[lsu_bus_buffer.scala 540:134] - node _T_4591 = and(_T_4587, _T_4590) @[lsu_bus_buffer.scala 540:118] - node _T_4592 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 540:91] - node _T_4593 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 540:114] - node _T_4594 = eq(_T_4593, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:104] - node _T_4595 = and(_T_4592, _T_4594) @[lsu_bus_buffer.scala 540:102] - node _T_4596 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:121] - node _T_4597 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 540:136] - node _T_4598 = or(_T_4596, _T_4597) @[lsu_bus_buffer.scala 540:134] - node _T_4599 = and(_T_4595, _T_4598) @[lsu_bus_buffer.scala 540:118] - node _T_4600 = mux(_T_4575, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4601 = mux(_T_4583, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4602 = mux(_T_4591, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4603 = mux(_T_4599, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4604 = or(_T_4600, _T_4601) @[Mux.scala 27:72] - node _T_4605 = or(_T_4604, _T_4602) @[Mux.scala 27:72] - node _T_4606 = or(_T_4605, _T_4603) @[Mux.scala 27:72] - wire _T_4607 : UInt<2> @[Mux.scala 27:72] - _T_4607 <= _T_4606 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4607 @[lsu_bus_buffer.scala 540:45] - node _T_4608 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4609 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 541:101] - node _T_4610 = eq(_T_4609, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4611 = and(_T_4608, _T_4610) @[lsu_bus_buffer.scala 541:89] - node _T_4612 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4613 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4614 = or(_T_4612, _T_4613) @[lsu_bus_buffer.scala 541:121] - node _T_4615 = and(_T_4611, _T_4614) @[lsu_bus_buffer.scala 541:105] - node _T_4616 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4617 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 541:101] - node _T_4618 = eq(_T_4617, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4619 = and(_T_4616, _T_4618) @[lsu_bus_buffer.scala 541:89] - node _T_4620 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4621 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4622 = or(_T_4620, _T_4621) @[lsu_bus_buffer.scala 541:121] - node _T_4623 = and(_T_4619, _T_4622) @[lsu_bus_buffer.scala 541:105] - node _T_4624 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4625 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 541:101] - node _T_4626 = eq(_T_4625, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4627 = and(_T_4624, _T_4626) @[lsu_bus_buffer.scala 541:89] - node _T_4628 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4629 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4630 = or(_T_4628, _T_4629) @[lsu_bus_buffer.scala 541:121] - node _T_4631 = and(_T_4627, _T_4630) @[lsu_bus_buffer.scala 541:105] - node _T_4632 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 541:78] - node _T_4633 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 541:101] - node _T_4634 = eq(_T_4633, UInt<1>("h00")) @[lsu_bus_buffer.scala 541:91] - node _T_4635 = and(_T_4632, _T_4634) @[lsu_bus_buffer.scala 541:89] - node _T_4636 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:108] - node _T_4637 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 541:123] - node _T_4638 = or(_T_4636, _T_4637) @[lsu_bus_buffer.scala 541:121] - node _T_4639 = and(_T_4635, _T_4638) @[lsu_bus_buffer.scala 541:105] - node _T_4640 = mux(_T_4615, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4641 = mux(_T_4623, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4642 = mux(_T_4631, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4643 = mux(_T_4639, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4644 = or(_T_4640, _T_4641) @[Mux.scala 27:72] - node _T_4645 = or(_T_4644, _T_4642) @[Mux.scala 27:72] - node _T_4646 = or(_T_4645, _T_4643) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] + node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 545:117] + node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:133] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 545:121] + node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 545:117] + node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:133] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 545:121] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 545:117] + node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:133] + node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 545:121] + node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 545:117] + node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:133] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 545:121] + node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4559 = mux(_T_4551, _T_4555, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] + node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] + node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] + wire _T_4563 : UInt<1> @[Mux.scala 27:72] + _T_4563 <= _T_4562 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 545:48] + node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:91] + node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:114] + node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:104] + node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 546:102] + node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:121] + node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:136] + node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 546:134] + node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 546:118] + node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:91] + node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:114] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:104] + node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 546:102] + node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:121] + node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:136] + node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 546:134] + node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 546:118] + node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:91] + node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:114] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:104] + node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 546:102] + node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:121] + node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:136] + node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 546:134] + node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 546:118] + node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:91] + node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:114] + node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:104] + node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 546:102] + node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:121] + node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:136] + node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 546:134] + node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 546:118] + node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4599 = mux(_T_4595, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4600 = or(_T_4596, _T_4597) @[Mux.scala 27:72] + node _T_4601 = or(_T_4600, _T_4598) @[Mux.scala 27:72] + node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] + wire _T_4603 : UInt<2> @[Mux.scala 27:72] + _T_4603 <= _T_4602 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 546:45] + node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 547:101] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 547:89] + node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 547:121] + node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 547:105] + node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 547:101] + node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 547:89] + node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 547:121] + node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 547:105] + node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 547:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 547:89] + node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 547:121] + node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 547:105] + node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 547:101] + node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 547:89] + node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 547:121] + node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 547:105] + node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4639 = mux(_T_4635, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4640 = or(_T_4636, _T_4637) @[Mux.scala 27:72] + node _T_4641 = or(_T_4640, _T_4638) @[Mux.scala 27:72] + node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4646 @[Mux.scala 27:72] - node _T_4647 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4648 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:101] - node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4650 = and(_T_4647, _T_4649) @[lsu_bus_buffer.scala 542:89] - node _T_4651 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 542:120] - node _T_4652 = and(_T_4650, _T_4651) @[lsu_bus_buffer.scala 542:105] - node _T_4653 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4654 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:101] - node _T_4655 = eq(_T_4654, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4656 = and(_T_4653, _T_4655) @[lsu_bus_buffer.scala 542:89] - node _T_4657 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 542:120] - node _T_4658 = and(_T_4656, _T_4657) @[lsu_bus_buffer.scala 542:105] - node _T_4659 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4660 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:101] - node _T_4661 = eq(_T_4660, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4662 = and(_T_4659, _T_4661) @[lsu_bus_buffer.scala 542:89] - node _T_4663 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 542:120] - node _T_4664 = and(_T_4662, _T_4663) @[lsu_bus_buffer.scala 542:105] - node _T_4665 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:78] - node _T_4666 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:101] - node _T_4667 = eq(_T_4666, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:91] - node _T_4668 = and(_T_4665, _T_4667) @[lsu_bus_buffer.scala 542:89] - node _T_4669 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 542:120] - node _T_4670 = and(_T_4668, _T_4669) @[lsu_bus_buffer.scala 542:105] - node _T_4671 = mux(_T_4652, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4672 = mux(_T_4658, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4673 = mux(_T_4664, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4674 = mux(_T_4670, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4675 = or(_T_4671, _T_4672) @[Mux.scala 27:72] - node _T_4676 = or(_T_4675, _T_4673) @[Mux.scala 27:72] - node _T_4677 = or(_T_4676, _T_4674) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] + node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 548:101] + node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 548:89] + node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 548:120] + node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 548:105] + node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 548:101] + node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 548:89] + node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 548:120] + node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 548:105] + node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 548:101] + node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 548:89] + node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 548:120] + node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 548:105] + node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 548:101] + node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 548:89] + node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 548:120] + node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 548:105] + node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = mux(_T_4666, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] + node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] + node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4677 @[Mux.scala 27:72] - node _T_4678 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4679 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4680 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4681 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4682 = mux(_T_4678, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4683 = mux(_T_4679, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4684 = mux(_T_4680, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4685 = mux(_T_4681, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4686 = or(_T_4682, _T_4683) @[Mux.scala 27:72] - node _T_4687 = or(_T_4686, _T_4684) @[Mux.scala 27:72] - node _T_4688 = or(_T_4687, _T_4685) @[Mux.scala 27:72] - wire _T_4689 : UInt<32> @[Mux.scala 27:72] - _T_4689 <= _T_4688 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4689, 1, 0) @[lsu_bus_buffer.scala 543:96] - node _T_4690 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4691 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4692 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4693 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4694 = mux(_T_4690, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4695 = mux(_T_4691, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4696 = mux(_T_4692, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4697 = mux(_T_4693, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4698 = or(_T_4694, _T_4695) @[Mux.scala 27:72] - node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] - node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4673 @[Mux.scala 27:72] + node _T_4674 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4675 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4676 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4677 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4678 = mux(_T_4674, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4675, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4676, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4677, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = or(_T_4678, _T_4679) @[Mux.scala 27:72] + node _T_4683 = or(_T_4682, _T_4680) @[Mux.scala 27:72] + node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] + wire _T_4685 : UInt<32> @[Mux.scala 27:72] + _T_4685 <= _T_4684 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 549:96] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4687 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4689 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4690 = mux(_T_4686, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4691 = mux(_T_4687, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4692 = mux(_T_4688, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4693 = mux(_T_4689, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4694 = or(_T_4690, _T_4691) @[Mux.scala 27:72] + node _T_4695 = or(_T_4694, _T_4692) @[Mux.scala 27:72] + node _T_4696 = or(_T_4695, _T_4693) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4700 @[Mux.scala 27:72] - node _T_4701 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] - node _T_4702 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] - node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] - node _T_4704 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] - node _T_4705 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] - node _T_4706 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] - node _T_4707 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] - node _T_4708 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] - node _T_4709 = mux(_T_4701, _T_4702, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4710 = mux(_T_4703, _T_4704, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4711 = mux(_T_4705, _T_4706, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4712 = mux(_T_4707, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4713 = or(_T_4709, _T_4710) @[Mux.scala 27:72] - node _T_4714 = or(_T_4713, _T_4711) @[Mux.scala 27:72] - node _T_4715 = or(_T_4714, _T_4712) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4696 @[Mux.scala 27:72] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4698 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4699 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4700 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4701 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4702 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4704 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4705 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4706 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4707 = mux(_T_4701, _T_4702, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4708 = mux(_T_4703, _T_4704, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4709 = or(_T_4705, _T_4706) @[Mux.scala 27:72] + node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] + node _T_4711 = or(_T_4710, _T_4708) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4715 @[Mux.scala 27:72] - node _T_4716 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4717 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 547:121] - node lsu_nonblock_data_unalgn = dshr(_T_4716, _T_4717) @[lsu_bus_buffer.scala 547:92] - node _T_4718 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:82] - node _T_4719 = and(lsu_nonblock_load_data_ready, _T_4718) @[lsu_bus_buffer.scala 549:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4719 @[lsu_bus_buffer.scala 549:48] - node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:94] - node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 550:76] - node _T_4722 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 550:144] - node _T_4723 = cat(UInt<24>("h00"), _T_4722) @[Cat.scala 29:58] - node _T_4724 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 551:45] - node _T_4725 = and(lsu_nonblock_unsign, _T_4724) @[lsu_bus_buffer.scala 551:26] - node _T_4726 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 551:95] - node _T_4727 = cat(UInt<16>("h00"), _T_4726) @[Cat.scala 29:58] - node _T_4728 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:6] - node _T_4729 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 552:45] - node _T_4730 = and(_T_4728, _T_4729) @[lsu_bus_buffer.scala 552:27] - node _T_4731 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 552:93] - node _T_4732 = bits(_T_4731, 0, 0) @[Bitwise.scala 72:15] - node _T_4733 = mux(_T_4732, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4734 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 552:123] - node _T_4735 = cat(_T_4733, _T_4734) @[Cat.scala 29:58] - node _T_4736 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:6] - node _T_4737 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:45] - node _T_4738 = and(_T_4736, _T_4737) @[lsu_bus_buffer.scala 553:27] - node _T_4739 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 553:93] - node _T_4740 = bits(_T_4739, 0, 0) @[Bitwise.scala 72:15] - node _T_4741 = mux(_T_4740, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4742 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 553:124] - node _T_4743 = cat(_T_4741, _T_4742) @[Cat.scala 29:58] - node _T_4744 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:21] - node _T_4745 = mux(_T_4721, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4746 = mux(_T_4725, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4747 = mux(_T_4730, _T_4735, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4748 = mux(_T_4738, _T_4743, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4749 = mux(_T_4744, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4750 = or(_T_4745, _T_4746) @[Mux.scala 27:72] - node _T_4751 = or(_T_4750, _T_4747) @[Mux.scala 27:72] - node _T_4752 = or(_T_4751, _T_4748) @[Mux.scala 27:72] - node _T_4753 = or(_T_4752, _T_4749) @[Mux.scala 27:72] - wire _T_4754 : UInt<64> @[Mux.scala 27:72] - _T_4754 <= _T_4753 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data <= _T_4754 @[lsu_bus_buffer.scala 550:42] - node _T_4755 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4756 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 555:89] - node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 555:73] - node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4759 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4760 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 555:89] - node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 555:73] - node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4763 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4764 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 555:89] - node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 555:73] - node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4767 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 555:62] - node _T_4768 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 555:89] - node _T_4769 = and(_T_4767, _T_4768) @[lsu_bus_buffer.scala 555:73] - node _T_4770 = and(_T_4769, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:93] - node _T_4771 = or(_T_4758, _T_4762) @[lsu_bus_buffer.scala 555:153] - node _T_4772 = or(_T_4771, _T_4766) @[lsu_bus_buffer.scala 555:153] - node _T_4773 = or(_T_4772, _T_4770) @[lsu_bus_buffer.scala 555:153] - node _T_4774 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 555:171] - node _T_4775 = and(_T_4774, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 555:189] - node _T_4776 = or(_T_4773, _T_4775) @[lsu_bus_buffer.scala 555:157] - bus_sideeffect_pend <= _T_4776 @[lsu_bus_buffer.scala 555:23] - node _T_4777 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4778 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4779 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4780 = eq(_T_4778, _T_4779) @[lsu_bus_buffer.scala 557:37] - node _T_4781 = and(obuf_valid, _T_4780) @[lsu_bus_buffer.scala 557:19] - node _T_4782 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:73] - node _T_4783 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:107] - node _T_4784 = and(obuf_merge, _T_4783) @[lsu_bus_buffer.scala 557:95] - node _T_4785 = or(_T_4782, _T_4784) @[lsu_bus_buffer.scala 557:81] - node _T_4786 = eq(_T_4785, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4787 = and(_T_4781, _T_4786) @[lsu_bus_buffer.scala 557:59] - node _T_4788 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4789 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4790 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4791 = eq(_T_4789, _T_4790) @[lsu_bus_buffer.scala 557:37] - node _T_4792 = and(obuf_valid, _T_4791) @[lsu_bus_buffer.scala 557:19] - node _T_4793 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:73] - node _T_4794 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:107] - node _T_4795 = and(obuf_merge, _T_4794) @[lsu_bus_buffer.scala 557:95] - node _T_4796 = or(_T_4793, _T_4795) @[lsu_bus_buffer.scala 557:81] - node _T_4797 = eq(_T_4796, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4798 = and(_T_4792, _T_4797) @[lsu_bus_buffer.scala 557:59] - node _T_4799 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4800 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4801 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4802 = eq(_T_4800, _T_4801) @[lsu_bus_buffer.scala 557:37] - node _T_4803 = and(obuf_valid, _T_4802) @[lsu_bus_buffer.scala 557:19] - node _T_4804 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:73] - node _T_4805 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 557:107] - node _T_4806 = and(obuf_merge, _T_4805) @[lsu_bus_buffer.scala 557:95] - node _T_4807 = or(_T_4804, _T_4806) @[lsu_bus_buffer.scala 557:81] - node _T_4808 = eq(_T_4807, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4809 = and(_T_4803, _T_4808) @[lsu_bus_buffer.scala 557:59] - node _T_4810 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 556:71] - node _T_4811 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 557:31] - node _T_4812 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 557:51] - node _T_4813 = eq(_T_4811, _T_4812) @[lsu_bus_buffer.scala 557:37] - node _T_4814 = and(obuf_valid, _T_4813) @[lsu_bus_buffer.scala 557:19] - node _T_4815 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:73] - node _T_4816 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 557:107] - node _T_4817 = and(obuf_merge, _T_4816) @[lsu_bus_buffer.scala 557:95] - node _T_4818 = or(_T_4815, _T_4817) @[lsu_bus_buffer.scala 557:81] - node _T_4819 = eq(_T_4818, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:61] - node _T_4820 = and(_T_4814, _T_4819) @[lsu_bus_buffer.scala 557:59] - node _T_4821 = mux(_T_4777, _T_4787, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4822 = mux(_T_4788, _T_4798, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4823 = mux(_T_4799, _T_4809, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4824 = mux(_T_4810, _T_4820, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4825 = or(_T_4821, _T_4822) @[Mux.scala 27:72] - node _T_4826 = or(_T_4825, _T_4823) @[Mux.scala 27:72] - node _T_4827 = or(_T_4826, _T_4824) @[Mux.scala 27:72] - wire _T_4828 : UInt<1> @[Mux.scala 27:72] - _T_4828 <= _T_4827 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4828 @[lsu_bus_buffer.scala 556:26] - node _T_4829 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 559:54] - node _T_4830 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 559:75] - node _T_4831 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 559:153] - node _T_4832 = mux(_T_4829, _T_4830, _T_4831) @[lsu_bus_buffer.scala 559:39] - node _T_4833 = mux(obuf_write, _T_4832, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 559:23] - bus_cmd_ready <= _T_4833 @[lsu_bus_buffer.scala 559:17] - node _T_4834 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 560:40] - bus_wcmd_sent <= _T_4834 @[lsu_bus_buffer.scala 560:17] - node _T_4835 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 561:40] - bus_wdata_sent <= _T_4835 @[lsu_bus_buffer.scala 561:18] - node _T_4836 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 562:35] - node _T_4837 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 562:70] - node _T_4838 = and(_T_4836, _T_4837) @[lsu_bus_buffer.scala 562:52] - node _T_4839 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 562:112] - node _T_4840 = or(_T_4838, _T_4839) @[lsu_bus_buffer.scala 562:89] - bus_cmd_sent <= _T_4840 @[lsu_bus_buffer.scala 562:16] - node _T_4841 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 563:38] - bus_rsp_read <= _T_4841 @[lsu_bus_buffer.scala 563:16] - node _T_4842 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 564:39] - bus_rsp_write <= _T_4842 @[lsu_bus_buffer.scala 564:17] - bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 565:20] - bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 566:21] - node _T_4843 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 567:66] - node _T_4844 = and(bus_rsp_write, _T_4843) @[lsu_bus_buffer.scala 567:40] - bus_rsp_write_error <= _T_4844 @[lsu_bus_buffer.scala 567:23] - node _T_4845 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:64] - node _T_4846 = and(bus_rsp_read, _T_4845) @[lsu_bus_buffer.scala 568:38] - bus_rsp_read_error <= _T_4846 @[lsu_bus_buffer.scala 568:22] - bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 569:17] - node _T_4847 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 572:37] - node _T_4848 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:52] - node _T_4849 = and(_T_4847, _T_4848) @[lsu_bus_buffer.scala 572:50] - node _T_4850 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:69] - node _T_4851 = and(_T_4849, _T_4850) @[lsu_bus_buffer.scala 572:67] - io.lsu_axi.aw.valid <= _T_4851 @[lsu_bus_buffer.scala 572:23] - io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 573:25] - node _T_4852 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 574:75] - node _T_4853 = cat(_T_4852, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4854 = mux(obuf_sideeffect, obuf_addr, _T_4853) @[lsu_bus_buffer.scala 574:33] - io.lsu_axi.aw.bits.addr <= _T_4854 @[lsu_bus_buffer.scala 574:27] - node _T_4855 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4856 = mux(obuf_sideeffect, _T_4855, UInt<3>("h03")) @[lsu_bus_buffer.scala 575:33] - io.lsu_axi.aw.bits.size <= _T_4856 @[lsu_bus_buffer.scala 575:27] - io.lsu_axi.aw.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 576:27] - node _T_4857 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 577:34] - io.lsu_axi.aw.bits.cache <= _T_4857 @[lsu_bus_buffer.scala 577:28] - node _T_4858 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 578:41] - io.lsu_axi.aw.bits.region <= _T_4858 @[lsu_bus_buffer.scala 578:29] - io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 579:26] - io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 580:28] - io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 581:26] - io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 582:27] - node _T_4859 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 584:36] - node _T_4860 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:51] - node _T_4861 = and(_T_4859, _T_4860) @[lsu_bus_buffer.scala 584:49] - node _T_4862 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 584:69] - node _T_4863 = and(_T_4861, _T_4862) @[lsu_bus_buffer.scala 584:67] - io.lsu_axi.w.valid <= _T_4863 @[lsu_bus_buffer.scala 584:22] - node _T_4864 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4865 = mux(_T_4864, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4866 = and(obuf_byteen, _T_4865) @[lsu_bus_buffer.scala 585:41] - io.lsu_axi.w.bits.strb <= _T_4866 @[lsu_bus_buffer.scala 585:26] - io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 586:26] - io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 587:26] - node _T_4867 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:39] - node _T_4868 = and(obuf_valid, _T_4867) @[lsu_bus_buffer.scala 589:37] - node _T_4869 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:53] - node _T_4870 = and(_T_4868, _T_4869) @[lsu_bus_buffer.scala 589:51] - node _T_4871 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 589:68] - node _T_4872 = and(_T_4870, _T_4871) @[lsu_bus_buffer.scala 589:66] - io.lsu_axi.ar.valid <= _T_4872 @[lsu_bus_buffer.scala 589:23] - io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 590:25] - node _T_4873 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 591:75] - node _T_4874 = cat(_T_4873, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4875 = mux(obuf_sideeffect, obuf_addr, _T_4874) @[lsu_bus_buffer.scala 591:33] - io.lsu_axi.ar.bits.addr <= _T_4875 @[lsu_bus_buffer.scala 591:27] - node _T_4876 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4877 = mux(obuf_sideeffect, _T_4876, UInt<3>("h03")) @[lsu_bus_buffer.scala 592:33] - io.lsu_axi.ar.bits.size <= _T_4877 @[lsu_bus_buffer.scala 592:27] - io.lsu_axi.ar.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 593:27] - node _T_4878 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 594:34] - io.lsu_axi.ar.bits.cache <= _T_4878 @[lsu_bus_buffer.scala 594:28] - node _T_4879 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 595:41] - io.lsu_axi.ar.bits.region <= _T_4879 @[lsu_bus_buffer.scala 595:29] - io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 596:26] - io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 597:28] - io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 598:26] - io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 599:27] - io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 600:22] - io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 601:22] - node _T_4880 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4881 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 602:137] - node _T_4882 = and(io.lsu_bus_clk_en_q, _T_4881) @[lsu_bus_buffer.scala 602:126] - node _T_4883 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 602:152] - node _T_4884 = and(_T_4882, _T_4883) @[lsu_bus_buffer.scala 602:141] - node _T_4885 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4886 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 602:137] - node _T_4887 = and(io.lsu_bus_clk_en_q, _T_4886) @[lsu_bus_buffer.scala 602:126] - node _T_4888 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 602:152] - node _T_4889 = and(_T_4887, _T_4888) @[lsu_bus_buffer.scala 602:141] - node _T_4890 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4891 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 602:137] - node _T_4892 = and(io.lsu_bus_clk_en_q, _T_4891) @[lsu_bus_buffer.scala 602:126] - node _T_4893 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 602:152] - node _T_4894 = and(_T_4892, _T_4893) @[lsu_bus_buffer.scala 602:141] - node _T_4895 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 602:93] - node _T_4896 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 602:137] - node _T_4897 = and(io.lsu_bus_clk_en_q, _T_4896) @[lsu_bus_buffer.scala 602:126] - node _T_4898 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 602:152] - node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 602:141] - node _T_4900 = mux(_T_4880, _T_4884, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4901 = mux(_T_4885, _T_4889, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4902 = mux(_T_4890, _T_4894, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4903 = mux(_T_4895, _T_4899, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4904 = or(_T_4900, _T_4901) @[Mux.scala 27:72] - node _T_4905 = or(_T_4904, _T_4902) @[Mux.scala 27:72] - node _T_4906 = or(_T_4905, _T_4903) @[Mux.scala 27:72] - wire _T_4907 : UInt<1> @[Mux.scala 27:72] - _T_4907 <= _T_4906 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4907 @[lsu_bus_buffer.scala 602:48] - node _T_4908 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4909 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 603:104] - node _T_4910 = and(_T_4908, _T_4909) @[lsu_bus_buffer.scala 603:93] - node _T_4911 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 603:119] - node _T_4912 = and(_T_4910, _T_4911) @[lsu_bus_buffer.scala 603:108] - node _T_4913 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4914 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 603:104] - node _T_4915 = and(_T_4913, _T_4914) @[lsu_bus_buffer.scala 603:93] - node _T_4916 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 603:119] - node _T_4917 = and(_T_4915, _T_4916) @[lsu_bus_buffer.scala 603:108] - node _T_4918 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4919 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 603:104] - node _T_4920 = and(_T_4918, _T_4919) @[lsu_bus_buffer.scala 603:93] - node _T_4921 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 603:119] - node _T_4922 = and(_T_4920, _T_4921) @[lsu_bus_buffer.scala 603:108] - node _T_4923 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 603:82] - node _T_4924 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 603:104] - node _T_4925 = and(_T_4923, _T_4924) @[lsu_bus_buffer.scala 603:93] - node _T_4926 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 603:119] - node _T_4927 = and(_T_4925, _T_4926) @[lsu_bus_buffer.scala 603:108] - node _T_4928 = mux(_T_4912, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4929 = mux(_T_4917, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4930 = mux(_T_4922, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4931 = mux(_T_4927, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4932 = or(_T_4928, _T_4929) @[Mux.scala 27:72] - node _T_4933 = or(_T_4932, _T_4930) @[Mux.scala 27:72] - node _T_4934 = or(_T_4933, _T_4931) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] + node _T_4712 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 553:121] + node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 553:92] + node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 555:82] + node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 555:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 555:48] + node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:94] + node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 556:76] + node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:144] + node _T_4719 = cat(UInt<24>("h00"), _T_4718) @[Cat.scala 29:58] + node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] + node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 557:26] + node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:95] + node _T_4723 = cat(UInt<16>("h00"), _T_4722) @[Cat.scala 29:58] + node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:6] + node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:45] + node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 558:27] + node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 558:93] + node _T_4728 = bits(_T_4727, 0, 0) @[Bitwise.scala 72:15] + node _T_4729 = mux(_T_4728, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 558:123] + node _T_4731 = cat(_T_4729, _T_4730) @[Cat.scala 29:58] + node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 559:6] + node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 559:45] + node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 559:27] + node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 559:93] + node _T_4736 = bits(_T_4735, 0, 0) @[Bitwise.scala 72:15] + node _T_4737 = mux(_T_4736, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 559:124] + node _T_4739 = cat(_T_4737, _T_4738) @[Cat.scala 29:58] + node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 560:21] + node _T_4741 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4742 = mux(_T_4721, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4743 = mux(_T_4726, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4744 = mux(_T_4734, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4745 = mux(_T_4740, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4746 = or(_T_4741, _T_4742) @[Mux.scala 27:72] + node _T_4747 = or(_T_4746, _T_4743) @[Mux.scala 27:72] + node _T_4748 = or(_T_4747, _T_4744) @[Mux.scala 27:72] + node _T_4749 = or(_T_4748, _T_4745) @[Mux.scala 27:72] + wire _T_4750 : UInt<64> @[Mux.scala 27:72] + _T_4750 <= _T_4749 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 556:42] + node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 561:89] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 561:73] + node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] + node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 561:89] + node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 561:73] + node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] + node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 561:89] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 561:73] + node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] + node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 561:89] + node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 561:73] + node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] + node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 561:153] + node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 561:153] + node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 561:153] + node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 561:171] + node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:189] + node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 561:157] + bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 561:23] + node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 563:37] + node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 563:19] + node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:73] + node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:107] + node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 563:95] + node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 563:81] + node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 563:59] + node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 563:37] + node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 563:19] + node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:73] + node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:107] + node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 563:95] + node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 563:81] + node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 563:59] + node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 563:37] + node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 563:19] + node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:73] + node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:107] + node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 563:95] + node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 563:81] + node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 563:59] + node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 563:37] + node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 563:19] + node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:73] + node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:107] + node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 563:95] + node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 563:81] + node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 563:59] + node _T_4817 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4818 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4819 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4820 = mux(_T_4806, _T_4816, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4821 = or(_T_4817, _T_4818) @[Mux.scala 27:72] + node _T_4822 = or(_T_4821, _T_4819) @[Mux.scala 27:72] + node _T_4823 = or(_T_4822, _T_4820) @[Mux.scala 27:72] + wire _T_4824 : UInt<1> @[Mux.scala 27:72] + _T_4824 <= _T_4823 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 562:26] + node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 565:54] + node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 565:75] + node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:153] + node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 565:39] + node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 565:23] + bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 565:17] + node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 566:40] + bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 566:17] + node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 567:40] + bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 567:18] + node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 568:35] + node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 568:70] + node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 568:52] + node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 568:112] + node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 568:89] + bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 568:16] + node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 569:38] + bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 569:16] + node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 570:39] + bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 570:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 571:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 572:21] + node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 573:66] + node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 573:40] + bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 573:23] + node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 574:64] + node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 574:38] + bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 574:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 575:17] + node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 578:37] + node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:52] + node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 578:50] + node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:69] + node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 578:67] + io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 578:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 579:25] + node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 580:75] + node _T_4849 = cat(_T_4848, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 580:33] + io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 580:27] + node _T_4851 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 581:33] + io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 581:27] + io.lsu_axi.aw.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 582:27] + node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 583:34] + io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 583:28] + node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 584:41] + io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 584:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 585:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 586:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 587:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 588:27] + node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 590:36] + node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:51] + node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 590:49] + node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:69] + node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 590:67] + io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 590:22] + node _T_4860 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4861 = mux(_T_4860, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 591:41] + io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 591:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 592:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 593:26] + node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:39] + node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 595:37] + node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:53] + node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 595:51] + node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:68] + node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 595:66] + io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 595:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 596:25] + node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 597:75] + node _T_4870 = cat(_T_4869, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 597:33] + io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 597:27] + node _T_4872 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 598:33] + io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 598:27] + io.lsu_axi.ar.bits.prot <= UInt<3>("h01") @[lsu_bus_buffer.scala 599:27] + node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 600:34] + io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 600:28] + node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 601:41] + io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 601:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 602:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 603:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 605:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 606:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 607:22] + node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 608:137] + node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 608:126] + node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 608:152] + node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 608:141] + node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 608:137] + node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 608:126] + node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 608:152] + node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 608:141] + node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 608:137] + node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 608:126] + node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 608:152] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 608:141] + node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 608:137] + node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 608:126] + node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 608:152] + node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 608:141] + node _T_4896 = mux(_T_4876, _T_4880, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4897 = mux(_T_4881, _T_4885, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4898 = mux(_T_4886, _T_4890, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4899 = mux(_T_4891, _T_4895, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4900 = or(_T_4896, _T_4897) @[Mux.scala 27:72] + node _T_4901 = or(_T_4900, _T_4898) @[Mux.scala 27:72] + node _T_4902 = or(_T_4901, _T_4899) @[Mux.scala 27:72] + wire _T_4903 : UInt<1> @[Mux.scala 27:72] + _T_4903 <= _T_4902 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 608:48] + node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 609:104] + node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 609:93] + node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 609:119] + node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 609:108] + node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 609:104] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 609:93] + node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 609:119] + node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 609:108] + node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 609:104] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 609:93] + node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 609:119] + node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 609:108] + node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 609:104] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 609:93] + node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 609:119] + node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 609:108] + node _T_4924 = mux(_T_4908, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4925 = mux(_T_4913, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4926 = mux(_T_4918, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4927 = mux(_T_4923, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4928 = or(_T_4924, _T_4925) @[Mux.scala 27:72] + node _T_4929 = or(_T_4928, _T_4926) @[Mux.scala 27:72] + node _T_4930 = or(_T_4929, _T_4927) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4934 @[Mux.scala 27:72] - node _T_4935 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 605:97] - node _T_4936 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4935) @[lsu_bus_buffer.scala 605:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4936 @[lsu_bus_buffer.scala 605:47] - node _T_4937 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 606:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4937 @[lsu_bus_buffer.scala 606:47] - node _T_4938 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 612:59] - node _T_4939 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 612:104] - node _T_4940 = or(_T_4938, _T_4939) @[lsu_bus_buffer.scala 612:82] - node _T_4941 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 612:149] - node _T_4942 = or(_T_4940, _T_4941) @[lsu_bus_buffer.scala 612:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4942 @[lsu_bus_buffer.scala 612:35] - node _T_4943 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 613:60] - node _T_4944 = and(_T_4943, io.lsu_commit_r) @[lsu_bus_buffer.scala 613:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4944 @[lsu_bus_buffer.scala 613:41] - node _T_4945 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 614:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4945 @[lsu_bus_buffer.scala 614:36] - node _T_4946 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:61] - node _T_4947 = and(io.lsu_axi.aw.valid, _T_4946) @[lsu_bus_buffer.scala 616:59] - node _T_4948 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:107] - node _T_4949 = and(io.lsu_axi.w.valid, _T_4948) @[lsu_bus_buffer.scala 616:105] - node _T_4950 = or(_T_4947, _T_4949) @[lsu_bus_buffer.scala 616:83] - node _T_4951 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:153] - node _T_4952 = and(io.lsu_axi.ar.valid, _T_4951) @[lsu_bus_buffer.scala 616:151] - node _T_4953 = or(_T_4950, _T_4952) @[lsu_bus_buffer.scala 616:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4953 @[lsu_bus_buffer.scala 616:35] - reg _T_4954 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 618:49] - _T_4954 <= WrPtr0_m @[lsu_bus_buffer.scala 618:49] - WrPtr0_r <= _T_4954 @[lsu_bus_buffer.scala 618:12] - reg _T_4955 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 619:49] - _T_4955 <= WrPtr1_m @[lsu_bus_buffer.scala 619:49] - WrPtr1_r <= _T_4955 @[lsu_bus_buffer.scala 619:12] - node _T_4956 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:75] - node _T_4957 = and(io.lsu_busreq_m, _T_4956) @[lsu_bus_buffer.scala 620:73] - node _T_4958 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:89] - node _T_4959 = and(_T_4957, _T_4958) @[lsu_bus_buffer.scala 620:87] - reg _T_4960 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 620:56] - _T_4960 <= _T_4959 @[lsu_bus_buffer.scala 620:56] - io.lsu_busreq_r <= _T_4960 @[lsu_bus_buffer.scala 620:19] - reg _T_4961 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 621:66] - _T_4961 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 621:66] - lsu_nonblock_load_valid_r <= _T_4961 @[lsu_bus_buffer.scala 621:29] + lsu_imprecise_error_store_tag <= _T_4930 @[Mux.scala 27:72] + node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 611:97] + node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 611:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 611:47] + node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 612:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 612:47] + node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 618:59] + node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 618:104] + node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 618:82] + node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 618:149] + node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 618:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 618:35] + node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 619:60] + node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 619:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 619:41] + node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 620:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 620:36] + node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:61] + node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 622:59] + node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:107] + node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 622:105] + node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 622:83] + node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:153] + node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 622:151] + node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 622:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 622:35] + reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:49] + _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 624:49] + WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 624:12] + reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:49] + _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 625:49] + WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 625:12] + node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:75] + node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 626:73] + node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:89] + node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 626:87] + reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 626:56] + _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 626:56] + io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 626:19] + reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 627:66] + _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 627:66] + lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 627:29] module lsu_bus_intf : input clock : Clock diff --git a/lsu_bus_intf.v b/lsu_bus_intf.v index 11338933..caf7b28a 100644 --- a/lsu_bus_intf.v +++ b/lsu_bus_intf.v @@ -305,24 +305,24 @@ module lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 144:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 505:60] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 511:60] wire _T_2590 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 415:93] wire _T_4104 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4127 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4131 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1781; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 355:13] - wire _T_4138 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 456:48] + wire _T_4138 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 458:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_376 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 456:104] - wire _T_4139 = _GEN_376 == 3'h3; // @[lsu_bus_buffer.scala 456:104] - wire _T_4140 = obuf_merge & _T_4139; // @[lsu_bus_buffer.scala 456:91] - wire _T_4141 = _T_4138 | _T_4140; // @[lsu_bus_buffer.scala 456:77] + wire [2:0] _GEN_376 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 458:104] + wire _T_4139 = _GEN_376 == 3'h3; // @[lsu_bus_buffer.scala 458:104] + wire _T_4140 = obuf_merge & _T_4139; // @[lsu_bus_buffer.scala 458:91] + wire _T_4141 = _T_4138 | _T_4140; // @[lsu_bus_buffer.scala 458:77] reg obuf_valid; // @[lsu_bus_buffer.scala 348:54] - wire _T_4142 = _T_4141 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] + wire _T_4142 = _T_4141 & obuf_valid; // @[lsu_bus_buffer.scala 458:135] reg obuf_wr_enQ; // @[Reg.scala 27:20] - wire _T_4143 = _T_4142 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] + wire _T_4143 = _T_4142 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 458:148] wire _T_4165 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4250 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4268 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] @@ -339,12 +339,12 @@ module lsu_bus_buffer( wire _T_3913 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3936 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3940 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3947 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 456:48] - wire _T_3948 = _GEN_376 == 3'h2; // @[lsu_bus_buffer.scala 456:104] - wire _T_3949 = obuf_merge & _T_3948; // @[lsu_bus_buffer.scala 456:91] - wire _T_3950 = _T_3947 | _T_3949; // @[lsu_bus_buffer.scala 456:77] - wire _T_3951 = _T_3950 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] - wire _T_3952 = _T_3951 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] + wire _T_3947 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 458:48] + wire _T_3948 = _GEN_376 == 3'h2; // @[lsu_bus_buffer.scala 458:104] + wire _T_3949 = obuf_merge & _T_3948; // @[lsu_bus_buffer.scala 458:91] + wire _T_3950 = _T_3947 | _T_3949; // @[lsu_bus_buffer.scala 458:77] + wire _T_3951 = _T_3950 & obuf_valid; // @[lsu_bus_buffer.scala 458:135] + wire _T_3952 = _T_3951 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 458:148] wire _T_3974 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4059 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4077 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] @@ -360,12 +360,12 @@ module lsu_bus_buffer( wire _T_3722 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3745 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3749 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3756 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 456:48] - wire _T_3757 = _GEN_376 == 3'h1; // @[lsu_bus_buffer.scala 456:104] - wire _T_3758 = obuf_merge & _T_3757; // @[lsu_bus_buffer.scala 456:91] - wire _T_3759 = _T_3756 | _T_3758; // @[lsu_bus_buffer.scala 456:77] - wire _T_3760 = _T_3759 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] - wire _T_3761 = _T_3760 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] + wire _T_3756 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 458:48] + wire _T_3757 = _GEN_376 == 3'h1; // @[lsu_bus_buffer.scala 458:104] + wire _T_3758 = obuf_merge & _T_3757; // @[lsu_bus_buffer.scala 458:91] + wire _T_3759 = _T_3756 | _T_3758; // @[lsu_bus_buffer.scala 458:77] + wire _T_3760 = _T_3759 & obuf_valid; // @[lsu_bus_buffer.scala 458:135] + wire _T_3761 = _T_3760 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 458:148] wire _T_3783 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3868 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3886 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] @@ -381,12 +381,12 @@ module lsu_bus_buffer( wire _T_3531 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3554 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3558 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3565 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 456:48] - wire _T_3566 = _GEN_376 == 3'h0; // @[lsu_bus_buffer.scala 456:104] - wire _T_3567 = obuf_merge & _T_3566; // @[lsu_bus_buffer.scala 456:91] - wire _T_3568 = _T_3565 | _T_3567; // @[lsu_bus_buffer.scala 456:77] - wire _T_3569 = _T_3568 & obuf_valid; // @[lsu_bus_buffer.scala 456:135] - wire _T_3570 = _T_3569 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 456:148] + wire _T_3565 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 458:48] + wire _T_3566 = _GEN_376 == 3'h0; // @[lsu_bus_buffer.scala 458:104] + wire _T_3567 = obuf_merge & _T_3566; // @[lsu_bus_buffer.scala 458:91] + wire _T_3568 = _T_3565 | _T_3567; // @[lsu_bus_buffer.scala 458:77] + wire _T_3569 = _T_3568 & obuf_valid; // @[lsu_bus_buffer.scala 458:135] + wire _T_3570 = _T_3569 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 458:148] wire _T_3592 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3677 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3695 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] @@ -423,7 +423,7 @@ module lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 160:69] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 149:150] wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 505:60] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 511:60] wire _T_2562 = buf_ageQ_2[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] wire _T_2564 = _T_2562 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire _T_2555 = buf_ageQ_2[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] @@ -445,7 +445,7 @@ module lsu_bus_buffer( wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 149:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 149:97] wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 505:60] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 511:60] wire _T_2531 = buf_ageQ_1[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] wire _T_2533 = _T_2531 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire _T_2524 = buf_ageQ_1[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] @@ -467,7 +467,7 @@ module lsu_bus_buffer( wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 149:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 149:97] wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 149:148] - reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 505:60] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 511:60] wire _T_2500 = buf_ageQ_0[3] & _T_2592; // @[lsu_bus_buffer.scala 415:76] wire _T_2502 = _T_2500 & _T_2594; // @[lsu_bus_buffer.scala 415:130] wire _T_2493 = buf_ageQ_0[2] & _T_2585; // @[lsu_bus_buffer.scala 415:76] @@ -930,8 +930,8 @@ module lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 219:32] wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 213:34] wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 213:49] - reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 619:49] - reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 618:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 625:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 624:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 229:77] @@ -974,33 +974,33 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4445 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 525:64] - wire _T_4446 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 525:91] - wire _T_4447 = _T_4445 & _T_4446; // @[lsu_bus_buffer.scala 525:89] - wire _T_4440 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 525:64] - wire _T_4441 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 525:91] - wire _T_4442 = _T_4440 & _T_4441; // @[lsu_bus_buffer.scala 525:89] - wire [1:0] _T_4448 = _T_4447 + _T_4442; // @[lsu_bus_buffer.scala 525:142] - wire _T_4435 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 525:64] - wire _T_4436 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 525:91] - wire _T_4437 = _T_4435 & _T_4436; // @[lsu_bus_buffer.scala 525:89] - wire [1:0] _GEN_380 = {{1'd0}, _T_4437}; // @[lsu_bus_buffer.scala 525:142] - wire [2:0] _T_4449 = _T_4448 + _GEN_380; // @[lsu_bus_buffer.scala 525:142] - wire _T_4430 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 525:64] - wire _T_4431 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 525:91] - wire _T_4432 = _T_4430 & _T_4431; // @[lsu_bus_buffer.scala 525:89] - wire [2:0] _GEN_381 = {{2'd0}, _T_4432}; // @[lsu_bus_buffer.scala 525:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4449 + _GEN_381; // @[lsu_bus_buffer.scala 525:142] + wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 531:64] + wire _T_4442 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 531:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 531:89] + wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 531:64] + wire _T_4437 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 531:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 531:89] + wire [1:0] _T_4444 = _T_4443 + _T_4438; // @[lsu_bus_buffer.scala 531:142] + wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 531:64] + wire _T_4432 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 531:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 531:89] + wire [1:0] _GEN_380 = {{1'd0}, _T_4433}; // @[lsu_bus_buffer.scala 531:142] + wire [2:0] _T_4445 = _T_4444 + _GEN_380; // @[lsu_bus_buffer.scala 531:142] + wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 531:64] + wire _T_4427 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 531:91] + wire _T_4428 = _T_4426 & _T_4427; // @[lsu_bus_buffer.scala 531:89] + wire [2:0] _GEN_381 = {{2'd0}, _T_4428}; // @[lsu_bus_buffer.scala 531:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_381; // @[lsu_bus_buffer.scala 531:142] wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] - wire _T_4462 = _T_2590 & _T_4446; // @[lsu_bus_buffer.scala 526:73] - wire _T_4459 = _T_2583 & _T_4441; // @[lsu_bus_buffer.scala 526:73] - wire [1:0] _T_4463 = _T_4462 + _T_4459; // @[lsu_bus_buffer.scala 526:126] - wire _T_4456 = _T_2576 & _T_4436; // @[lsu_bus_buffer.scala 526:73] - wire [1:0] _GEN_382 = {{1'd0}, _T_4456}; // @[lsu_bus_buffer.scala 526:126] - wire [2:0] _T_4464 = _T_4463 + _GEN_382; // @[lsu_bus_buffer.scala 526:126] - wire _T_4453 = _T_2569 & _T_4431; // @[lsu_bus_buffer.scala 526:73] - wire [2:0] _GEN_383 = {{2'd0}, _T_4453}; // @[lsu_bus_buffer.scala 526:126] - wire [3:0] buf_numvld_cmd_any = _T_4464 + _GEN_383; // @[lsu_bus_buffer.scala 526:126] + wire _T_4458 = _T_2590 & _T_4442; // @[lsu_bus_buffer.scala 532:73] + wire _T_4455 = _T_2583 & _T_4437; // @[lsu_bus_buffer.scala 532:73] + wire [1:0] _T_4459 = _T_4458 + _T_4455; // @[lsu_bus_buffer.scala 532:126] + wire _T_4452 = _T_2576 & _T_4432; // @[lsu_bus_buffer.scala 532:73] + wire [1:0] _GEN_382 = {{1'd0}, _T_4452}; // @[lsu_bus_buffer.scala 532:126] + wire [2:0] _T_4460 = _T_4459 + _GEN_382; // @[lsu_bus_buffer.scala 532:126] + wire _T_4449 = _T_2569 & _T_4427; // @[lsu_bus_buffer.scala 532:73] + wire [2:0] _GEN_383 = {{2'd0}, _T_4449}; // @[lsu_bus_buffer.scala 532:126] + wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_383; // @[lsu_bus_buffer.scala 532:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] reg _T_1791; // @[Reg.scala 27:20] @@ -1011,19 +1011,19 @@ module lsu_bus_buffer( wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 381:58] wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 381:45] wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 381:63] - wire _T_1923 = _T_1921 & _T_4446; // @[lsu_bus_buffer.scala 381:88] + wire _T_1923 = _T_1921 & _T_4442; // @[lsu_bus_buffer.scala 381:88] wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 381:58] wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 381:45] wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 381:63] - wire _T_1917 = _T_1915 & _T_4441; // @[lsu_bus_buffer.scala 381:88] + wire _T_1917 = _T_1915 & _T_4437; // @[lsu_bus_buffer.scala 381:88] wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 381:58] wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 381:45] wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 381:63] - wire _T_1911 = _T_1909 & _T_4436; // @[lsu_bus_buffer.scala 381:88] + wire _T_1911 = _T_1909 & _T_4432; // @[lsu_bus_buffer.scala 381:88] wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 381:58] wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 381:45] wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 381:63] - wire _T_1905 = _T_1903 & _T_4431; // @[lsu_bus_buffer.scala 381:88] + wire _T_1905 = _T_1903 & _T_4427; // @[lsu_bus_buffer.scala 381:88] wire [3:0] CmdPtr0Dec = {_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire [7:0] _T_1993 = {4'h0,_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 389:42] @@ -1081,44 +1081,44 @@ module lsu_bus_buffer( wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] - wire _T_4481 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4485 = _T_4481 | _T_4462; // @[lsu_bus_buffer.scala 527:74] - wire _T_4476 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4480 = _T_4476 | _T_4459; // @[lsu_bus_buffer.scala 527:74] - wire [1:0] _T_4486 = _T_4485 + _T_4480; // @[lsu_bus_buffer.scala 527:154] - wire _T_4471 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4475 = _T_4471 | _T_4456; // @[lsu_bus_buffer.scala 527:74] - wire [1:0] _GEN_384 = {{1'd0}, _T_4475}; // @[lsu_bus_buffer.scala 527:154] - wire [2:0] _T_4487 = _T_4486 + _GEN_384; // @[lsu_bus_buffer.scala 527:154] - wire _T_4466 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 527:63] - wire _T_4470 = _T_4466 | _T_4453; // @[lsu_bus_buffer.scala 527:74] - wire [2:0] _GEN_385 = {{2'd0}, _T_4470}; // @[lsu_bus_buffer.scala 527:154] - wire [3:0] buf_numvld_pend_any = _T_4487 + _GEN_385; // @[lsu_bus_buffer.scala 527:154] + wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4481 = _T_4477 | _T_4458; // @[lsu_bus_buffer.scala 533:74] + wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4476 = _T_4472 | _T_4455; // @[lsu_bus_buffer.scala 533:74] + wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 533:154] + wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4471 = _T_4467 | _T_4452; // @[lsu_bus_buffer.scala 533:74] + wire [1:0] _GEN_384 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 533:154] + wire [2:0] _T_4483 = _T_4482 + _GEN_384; // @[lsu_bus_buffer.scala 533:154] + wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4466 = _T_4462 | _T_4449; // @[lsu_bus_buffer.scala 533:74] + wire [2:0] _GEN_385 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 533:154] + wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_385; // @[lsu_bus_buffer.scala 533:154] wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 288:32] - wire _T_4755 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4757 = _T_4755 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4759 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4761 = _T_4759 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4771 = _T_4758 | _T_4762; // @[lsu_bus_buffer.scala 555:153] - wire _T_4763 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4765 = _T_4763 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4772 = _T_4771 | _T_4766; // @[lsu_bus_buffer.scala 555:153] - wire _T_4767 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 555:62] - wire _T_4769 = _T_4767 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 555:73] - wire _T_4770 = _T_4769 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:93] - wire _T_4773 = _T_4772 | _T_4770; // @[lsu_bus_buffer.scala 555:153] + wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] + wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] + wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 561:153] + wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] + wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 561:153] + wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] + wire _T_4769 = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 561:153] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_4774 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 555:171] - wire _T_4775 = _T_4774 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 555:189] - wire bus_sideeffect_pend = _T_4773 | _T_4775; // @[lsu_bus_buffer.scala 555:157] + wire _T_4770 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 561:171] + wire _T_4771 = _T_4770 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:189] + wire bus_sideeffect_pend = _T_4769 | _T_4771; // @[lsu_bus_buffer.scala 561:157] wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 288:74] wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 288:52] wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 288:50] @@ -1187,28 +1187,28 @@ module lsu_bus_buffer( wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 382:83] wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 382:81] wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 382:98] - wire _T_1969 = _T_1967 & _T_4446; // @[lsu_bus_buffer.scala 382:123] + wire _T_1969 = _T_1967 & _T_4442; // @[lsu_bus_buffer.scala 382:123] wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 382:59] wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 382:76] wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 382:45] wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 382:83] wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 382:81] wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 382:98] - wire _T_1958 = _T_1956 & _T_4441; // @[lsu_bus_buffer.scala 382:123] + wire _T_1958 = _T_1956 & _T_4437; // @[lsu_bus_buffer.scala 382:123] wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 382:59] wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 382:76] wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 382:45] wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 382:83] wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 382:81] wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 382:98] - wire _T_1947 = _T_1945 & _T_4436; // @[lsu_bus_buffer.scala 382:123] + wire _T_1947 = _T_1945 & _T_4432; // @[lsu_bus_buffer.scala 382:123] wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 382:59] wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 382:76] wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 382:45] wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 382:83] wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 382:81] wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 382:98] - wire _T_1936 = _T_1934 & _T_4431; // @[lsu_bus_buffer.scala 382:123] + wire _T_1936 = _T_1934 & _T_4427; // @[lsu_bus_buffer.scala 382:123] wire [3:0] CmdPtr1Dec = {_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 387:31] wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 291:181] @@ -1227,11 +1227,11 @@ module lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[Reg.scala 27:20] reg obuf_data_done; // @[Reg.scala 27:20] - wire _T_4829 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 559:54] - wire _T_4830 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 559:75] - wire _T_4831 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 559:153] - wire _T_4832 = _T_4829 ? _T_4830 : _T_4831; // @[lsu_bus_buffer.scala 559:39] - wire bus_cmd_ready = obuf_write ? _T_4832 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:23] + wire _T_4825 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 565:54] + wire _T_4826 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 565:75] + wire _T_4827 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:153] + wire _T_4828 = _T_4825 ? _T_4826 : _T_4827; // @[lsu_bus_buffer.scala 565:39] + wire bus_cmd_ready = obuf_write ? _T_4828 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 565:23] wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 292:48] wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 292:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1240,52 +1240,52 @@ module lsu_bus_buffer( wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 292:77] wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 292:75] reg [31:0] obuf_addr; // @[Reg.scala 27:20] - wire _T_4780 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4781 = obuf_valid & _T_4780; // @[lsu_bus_buffer.scala 557:19] - wire _T_4783 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 557:107] - wire _T_4784 = obuf_merge & _T_4783; // @[lsu_bus_buffer.scala 557:95] - wire _T_4785 = _T_3565 | _T_4784; // @[lsu_bus_buffer.scala 557:81] - wire _T_4786 = ~_T_4785; // @[lsu_bus_buffer.scala 557:61] - wire _T_4787 = _T_4781 & _T_4786; // @[lsu_bus_buffer.scala 557:59] - wire _T_4821 = _T_4755 & _T_4787; // @[Mux.scala 27:72] - wire _T_4791 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4792 = obuf_valid & _T_4791; // @[lsu_bus_buffer.scala 557:19] - wire _T_4794 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 557:107] - wire _T_4795 = obuf_merge & _T_4794; // @[lsu_bus_buffer.scala 557:95] - wire _T_4796 = _T_3756 | _T_4795; // @[lsu_bus_buffer.scala 557:81] - wire _T_4797 = ~_T_4796; // @[lsu_bus_buffer.scala 557:61] - wire _T_4798 = _T_4792 & _T_4797; // @[lsu_bus_buffer.scala 557:59] - wire _T_4822 = _T_4759 & _T_4798; // @[Mux.scala 27:72] - wire _T_4825 = _T_4821 | _T_4822; // @[Mux.scala 27:72] - wire _T_4802 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4803 = obuf_valid & _T_4802; // @[lsu_bus_buffer.scala 557:19] - wire _T_4805 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 557:107] - wire _T_4806 = obuf_merge & _T_4805; // @[lsu_bus_buffer.scala 557:95] - wire _T_4807 = _T_3947 | _T_4806; // @[lsu_bus_buffer.scala 557:81] - wire _T_4808 = ~_T_4807; // @[lsu_bus_buffer.scala 557:61] - wire _T_4809 = _T_4803 & _T_4808; // @[lsu_bus_buffer.scala 557:59] - wire _T_4823 = _T_4763 & _T_4809; // @[Mux.scala 27:72] - wire _T_4826 = _T_4825 | _T_4823; // @[Mux.scala 27:72] - wire _T_4813 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 557:37] - wire _T_4814 = obuf_valid & _T_4813; // @[lsu_bus_buffer.scala 557:19] - wire _T_4816 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 557:107] - wire _T_4817 = obuf_merge & _T_4816; // @[lsu_bus_buffer.scala 557:95] - wire _T_4818 = _T_4138 | _T_4817; // @[lsu_bus_buffer.scala 557:81] - wire _T_4819 = ~_T_4818; // @[lsu_bus_buffer.scala 557:61] - wire _T_4820 = _T_4814 & _T_4819; // @[lsu_bus_buffer.scala 557:59] - wire _T_4824 = _T_4767 & _T_4820; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4826 | _T_4824; // @[Mux.scala 27:72] + wire _T_4776 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 563:19] + wire _T_4779 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 563:107] + wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 563:95] + wire _T_4781 = _T_3565 | _T_4780; // @[lsu_bus_buffer.scala 563:81] + wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 563:61] + wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 563:59] + wire _T_4817 = _T_4751 & _T_4783; // @[Mux.scala 27:72] + wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 563:19] + wire _T_4790 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 563:107] + wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 563:95] + wire _T_4792 = _T_3756 | _T_4791; // @[lsu_bus_buffer.scala 563:81] + wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 563:61] + wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 563:59] + wire _T_4818 = _T_4755 & _T_4794; // @[Mux.scala 27:72] + wire _T_4821 = _T_4817 | _T_4818; // @[Mux.scala 27:72] + wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 563:19] + wire _T_4801 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 563:107] + wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 563:95] + wire _T_4803 = _T_3947 | _T_4802; // @[lsu_bus_buffer.scala 563:81] + wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 563:61] + wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 563:59] + wire _T_4819 = _T_4759 & _T_4805; // @[Mux.scala 27:72] + wire _T_4822 = _T_4821 | _T_4819; // @[Mux.scala 27:72] + wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 563:19] + wire _T_4812 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 563:107] + wire _T_4813 = obuf_merge & _T_4812; // @[lsu_bus_buffer.scala 563:95] + wire _T_4814 = _T_4138 | _T_4813; // @[lsu_bus_buffer.scala 563:81] + wire _T_4815 = ~_T_4814; // @[lsu_bus_buffer.scala 563:61] + wire _T_4816 = _T_4810 & _T_4815; // @[lsu_bus_buffer.scala 563:59] + wire _T_4820 = _T_4763 & _T_4816; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4822 | _T_4820; // @[Mux.scala 27:72] wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 292:94] wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 292:92] wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 292:118] wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 295:47] - wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 560:40] - wire _T_4836 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 562:35] - wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 561:40] - wire _T_4837 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 562:70] - wire _T_4838 = _T_4836 & _T_4837; // @[lsu_bus_buffer.scala 562:52] - wire _T_4839 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 562:112] - wire bus_cmd_sent = _T_4838 | _T_4839; // @[lsu_bus_buffer.scala 562:89] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 566:40] + wire _T_4832 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 568:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 567:40] + wire _T_4833 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 568:70] + wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 568:52] + wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 568:112] + wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 568:89] wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 295:33] wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 295:65] wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 295:63] @@ -1326,8 +1326,8 @@ module lsu_bus_buffer( wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 396:11] wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 309:39] wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 309:26] - wire obuf_cmd_done_in = _T_1303 & _T_4836; // @[lsu_bus_buffer.scala 309:51] - wire obuf_data_done_in = _T_1303 & _T_4837; // @[lsu_bus_buffer.scala 312:52] + wire obuf_cmd_done_in = _T_1303 & _T_4832; // @[lsu_bus_buffer.scala 309:51] + wire obuf_data_done_in = _T_1303 & _T_4833; // @[lsu_bus_buffer.scala 312:52] wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 313:72] wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 313:98] wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 313:96] @@ -1350,7 +1350,7 @@ module lsu_bus_buffer( wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 328:20] wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 328:18] reg obuf_rdrsp_pend; // @[Reg.scala 27:20] - wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 563:38] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 569:38] reg [2:0] obuf_rdrsp_tag; // @[Reg.scala 27:20] wire _T_1349 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 328:90] wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 328:70] @@ -1559,7 +1559,7 @@ module lsu_bus_buffer( wire _T_1879 = _T_1873 | _T_1821; // @[lsu_bus_buffer.scala 374:42] wire _T_1880 = ~_T_1879; // @[lsu_bus_buffer.scala 373:78] wire _T_1881 = _T_1814 & _T_1880; // @[lsu_bus_buffer.scala 373:76] - reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 506:63] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 512:63] wire _T_2717 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 417:102] wire _T_2718 = buf_rspageQ_0[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] wire _T_2714 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 417:102] @@ -1572,7 +1572,7 @@ module lsu_bus_buffer( wire _T_1972 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 385:65] wire _T_1973 = ~_T_1972; // @[lsu_bus_buffer.scala 385:44] wire _T_1975 = _T_1973 & _T_2708; // @[lsu_bus_buffer.scala 385:70] - reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 506:63] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 512:63] wire _T_2733 = buf_rspageQ_1[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] wire _T_2730 = buf_rspageQ_1[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] wire _T_2727 = buf_rspageQ_1[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] @@ -1581,7 +1581,7 @@ module lsu_bus_buffer( wire _T_1976 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 385:65] wire _T_1977 = ~_T_1976; // @[lsu_bus_buffer.scala 385:44] wire _T_1979 = _T_1977 & _T_2711; // @[lsu_bus_buffer.scala 385:70] - reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 506:63] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 512:63] wire _T_2748 = buf_rspageQ_2[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] wire _T_2745 = buf_rspageQ_2[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] wire _T_2742 = buf_rspageQ_2[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] @@ -1590,7 +1590,7 @@ module lsu_bus_buffer( wire _T_1980 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 385:65] wire _T_1981 = ~_T_1980; // @[lsu_bus_buffer.scala 385:44] wire _T_1983 = _T_1981 & _T_2714; // @[lsu_bus_buffer.scala 385:70] - reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 506:63] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 512:63] wire _T_2763 = buf_rspageQ_3[3] & _T_2717; // @[lsu_bus_buffer.scala 417:87] wire _T_2760 = buf_rspageQ_3[2] & _T_2714; // @[lsu_bus_buffer.scala 417:87] wire _T_2757 = buf_rspageQ_3[1] & _T_2711; // @[lsu_bus_buffer.scala 417:87] @@ -1623,60 +1623,60 @@ module lsu_bus_buffer( wire _T_3545 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] wire _T_3546 = ibuf_drain_vld & _T_3545; // @[lsu_bus_buffer.scala 443:201] wire _T_3547 = _T_3544 | _T_3546; // @[lsu_bus_buffer.scala 443:183] - wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 564:39] - wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 470:73] - wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 470:52] - wire _T_3638 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 471:46] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 570:39] + wire _T_3636 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 473:73] + wire _T_3637 = bus_rsp_write & _T_3636; // @[lsu_bus_buffer.scala 473:52] + wire _T_3638 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 474:46] reg _T_4302; // @[Reg.scala 27:20] reg _T_4300; // @[Reg.scala 27:20] reg _T_4298; // @[Reg.scala 27:20] reg _T_4296; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4302,_T_4300,_T_4298,_T_4296}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_386 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 472:47] - wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 472:47] - wire _T_3641 = buf_ldfwd[0] & _T_3640; // @[lsu_bus_buffer.scala 472:27] - wire _T_3642 = _T_3638 | _T_3641; // @[lsu_bus_buffer.scala 471:77] - wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 473:26] - wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 473:44] - wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 473:42] - wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 473:58] + wire [2:0] _GEN_386 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_386; // @[lsu_bus_buffer.scala 475:47] + wire _T_3641 = buf_ldfwd[0] & _T_3640; // @[lsu_bus_buffer.scala 475:27] + wire _T_3642 = _T_3638 | _T_3641; // @[lsu_bus_buffer.scala 474:77] + wire _T_3643 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 476:26] + wire _T_3645 = ~buf_write[0]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3646 = _T_3643 & _T_3645; // @[lsu_bus_buffer.scala 476:42] + wire _T_3647 = _T_3646 & buf_samedw_0; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 473:94] - wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 473:94] - wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 473:74] - wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 472:71] - wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 471:25] - wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 470:105] + wire [2:0] _GEN_387 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3648 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 476:94] + wire _T_3649 = _T_3647 & _T_3648; // @[lsu_bus_buffer.scala 476:74] + wire _T_3650 = _T_3642 | _T_3649; // @[lsu_bus_buffer.scala 475:71] + wire _T_3651 = bus_rsp_read & _T_3650; // @[lsu_bus_buffer.scala 474:25] + wire _T_3652 = _T_3637 | _T_3651; // @[lsu_bus_buffer.scala 473:105] wire _GEN_52 = _T_3592 & _T_3652; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3558 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_3554 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_83; // @[Conditional.scala 40:58] - wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 486:21] + wire _GEN_72 = _T_3558 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_84 = _T_3554 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] + wire [3:0] _T_3687 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 490:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_33 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_34 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_33; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_35 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_34; // @[lsu_bus_buffer.scala 486:58] - wire [2:0] _GEN_389 = {{1'd0}, _GEN_35}; // @[lsu_bus_buffer.scala 486:58] - wire _T_3689 = io_lsu_axi_r_bits_id == _GEN_389; // @[lsu_bus_buffer.scala 486:58] - wire _T_3690 = _T_3687[0] & _T_3689; // @[lsu_bus_buffer.scala 486:38] - wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 485:95] - wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 485:45] + wire [1:0] _GEN_33 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_34 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_33; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_35 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_34; // @[lsu_bus_buffer.scala 490:58] + wire [2:0] _GEN_389 = {{1'd0}, _GEN_35}; // @[lsu_bus_buffer.scala 490:58] + wire _T_3689 = io_lsu_axi_r_bits_id == _GEN_389; // @[lsu_bus_buffer.scala 490:58] + wire _T_3690 = _T_3687[0] & _T_3689; // @[lsu_bus_buffer.scala 490:38] + wire _T_3691 = _T_3648 | _T_3690; // @[lsu_bus_buffer.scala 489:95] + wire _T_3692 = bus_rsp_read & _T_3691; // @[lsu_bus_buffer.scala 489:45] wire _GEN_46 = _T_3677 & _T_3692; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3592 ? buf_resp_state_bus_en_0 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_63 = _T_3558 ? buf_cmd_state_bus_en_0 : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_76 = _T_3554 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_76; // @[Conditional.scala 40:58] - wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] - wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] + wire _GEN_77 = _T_3554 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3531 ? 1'h0 : _GEN_77; // @[Conditional.scala 40:58] + wire _T_3571 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 460:49] + wire _T_3572 = _T_3571 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 460:70] wire [1:0] RspPtr = _T_2066[1:0]; // @[lsu_bus_buffer.scala 397:10] - wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 492:37] - wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 492:98] - wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 492:80] - wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 492:65] - wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] + wire _T_3698 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 497:37] + wire _T_3699 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 497:98] + wire _T_3700 = buf_dual_0 & _T_3699; // @[lsu_bus_buffer.scala 497:80] + wire _T_3701 = _T_3698 | _T_3700; // @[lsu_bus_buffer.scala 497:65] + wire _T_3702 = _T_3701 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] wire _GEN_41 = _T_3695 ? _T_3702 : _T_3703; // @[Conditional.scala 39:67] wire _GEN_47 = _T_3677 ? _T_3572 : _GEN_41; // @[Conditional.scala 39:67] wire _GEN_54 = _T_3592 ? _T_3572 : _GEN_47; // @[Conditional.scala 39:67] @@ -1688,7 +1688,7 @@ module lsu_bus_buffer( wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 411:41] wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 411:71] wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2081 = _T_4470 | _T_2080; // @[lsu_bus_buffer.scala 410:86] + wire _T_2081 = _T_4466 | _T_2080; // @[lsu_bus_buffer.scala 410:86] wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 412:17] wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 412:35] wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 412:52] @@ -1697,19 +1697,19 @@ module lsu_bus_buffer( wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 409:113] wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2106 = _T_4475 | _T_2105; // @[lsu_bus_buffer.scala 410:86] + wire _T_2106 = _T_4471 | _T_2105; // @[lsu_bus_buffer.scala 410:86] wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 411:114] wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 409:113] wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2131 = _T_4480 | _T_2130; // @[lsu_bus_buffer.scala 410:86] + wire _T_2131 = _T_4476 | _T_2130; // @[lsu_bus_buffer.scala 410:86] wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 411:114] wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 409:113] wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2156 = _T_4485 | _T_2155; // @[lsu_bus_buffer.scala 410:86] + wire _T_2156 = _T_4481 | _T_2155; // @[lsu_bus_buffer.scala 410:86] wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 411:114] wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 409:113] @@ -1724,49 +1724,49 @@ module lsu_bus_buffer( wire _T_3736 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] wire _T_3737 = ibuf_drain_vld & _T_3736; // @[lsu_bus_buffer.scala 443:201] wire _T_3738 = _T_3735 | _T_3737; // @[lsu_bus_buffer.scala 443:183] - wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 470:73] - wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 470:52] - wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 471:46] - wire [2:0] _GEN_390 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 472:47] - wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_390; // @[lsu_bus_buffer.scala 472:47] - wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 472:27] - wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 471:77] - wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 473:26] - wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 473:44] - wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 473:42] - wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 473:58] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 473:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 473:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_390 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_390; // @[lsu_bus_buffer.scala 475:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 475:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 474:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 476:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 476:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_391 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 473:94] - wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_391; // @[lsu_bus_buffer.scala 473:94] - wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 473:74] - wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 472:71] - wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 471:25] - wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 470:105] + wire [2:0] _GEN_391 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_391; // @[lsu_bus_buffer.scala 476:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 476:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 475:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 474:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 473:105] wire _GEN_128 = _T_3783 & _T_3843; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3749 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire _GEN_159 = _T_3745 ? 1'h0 : _GEN_147; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_159; // @[Conditional.scala 40:58] - wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 486:21] - wire [1:0] _GEN_109 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_110 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_109; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_111 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_110; // @[lsu_bus_buffer.scala 486:58] - wire [2:0] _GEN_393 = {{1'd0}, _GEN_111}; // @[lsu_bus_buffer.scala 486:58] - wire _T_3880 = io_lsu_axi_r_bits_id == _GEN_393; // @[lsu_bus_buffer.scala 486:58] - wire _T_3881 = _T_3878[0] & _T_3880; // @[lsu_bus_buffer.scala 486:38] - wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 485:95] - wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 485:45] + wire _GEN_148 = _T_3749 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire _GEN_160 = _T_3745 ? 1'h0 : _GEN_148; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_160; // @[Conditional.scala 40:58] + wire [3:0] _T_3878 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 490:21] + wire [1:0] _GEN_109 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_110 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_109; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_111 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_110; // @[lsu_bus_buffer.scala 490:58] + wire [2:0] _GEN_393 = {{1'd0}, _GEN_111}; // @[lsu_bus_buffer.scala 490:58] + wire _T_3880 = io_lsu_axi_r_bits_id == _GEN_393; // @[lsu_bus_buffer.scala 490:58] + wire _T_3881 = _T_3878[0] & _T_3880; // @[lsu_bus_buffer.scala 490:38] + wire _T_3882 = _T_3839 | _T_3881; // @[lsu_bus_buffer.scala 489:95] + wire _T_3883 = bus_rsp_read & _T_3882; // @[lsu_bus_buffer.scala 489:45] wire _GEN_122 = _T_3868 & _T_3883; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3783 ? buf_resp_state_bus_en_1 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_139 = _T_3749 ? buf_cmd_state_bus_en_1 : _GEN_129; // @[Conditional.scala 39:67] - wire _GEN_152 = _T_3745 ? 1'h0 : _GEN_139; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_152; // @[Conditional.scala 40:58] - wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] - wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] - wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 492:37] - wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 492:98] - wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 492:80] - wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 492:65] - wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] + wire _GEN_153 = _T_3745 ? 1'h0 : _GEN_139; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3722 ? 1'h0 : _GEN_153; // @[Conditional.scala 40:58] + wire _T_3762 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 460:49] + wire _T_3763 = _T_3762 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 460:70] + wire _T_3889 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 497:37] + wire _T_3890 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 497:98] + wire _T_3891 = buf_dual_1 & _T_3890; // @[lsu_bus_buffer.scala 497:80] + wire _T_3892 = _T_3889 | _T_3891; // @[lsu_bus_buffer.scala 497:65] + wire _T_3893 = _T_3892 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] wire _GEN_117 = _T_3886 ? _T_3893 : _T_3894; // @[Conditional.scala 39:67] wire _GEN_123 = _T_3868 ? _T_3763 : _GEN_117; // @[Conditional.scala 39:67] wire _GEN_130 = _T_3783 ? _T_3763 : _GEN_123; // @[Conditional.scala 39:67] @@ -1776,26 +1776,26 @@ module lsu_bus_buffer( wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 409:94] wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 411:71] wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2183 = _T_4470 | _T_2182; // @[lsu_bus_buffer.scala 410:86] + wire _T_2183 = _T_4466 | _T_2182; // @[lsu_bus_buffer.scala 410:86] wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 412:52] wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 412:73] wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 411:114] wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 409:113] wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2208 = _T_4475 | _T_2207; // @[lsu_bus_buffer.scala 410:86] + wire _T_2208 = _T_4471 | _T_2207; // @[lsu_bus_buffer.scala 410:86] wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 411:114] wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 409:113] wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2233 = _T_4480 | _T_2232; // @[lsu_bus_buffer.scala 410:86] + wire _T_2233 = _T_4476 | _T_2232; // @[lsu_bus_buffer.scala 410:86] wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 411:114] wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 409:113] wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2258 = _T_4485 | _T_2257; // @[lsu_bus_buffer.scala 410:86] + wire _T_2258 = _T_4481 | _T_2257; // @[lsu_bus_buffer.scala 410:86] wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 411:114] wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 409:113] @@ -1810,49 +1810,49 @@ module lsu_bus_buffer( wire _T_3927 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] wire _T_3928 = ibuf_drain_vld & _T_3927; // @[lsu_bus_buffer.scala 443:201] wire _T_3929 = _T_3926 | _T_3928; // @[lsu_bus_buffer.scala 443:183] - wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 470:73] - wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 470:52] - wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 471:46] - wire [2:0] _GEN_394 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 472:47] - wire _T_4022 = io_lsu_axi_r_bits_id == _GEN_394; // @[lsu_bus_buffer.scala 472:47] - wire _T_4023 = buf_ldfwd[2] & _T_4022; // @[lsu_bus_buffer.scala 472:27] - wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 471:77] - wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 473:26] - wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 473:44] - wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 473:42] - wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 473:58] + wire _T_4018 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 473:73] + wire _T_4019 = bus_rsp_write & _T_4018; // @[lsu_bus_buffer.scala 473:52] + wire _T_4020 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_394 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4022 = io_lsu_axi_r_bits_id == _GEN_394; // @[lsu_bus_buffer.scala 475:47] + wire _T_4023 = buf_ldfwd[2] & _T_4022; // @[lsu_bus_buffer.scala 475:27] + wire _T_4024 = _T_4020 | _T_4023; // @[lsu_bus_buffer.scala 474:77] + wire _T_4025 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 476:26] + wire _T_4027 = ~buf_write[2]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4028 = _T_4025 & _T_4027; // @[lsu_bus_buffer.scala 476:42] + wire _T_4029 = _T_4028 & buf_samedw_2; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_395 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 473:94] - wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_395; // @[lsu_bus_buffer.scala 473:94] - wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 473:74] - wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 472:71] - wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 471:25] - wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 470:105] + wire [2:0] _GEN_395 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4030 = io_lsu_axi_r_bits_id == _GEN_395; // @[lsu_bus_buffer.scala 476:94] + wire _T_4031 = _T_4029 & _T_4030; // @[lsu_bus_buffer.scala 476:74] + wire _T_4032 = _T_4024 | _T_4031; // @[lsu_bus_buffer.scala 475:71] + wire _T_4033 = bus_rsp_read & _T_4032; // @[lsu_bus_buffer.scala 474:25] + wire _T_4034 = _T_4019 | _T_4033; // @[lsu_bus_buffer.scala 473:105] wire _GEN_204 = _T_3974 & _T_4034; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3940 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire _GEN_235 = _T_3936 ? 1'h0 : _GEN_223; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_235; // @[Conditional.scala 40:58] - wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 486:21] - wire [1:0] _GEN_185 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_186 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_185; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_187 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_186; // @[lsu_bus_buffer.scala 486:58] - wire [2:0] _GEN_397 = {{1'd0}, _GEN_187}; // @[lsu_bus_buffer.scala 486:58] - wire _T_4071 = io_lsu_axi_r_bits_id == _GEN_397; // @[lsu_bus_buffer.scala 486:58] - wire _T_4072 = _T_4069[0] & _T_4071; // @[lsu_bus_buffer.scala 486:38] - wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 485:95] - wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 485:45] + wire _GEN_224 = _T_3940 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire _GEN_236 = _T_3936 ? 1'h0 : _GEN_224; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_236; // @[Conditional.scala 40:58] + wire [3:0] _T_4069 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 490:21] + wire [1:0] _GEN_185 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_186 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_185; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_187 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_186; // @[lsu_bus_buffer.scala 490:58] + wire [2:0] _GEN_397 = {{1'd0}, _GEN_187}; // @[lsu_bus_buffer.scala 490:58] + wire _T_4071 = io_lsu_axi_r_bits_id == _GEN_397; // @[lsu_bus_buffer.scala 490:58] + wire _T_4072 = _T_4069[0] & _T_4071; // @[lsu_bus_buffer.scala 490:38] + wire _T_4073 = _T_4030 | _T_4072; // @[lsu_bus_buffer.scala 489:95] + wire _T_4074 = bus_rsp_read & _T_4073; // @[lsu_bus_buffer.scala 489:45] wire _GEN_198 = _T_4059 & _T_4074; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3974 ? buf_resp_state_bus_en_2 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_215 = _T_3940 ? buf_cmd_state_bus_en_2 : _GEN_205; // @[Conditional.scala 39:67] - wire _GEN_228 = _T_3936 ? 1'h0 : _GEN_215; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_228; // @[Conditional.scala 40:58] - wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] - wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] - wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 492:37] - wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 492:98] - wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 492:80] - wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 492:65] - wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] + wire _GEN_229 = _T_3936 ? 1'h0 : _GEN_215; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3913 ? 1'h0 : _GEN_229; // @[Conditional.scala 40:58] + wire _T_3953 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 460:49] + wire _T_3954 = _T_3953 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 460:70] + wire _T_4080 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 497:37] + wire _T_4081 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 497:98] + wire _T_4082 = buf_dual_2 & _T_4081; // @[lsu_bus_buffer.scala 497:80] + wire _T_4083 = _T_4080 | _T_4082; // @[lsu_bus_buffer.scala 497:65] + wire _T_4084 = _T_4083 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] wire _GEN_193 = _T_4077 ? _T_4084 : _T_4085; // @[Conditional.scala 39:67] wire _GEN_199 = _T_4059 ? _T_3954 : _GEN_193; // @[Conditional.scala 39:67] wire _GEN_206 = _T_3974 ? _T_3954 : _GEN_199; // @[Conditional.scala 39:67] @@ -1862,26 +1862,26 @@ module lsu_bus_buffer( wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 409:94] wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 411:71] wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2285 = _T_4470 | _T_2284; // @[lsu_bus_buffer.scala 410:86] + wire _T_2285 = _T_4466 | _T_2284; // @[lsu_bus_buffer.scala 410:86] wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 412:52] wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 412:73] wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 411:114] wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 409:113] wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2310 = _T_4475 | _T_2309; // @[lsu_bus_buffer.scala 410:86] + wire _T_2310 = _T_4471 | _T_2309; // @[lsu_bus_buffer.scala 410:86] wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 411:114] wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 409:113] wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2335 = _T_4480 | _T_2334; // @[lsu_bus_buffer.scala 410:86] + wire _T_2335 = _T_4476 | _T_2334; // @[lsu_bus_buffer.scala 410:86] wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 411:114] wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 409:113] wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2360 = _T_4485 | _T_2359; // @[lsu_bus_buffer.scala 410:86] + wire _T_2360 = _T_4481 | _T_2359; // @[lsu_bus_buffer.scala 410:86] wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 411:114] wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 409:113] @@ -1896,49 +1896,49 @@ module lsu_bus_buffer( wire _T_4118 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] wire _T_4119 = ibuf_drain_vld & _T_4118; // @[lsu_bus_buffer.scala 443:201] wire _T_4120 = _T_4117 | _T_4119; // @[lsu_bus_buffer.scala 443:183] - wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 470:73] - wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 470:52] - wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 471:46] - wire [2:0] _GEN_398 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 472:47] - wire _T_4213 = io_lsu_axi_r_bits_id == _GEN_398; // @[lsu_bus_buffer.scala 472:47] - wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[lsu_bus_buffer.scala 472:27] - wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 471:77] - wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 473:26] - wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 473:44] - wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 473:42] - wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 473:58] + wire _T_4209 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 473:73] + wire _T_4210 = bus_rsp_write & _T_4209; // @[lsu_bus_buffer.scala 473:52] + wire _T_4211 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_398 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4213 = io_lsu_axi_r_bits_id == _GEN_398; // @[lsu_bus_buffer.scala 475:47] + wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[lsu_bus_buffer.scala 475:27] + wire _T_4215 = _T_4211 | _T_4214; // @[lsu_bus_buffer.scala 474:77] + wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 476:26] + wire _T_4218 = ~buf_write[3]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4219 = _T_4216 & _T_4218; // @[lsu_bus_buffer.scala 476:42] + wire _T_4220 = _T_4219 & buf_samedw_3; // @[lsu_bus_buffer.scala 476:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_399 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 473:94] - wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_399; // @[lsu_bus_buffer.scala 473:94] - wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 473:74] - wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 472:71] - wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 471:25] - wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 470:105] + wire [2:0] _GEN_399 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4221 = io_lsu_axi_r_bits_id == _GEN_399; // @[lsu_bus_buffer.scala 476:94] + wire _T_4222 = _T_4220 & _T_4221; // @[lsu_bus_buffer.scala 476:74] + wire _T_4223 = _T_4215 | _T_4222; // @[lsu_bus_buffer.scala 475:71] + wire _T_4224 = bus_rsp_read & _T_4223; // @[lsu_bus_buffer.scala 474:25] + wire _T_4225 = _T_4210 | _T_4224; // @[lsu_bus_buffer.scala 473:105] wire _GEN_280 = _T_4165 & _T_4225; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4131 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire _GEN_311 = _T_4127 ? 1'h0 : _GEN_299; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_311; // @[Conditional.scala 40:58] - wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 486:21] - wire [1:0] _GEN_261 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_262 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_261; // @[lsu_bus_buffer.scala 486:58] - wire [1:0] _GEN_263 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_262; // @[lsu_bus_buffer.scala 486:58] - wire [2:0] _GEN_401 = {{1'd0}, _GEN_263}; // @[lsu_bus_buffer.scala 486:58] - wire _T_4262 = io_lsu_axi_r_bits_id == _GEN_401; // @[lsu_bus_buffer.scala 486:58] - wire _T_4263 = _T_4260[0] & _T_4262; // @[lsu_bus_buffer.scala 486:38] - wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 485:95] - wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 485:45] + wire _GEN_300 = _T_4131 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire _GEN_312 = _T_4127 ? 1'h0 : _GEN_300; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_312; // @[Conditional.scala 40:58] + wire [3:0] _T_4260 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 490:21] + wire [1:0] _GEN_261 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_262 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_261; // @[lsu_bus_buffer.scala 490:58] + wire [1:0] _GEN_263 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_262; // @[lsu_bus_buffer.scala 490:58] + wire [2:0] _GEN_401 = {{1'd0}, _GEN_263}; // @[lsu_bus_buffer.scala 490:58] + wire _T_4262 = io_lsu_axi_r_bits_id == _GEN_401; // @[lsu_bus_buffer.scala 490:58] + wire _T_4263 = _T_4260[0] & _T_4262; // @[lsu_bus_buffer.scala 490:38] + wire _T_4264 = _T_4221 | _T_4263; // @[lsu_bus_buffer.scala 489:95] + wire _T_4265 = bus_rsp_read & _T_4264; // @[lsu_bus_buffer.scala 489:45] wire _GEN_274 = _T_4250 & _T_4265; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4165 ? buf_resp_state_bus_en_3 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_291 = _T_4131 ? buf_cmd_state_bus_en_3 : _GEN_281; // @[Conditional.scala 39:67] - wire _GEN_304 = _T_4127 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_304; // @[Conditional.scala 40:58] - wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 458:49] - wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 458:70] - wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 492:37] - wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 492:98] - wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 492:80] - wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 492:65] - wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 492:112] + wire _GEN_305 = _T_4127 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4104 ? 1'h0 : _GEN_305; // @[Conditional.scala 40:58] + wire _T_4144 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 460:49] + wire _T_4145 = _T_4144 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 460:70] + wire _T_4271 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 497:37] + wire _T_4272 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 497:98] + wire _T_4273 = buf_dual_3 & _T_4272; // @[lsu_bus_buffer.scala 497:80] + wire _T_4274 = _T_4271 | _T_4273; // @[lsu_bus_buffer.scala 497:65] + wire _T_4275 = _T_4274 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 497:112] wire _GEN_269 = _T_4268 ? _T_4275 : _T_4276; // @[Conditional.scala 39:67] wire _GEN_275 = _T_4250 ? _T_4145 : _GEN_269; // @[Conditional.scala 39:67] wire _GEN_282 = _T_4165 ? _T_4145 : _GEN_275; // @[Conditional.scala 39:67] @@ -1948,26 +1948,26 @@ module lsu_bus_buffer( wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 409:94] wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 411:71] wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 411:92] - wire _T_2387 = _T_4470 | _T_2386; // @[lsu_bus_buffer.scala 410:86] + wire _T_2387 = _T_4466 | _T_2386; // @[lsu_bus_buffer.scala 410:86] wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 412:52] wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 412:73] wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 411:114] wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 409:113] wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 412:97] wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 411:92] - wire _T_2412 = _T_4475 | _T_2411; // @[lsu_bus_buffer.scala 410:86] + wire _T_2412 = _T_4471 | _T_2411; // @[lsu_bus_buffer.scala 410:86] wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 412:73] wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 411:114] wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 409:113] wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 412:97] wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 411:92] - wire _T_2437 = _T_4480 | _T_2436; // @[lsu_bus_buffer.scala 410:86] + wire _T_2437 = _T_4476 | _T_2436; // @[lsu_bus_buffer.scala 410:86] wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 412:73] wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 411:114] wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 409:113] wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 412:97] wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 411:92] - wire _T_2462 = _T_4485 | _T_2461; // @[lsu_bus_buffer.scala 410:86] + wire _T_2462 = _T_4481 | _T_2461; // @[lsu_bus_buffer.scala 410:86] wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 412:73] wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 411:114] wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 409:113] @@ -2146,44 +2146,44 @@ module lsu_bus_buffer( wire _T_3525 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] wire _T_3527 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] wire [3:0] buf_write_in = {_T_3527,_T_3525,_T_3523,_T_3521}; // @[Cat.scala 29:58] - wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 455:89] - wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 455:104] - wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 460:44] - wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] - wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 460:74] - wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] - wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_4845 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 568:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4845; // @[lsu_bus_buffer.scala 568:38] - wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] - wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 477:91] - wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 478:31] - wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 478:46] - wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 477:143] - wire _T_4843 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 567:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4843; // @[lsu_bus_buffer.scala 567:40] - wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 479:33] - wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 478:88] - wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 477:68] + wire _T_3560 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 457:89] + wire _T_3562 = _T_3560 & _T_1349; // @[lsu_bus_buffer.scala 457:104] + wire _T_3575 = buf_state_en_0 & _T_3645; // @[lsu_bus_buffer.scala 462:44] + wire _T_3576 = _T_3575 & obuf_nosend; // @[lsu_bus_buffer.scala 462:60] + wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 462:74] + wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 464:67] + wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 464:81] + wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 574:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 574:38] + wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 465:82] + wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 480:91] + wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 481:46] + wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 480:143] + wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 573:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 573:40] + wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 482:33] + wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 481:88] + wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 480:68] wire _GEN_56 = _T_3592 & _T_3668; // @[Conditional.scala 39:67] wire _GEN_69 = _T_3558 ? _T_3585 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_3554 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3531 ? 1'h0 : _GEN_81; // @[Conditional.scala 40:58] - wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 467:75] - wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 467:73] - wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 467:57] - wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 468:30] - wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 468:28] - wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 468:45] - wire [2:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_29; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 468:90] - wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 468:90] - wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 468:61] - wire _T_4493 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 528:93] - wire _T_4494 = _T_4493 | _T_2711; // @[lsu_bus_buffer.scala 528:93] - wire any_done_wait_state = _T_4494 | _T_2708; // @[lsu_bus_buffer.scala 528:93] - wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] + wire _GEN_82 = _T_3554 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3531 ? 1'h0 : _GEN_82; // @[Conditional.scala 40:58] + wire _T_3594 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:75] + wire _T_3595 = buf_write[0] & _T_3594; // @[lsu_bus_buffer.scala 470:73] + wire _T_3596 = io_dec_tlu_force_halt | _T_3595; // @[lsu_bus_buffer.scala 470:57] + wire _T_3598 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 471:30] + wire _T_3599 = buf_dual_0 & _T_3598; // @[lsu_bus_buffer.scala 471:28] + wire _T_3602 = _T_3599 & _T_3645; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_29 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_30 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_29; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 471:90] + wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 471:61] + wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 534:93] + wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 534:93] + wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 534:93] + wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] wire _T_3612 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3614 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3616 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2195,63 +2195,63 @@ module lsu_bus_buffer( wire _T_3624 = _T_3620 | _T_3621; // @[Mux.scala 27:72] wire _T_3625 = _T_3624 | _T_3622; // @[Mux.scala 27:72] wire _T_3626 = _T_3625 | _T_3623; // @[Mux.scala 27:72] - wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 469:101] - wire _T_3629 = _GEN_31 == 3'h4; // @[lsu_bus_buffer.scala 469:167] - wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 469:138] - wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] - wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 469:53] - wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] - wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] - wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 480:50] - wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 480:48] - wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 484:90] - wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] + wire _T_3628 = _T_3602 & _T_3626; // @[lsu_bus_buffer.scala 472:101] + wire _T_3629 = _GEN_31 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3630 = _T_3628 & _T_3629; // @[lsu_bus_buffer.scala 472:138] + wire _T_3631 = _T_3630 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3632 = _T_3606 | _T_3631; // @[lsu_bus_buffer.scala 472:53] + wire _T_3655 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3656 = _T_3655 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3669 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 483:50] + wire _T_3670 = buf_state_en_0 & _T_3669; // @[lsu_bus_buffer.scala 483:48] + wire _T_3682 = buf_ldfwd[0] | _T_3687[0]; // @[lsu_bus_buffer.scala 488:90] + wire _T_3683 = _T_3682 | any_done_wait_state; // @[lsu_bus_buffer.scala 488:118] wire _GEN_39 = _T_3703 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3695 ? 1'h0 : _T_3703; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3695 ? io_dec_tlu_force_halt : _T_3703; // @[Conditional.scala 39:67] wire _GEN_44 = _T_3695 ? io_dec_tlu_force_halt : _GEN_39; // @[Conditional.scala 39:67] - wire _GEN_49 = _T_3677 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_49 = _T_3677 ? io_dec_tlu_force_halt : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_50 = _T_3677 ? io_dec_tlu_force_halt : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_55 = _T_3592 & _T_3656; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3592 ? 1'h0 : _GEN_49; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3592 ? io_dec_tlu_force_halt : _GEN_49; // @[Conditional.scala 39:67] wire _GEN_60 = _T_3592 ? io_dec_tlu_force_halt : _GEN_50; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3558 ? _T_3578 : _GEN_60; // @[Conditional.scala 39:67] wire _GEN_68 = _T_3558 ? _T_3582 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_72 = _T_3558 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire _GEN_78 = _T_3554 ? io_dec_tlu_force_halt : _GEN_66; // @[Conditional.scala 39:67] - wire _GEN_80 = _T_3554 ? 1'h0 : _GEN_68; // @[Conditional.scala 39:67] - wire _GEN_84 = _T_3554 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3558 ? io_dec_tlu_force_halt : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_76 = _T_3554 ? io_dec_tlu_force_halt : _GEN_71; // @[Conditional.scala 39:67] + wire _GEN_79 = _T_3554 ? io_dec_tlu_force_halt : _GEN_66; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_3554 ? 1'h0 : _GEN_68; // @[Conditional.scala 39:67] wire buf_wr_en_0 = _T_3531 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3531 ? buf_state_en_0 : _GEN_80; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_78; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3531 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] - wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 460:44] - wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] - wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 460:74] - wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] - wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] - wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 477:91] - wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 478:31] - wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 478:46] - wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 477:143] - wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 479:33] - wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 478:88] - wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 477:68] + wire buf_data_en_0 = _T_3531 ? buf_state_en_0 : _GEN_81; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_76; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3531 ? io_dec_tlu_force_halt : _GEN_79; // @[Conditional.scala 40:58] + wire _T_3766 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 462:44] + wire _T_3767 = _T_3766 & obuf_nosend; // @[lsu_bus_buffer.scala 462:60] + wire _T_3769 = _T_3767 & _T_2594; // @[lsu_bus_buffer.scala 462:74] + wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 464:67] + wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 464:81] + wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 465:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 480:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 481:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 480:143] + wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 482:33] + wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 481:88] + wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 480:68] wire _GEN_132 = _T_3783 & _T_3859; // @[Conditional.scala 39:67] wire _GEN_145 = _T_3749 ? _T_3776 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_157 = _T_3745 ? 1'h0 : _GEN_145; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3722 ? 1'h0 : _GEN_157; // @[Conditional.scala 40:58] - wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 467:73] - wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 467:57] - wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 468:30] - wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 468:28] - wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 468:45] - wire [2:0] _GEN_105 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_106 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_105; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_107 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_106; // @[lsu_bus_buffer.scala 468:90] - wire _T_3794 = _GEN_107 != 3'h4; // @[lsu_bus_buffer.scala 468:90] - wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 468:61] - wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] + wire _GEN_158 = _T_3745 ? 1'h0 : _GEN_145; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3722 ? 1'h0 : _GEN_158; // @[Conditional.scala 40:58] + wire _T_3786 = buf_write[1] & _T_3594; // @[lsu_bus_buffer.scala 470:73] + wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 470:57] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 471:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 471:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_105 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_106 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_105; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_107 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_106; // @[lsu_bus_buffer.scala 471:90] + wire _T_3794 = _GEN_107 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 471:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2263,63 +2263,63 @@ module lsu_bus_buffer( wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] - wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 469:101] - wire _T_3820 = _GEN_107 == 3'h4; // @[lsu_bus_buffer.scala 469:167] - wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 469:138] - wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] - wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 469:53] - wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] - wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] - wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 480:50] - wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 480:48] - wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 484:90] - wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 472:101] + wire _T_3820 = _GEN_107 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 472:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 472:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3860 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 483:50] + wire _T_3861 = buf_state_en_1 & _T_3860; // @[lsu_bus_buffer.scala 483:48] + wire _T_3873 = buf_ldfwd[1] | _T_3878[0]; // @[lsu_bus_buffer.scala 488:90] + wire _T_3874 = _T_3873 | any_done_wait_state; // @[lsu_bus_buffer.scala 488:118] wire _GEN_115 = _T_3894 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3886 ? 1'h0 : _T_3894; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3886 ? io_dec_tlu_force_halt : _T_3894; // @[Conditional.scala 39:67] wire _GEN_120 = _T_3886 ? io_dec_tlu_force_halt : _GEN_115; // @[Conditional.scala 39:67] - wire _GEN_125 = _T_3868 ? 1'h0 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_125 = _T_3868 ? io_dec_tlu_force_halt : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_126 = _T_3868 ? io_dec_tlu_force_halt : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_131 = _T_3783 & _T_3847; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3783 ? 1'h0 : _GEN_125; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3783 ? io_dec_tlu_force_halt : _GEN_125; // @[Conditional.scala 39:67] wire _GEN_136 = _T_3783 ? io_dec_tlu_force_halt : _GEN_126; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3749 ? _T_3769 : _GEN_136; // @[Conditional.scala 39:67] wire _GEN_144 = _T_3749 ? _T_3773 : _GEN_131; // @[Conditional.scala 39:67] - wire _GEN_148 = _T_3749 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire _GEN_154 = _T_3745 ? io_dec_tlu_force_halt : _GEN_142; // @[Conditional.scala 39:67] - wire _GEN_156 = _T_3745 ? 1'h0 : _GEN_144; // @[Conditional.scala 39:67] - wire _GEN_160 = _T_3745 ? 1'h0 : _GEN_148; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3749 ? io_dec_tlu_force_halt : _GEN_135; // @[Conditional.scala 39:67] + wire _GEN_152 = _T_3745 ? io_dec_tlu_force_halt : _GEN_147; // @[Conditional.scala 39:67] + wire _GEN_155 = _T_3745 ? io_dec_tlu_force_halt : _GEN_142; // @[Conditional.scala 39:67] + wire _GEN_157 = _T_3745 ? 1'h0 : _GEN_144; // @[Conditional.scala 39:67] wire buf_wr_en_1 = _T_3722 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3722 ? buf_state_en_1 : _GEN_156; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_154; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3722 ? 1'h0 : _GEN_160; // @[Conditional.scala 40:58] - wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 460:44] - wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] - wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 460:74] - wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] - wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] - wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 477:91] - wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 478:31] - wire _T_4045 = _T_4043 & _T_4022; // @[lsu_bus_buffer.scala 478:46] - wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 477:143] - wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 479:33] - wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 478:88] - wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 477:68] + wire buf_data_en_1 = _T_3722 ? buf_state_en_1 : _GEN_157; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_152; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3722 ? io_dec_tlu_force_halt : _GEN_155; // @[Conditional.scala 40:58] + wire _T_3957 = buf_state_en_2 & _T_4027; // @[lsu_bus_buffer.scala 462:44] + wire _T_3958 = _T_3957 & obuf_nosend; // @[lsu_bus_buffer.scala 462:60] + wire _T_3960 = _T_3958 & _T_2594; // @[lsu_bus_buffer.scala 462:74] + wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 464:67] + wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 464:81] + wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 465:82] + wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 480:91] + wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4045 = _T_4043 & _T_4022; // @[lsu_bus_buffer.scala 481:46] + wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 480:143] + wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 482:33] + wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 481:88] + wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 480:68] wire _GEN_208 = _T_3974 & _T_4050; // @[Conditional.scala 39:67] wire _GEN_221 = _T_3940 ? _T_3967 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_233 = _T_3936 ? 1'h0 : _GEN_221; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3913 ? 1'h0 : _GEN_233; // @[Conditional.scala 40:58] - wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 467:73] - wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 467:57] - wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 468:30] - wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 468:28] - wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 468:45] - wire [2:0] _GEN_181 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_182 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_181; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_183 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_182; // @[lsu_bus_buffer.scala 468:90] - wire _T_3985 = _GEN_183 != 3'h4; // @[lsu_bus_buffer.scala 468:90] - wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 468:61] - wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] + wire _GEN_234 = _T_3936 ? 1'h0 : _GEN_221; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3913 ? 1'h0 : _GEN_234; // @[Conditional.scala 40:58] + wire _T_3977 = buf_write[2] & _T_3594; // @[lsu_bus_buffer.scala 470:73] + wire _T_3978 = io_dec_tlu_force_halt | _T_3977; // @[lsu_bus_buffer.scala 470:57] + wire _T_3980 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 471:30] + wire _T_3981 = buf_dual_2 & _T_3980; // @[lsu_bus_buffer.scala 471:28] + wire _T_3984 = _T_3981 & _T_4027; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_181 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_182 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_181; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_183 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_182; // @[lsu_bus_buffer.scala 471:90] + wire _T_3985 = _GEN_183 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3986 = _T_3984 & _T_3985; // @[lsu_bus_buffer.scala 471:61] + wire _T_3988 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] wire _T_3994 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3996 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_3998 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2331,63 +2331,63 @@ module lsu_bus_buffer( wire _T_4006 = _T_4002 | _T_4003; // @[Mux.scala 27:72] wire _T_4007 = _T_4006 | _T_4004; // @[Mux.scala 27:72] wire _T_4008 = _T_4007 | _T_4005; // @[Mux.scala 27:72] - wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 469:101] - wire _T_4011 = _GEN_183 == 3'h4; // @[lsu_bus_buffer.scala 469:167] - wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 469:138] - wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] - wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 469:53] - wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] - wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] - wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 480:50] - wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 480:48] - wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 484:90] - wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] + wire _T_4010 = _T_3984 & _T_4008; // @[lsu_bus_buffer.scala 472:101] + wire _T_4011 = _GEN_183 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4012 = _T_4010 & _T_4011; // @[lsu_bus_buffer.scala 472:138] + wire _T_4013 = _T_4012 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4014 = _T_3988 | _T_4013; // @[lsu_bus_buffer.scala 472:53] + wire _T_4037 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4038 = _T_4037 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4051 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 483:50] + wire _T_4052 = buf_state_en_2 & _T_4051; // @[lsu_bus_buffer.scala 483:48] + wire _T_4064 = buf_ldfwd[2] | _T_4069[0]; // @[lsu_bus_buffer.scala 488:90] + wire _T_4065 = _T_4064 | any_done_wait_state; // @[lsu_bus_buffer.scala 488:118] wire _GEN_191 = _T_4085 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_4077 ? 1'h0 : _T_4085; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_4077 ? io_dec_tlu_force_halt : _T_4085; // @[Conditional.scala 39:67] wire _GEN_196 = _T_4077 ? io_dec_tlu_force_halt : _GEN_191; // @[Conditional.scala 39:67] - wire _GEN_201 = _T_4059 ? 1'h0 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_201 = _T_4059 ? io_dec_tlu_force_halt : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_202 = _T_4059 ? io_dec_tlu_force_halt : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_207 = _T_3974 & _T_4038; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3974 ? 1'h0 : _GEN_201; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3974 ? io_dec_tlu_force_halt : _GEN_201; // @[Conditional.scala 39:67] wire _GEN_212 = _T_3974 ? io_dec_tlu_force_halt : _GEN_202; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3940 ? _T_3960 : _GEN_212; // @[Conditional.scala 39:67] wire _GEN_220 = _T_3940 ? _T_3964 : _GEN_207; // @[Conditional.scala 39:67] - wire _GEN_224 = _T_3940 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire _GEN_230 = _T_3936 ? io_dec_tlu_force_halt : _GEN_218; // @[Conditional.scala 39:67] - wire _GEN_232 = _T_3936 ? 1'h0 : _GEN_220; // @[Conditional.scala 39:67] - wire _GEN_236 = _T_3936 ? 1'h0 : _GEN_224; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3940 ? io_dec_tlu_force_halt : _GEN_211; // @[Conditional.scala 39:67] + wire _GEN_228 = _T_3936 ? io_dec_tlu_force_halt : _GEN_223; // @[Conditional.scala 39:67] + wire _GEN_231 = _T_3936 ? io_dec_tlu_force_halt : _GEN_218; // @[Conditional.scala 39:67] + wire _GEN_233 = _T_3936 ? 1'h0 : _GEN_220; // @[Conditional.scala 39:67] wire buf_wr_en_2 = _T_3913 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3913 ? buf_state_en_2 : _GEN_232; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_230; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3913 ? 1'h0 : _GEN_236; // @[Conditional.scala 40:58] - wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 460:44] - wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 460:60] - wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 460:74] - wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 462:67] - wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 462:81] - wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 463:82] - wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 477:91] - wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 478:31] - wire _T_4236 = _T_4234 & _T_4213; // @[lsu_bus_buffer.scala 478:46] - wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 477:143] - wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 479:33] - wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 478:88] - wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 477:68] + wire buf_data_en_2 = _T_3913 ? buf_state_en_2 : _GEN_233; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_228; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3913 ? io_dec_tlu_force_halt : _GEN_231; // @[Conditional.scala 40:58] + wire _T_4148 = buf_state_en_3 & _T_4218; // @[lsu_bus_buffer.scala 462:44] + wire _T_4149 = _T_4148 & obuf_nosend; // @[lsu_bus_buffer.scala 462:60] + wire _T_4151 = _T_4149 & _T_2594; // @[lsu_bus_buffer.scala 462:74] + wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 464:67] + wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 464:81] + wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 465:82] + wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 480:91] + wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4236 = _T_4234 & _T_4213; // @[lsu_bus_buffer.scala 481:46] + wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 480:143] + wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 482:33] + wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 481:88] + wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 480:68] wire _GEN_284 = _T_4165 & _T_4241; // @[Conditional.scala 39:67] wire _GEN_297 = _T_4131 ? _T_4158 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_309 = _T_4127 ? 1'h0 : _GEN_297; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4104 ? 1'h0 : _GEN_309; // @[Conditional.scala 40:58] - wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 467:73] - wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 467:57] - wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 468:30] - wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 468:28] - wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 468:45] - wire [2:0] _GEN_257 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_258 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_257; // @[lsu_bus_buffer.scala 468:90] - wire [2:0] _GEN_259 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_258; // @[lsu_bus_buffer.scala 468:90] - wire _T_4176 = _GEN_259 != 3'h4; // @[lsu_bus_buffer.scala 468:90] - wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 468:61] - wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 469:31] + wire _GEN_310 = _T_4127 ? 1'h0 : _GEN_297; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4104 ? 1'h0 : _GEN_310; // @[Conditional.scala 40:58] + wire _T_4168 = buf_write[3] & _T_3594; // @[lsu_bus_buffer.scala 470:73] + wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[lsu_bus_buffer.scala 470:57] + wire _T_4171 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 471:30] + wire _T_4172 = buf_dual_3 & _T_4171; // @[lsu_bus_buffer.scala 471:28] + wire _T_4175 = _T_4172 & _T_4218; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_257 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_258 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_257; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_259 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_258; // @[lsu_bus_buffer.scala 471:90] + wire _T_4176 = _GEN_259 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_4177 = _T_4175 & _T_4176; // @[lsu_bus_buffer.scala 471:61] + wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] wire _T_4185 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_4187 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 60:118] wire _T_4189 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 60:118] @@ -2399,253 +2399,249 @@ module lsu_bus_buffer( wire _T_4197 = _T_4193 | _T_4194; // @[Mux.scala 27:72] wire _T_4198 = _T_4197 | _T_4195; // @[Mux.scala 27:72] wire _T_4199 = _T_4198 | _T_4196; // @[Mux.scala 27:72] - wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 469:101] - wire _T_4202 = _GEN_259 == 3'h4; // @[lsu_bus_buffer.scala 469:167] - wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 469:138] - wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 469:187] - wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 469:53] - wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 476:47] - wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 476:62] - wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 480:50] - wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 480:48] - wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 484:90] - wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 484:118] + wire _T_4201 = _T_4175 & _T_4199; // @[lsu_bus_buffer.scala 472:101] + wire _T_4202 = _GEN_259 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4203 = _T_4201 & _T_4202; // @[lsu_bus_buffer.scala 472:138] + wire _T_4204 = _T_4203 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4205 = _T_4179 | _T_4204; // @[lsu_bus_buffer.scala 472:53] + wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4242 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 483:50] + wire _T_4243 = buf_state_en_3 & _T_4242; // @[lsu_bus_buffer.scala 483:48] + wire _T_4255 = buf_ldfwd[3] | _T_4260[0]; // @[lsu_bus_buffer.scala 488:90] + wire _T_4256 = _T_4255 | any_done_wait_state; // @[lsu_bus_buffer.scala 488:118] wire _GEN_267 = _T_4276 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4268 ? 1'h0 : _T_4276; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4268 ? io_dec_tlu_force_halt : _T_4276; // @[Conditional.scala 39:67] wire _GEN_272 = _T_4268 ? io_dec_tlu_force_halt : _GEN_267; // @[Conditional.scala 39:67] - wire _GEN_277 = _T_4250 ? 1'h0 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_277 = _T_4250 ? io_dec_tlu_force_halt : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_278 = _T_4250 ? io_dec_tlu_force_halt : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_283 = _T_4165 & _T_4229; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4165 ? 1'h0 : _GEN_277; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4165 ? io_dec_tlu_force_halt : _GEN_277; // @[Conditional.scala 39:67] wire _GEN_288 = _T_4165 ? io_dec_tlu_force_halt : _GEN_278; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4131 ? _T_4151 : _GEN_288; // @[Conditional.scala 39:67] wire _GEN_296 = _T_4131 ? _T_4155 : _GEN_283; // @[Conditional.scala 39:67] - wire _GEN_300 = _T_4131 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire _GEN_306 = _T_4127 ? io_dec_tlu_force_halt : _GEN_294; // @[Conditional.scala 39:67] - wire _GEN_308 = _T_4127 ? 1'h0 : _GEN_296; // @[Conditional.scala 39:67] - wire _GEN_312 = _T_4127 ? 1'h0 : _GEN_300; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4131 ? io_dec_tlu_force_halt : _GEN_287; // @[Conditional.scala 39:67] + wire _GEN_304 = _T_4127 ? io_dec_tlu_force_halt : _GEN_299; // @[Conditional.scala 39:67] + wire _GEN_307 = _T_4127 ? io_dec_tlu_force_halt : _GEN_294; // @[Conditional.scala 39:67] + wire _GEN_309 = _T_4127 ? 1'h0 : _GEN_296; // @[Conditional.scala 39:67] wire buf_wr_en_3 = _T_4104 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4104 ? buf_state_en_3 : _GEN_308; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4104 ? io_dec_tlu_force_halt : _GEN_306; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4104 ? 1'h0 : _GEN_312; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4104 ? buf_state_en_3 : _GEN_309; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4104 ? io_dec_tlu_force_halt : _GEN_304; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4104 ? io_dec_tlu_force_halt : _GEN_307; // @[Conditional.scala 40:58] reg _T_4331; // @[Reg.scala 27:20] reg _T_4334; // @[Reg.scala 27:20] reg _T_4337; // @[Reg.scala 27:20] reg _T_4340; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4340,_T_4337,_T_4334,_T_4331}; // @[Cat.scala 29:58] - wire _T_4388 = ~buf_rst_0; // @[lsu_bus_buffer.scala 523:107] - reg _T_4410; // @[lsu_bus_buffer.scala 523:106] - reg _T_4404; // @[lsu_bus_buffer.scala 523:106] - reg _T_4398; // @[lsu_bus_buffer.scala 523:106] - reg _T_4392; // @[lsu_bus_buffer.scala 523:106] - wire [3:0] buf_error = {_T_4410,_T_4404,_T_4398,_T_4392}; // @[Cat.scala 29:58] - wire _T_4390 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 523:124] - wire _T_4391 = _T_4388 & _T_4390; // @[lsu_bus_buffer.scala 523:119] - wire _T_4394 = ~buf_rst_1; // @[lsu_bus_buffer.scala 523:107] - wire _T_4396 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 523:124] - wire _T_4397 = _T_4394 & _T_4396; // @[lsu_bus_buffer.scala 523:119] - wire _T_4400 = ~buf_rst_2; // @[lsu_bus_buffer.scala 523:107] - wire _T_4402 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 523:124] - wire _T_4403 = _T_4400 & _T_4402; // @[lsu_bus_buffer.scala 523:119] - wire _T_4406 = ~buf_rst_3; // @[lsu_bus_buffer.scala 523:107] - wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 523:124] - wire _T_4409 = _T_4406 & _T_4408; // @[lsu_bus_buffer.scala 523:119] - wire [1:0] _T_4414 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4415 = io_ldst_dual_m ? _T_4414 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 524:28] - wire [1:0] _T_4416 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4417 = io_ldst_dual_r ? _T_4416 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 524:94] - wire [2:0] _T_4418 = _T_4415 + _T_4417; // @[lsu_bus_buffer.scala 524:88] - wire [2:0] _GEN_406 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 524:154] - wire [3:0] _T_4419 = _T_4418 + _GEN_406; // @[lsu_bus_buffer.scala 524:154] - wire [1:0] _T_4424 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 524:217] - wire [1:0] _GEN_407 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 524:217] - wire [2:0] _T_4425 = _T_4424 + _GEN_407; // @[lsu_bus_buffer.scala 524:217] - wire [2:0] _GEN_408 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 524:217] - wire [3:0] _T_4426 = _T_4425 + _GEN_408; // @[lsu_bus_buffer.scala 524:217] - wire [3:0] buf_numvld_any = _T_4419 + _T_4426; // @[lsu_bus_buffer.scala 524:169] - wire _T_4497 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 530:52] - wire _T_4498 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 530:92] - wire _T_4499 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 530:121] - wire _T_4501 = |buf_state_0; // @[lsu_bus_buffer.scala 531:52] - wire _T_4502 = |buf_state_1; // @[lsu_bus_buffer.scala 531:52] - wire _T_4503 = |buf_state_2; // @[lsu_bus_buffer.scala 531:52] - wire _T_4504 = |buf_state_3; // @[lsu_bus_buffer.scala 531:52] - wire _T_4505 = _T_4501 | _T_4502; // @[lsu_bus_buffer.scala 531:65] - wire _T_4506 = _T_4505 | _T_4503; // @[lsu_bus_buffer.scala 531:65] - wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 531:65] - wire _T_4508 = ~_T_4507; // @[lsu_bus_buffer.scala 531:34] - wire _T_4510 = _T_4508 & _T_852; // @[lsu_bus_buffer.scala 531:70] - wire _T_4513 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 533:64] - wire _T_4514 = _T_4513 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 533:85] - wire _T_4515 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 533:112] - wire _T_4516 = _T_4514 & _T_4515; // @[lsu_bus_buffer.scala 533:110] - wire _T_4517 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 533:129] - wire _T_4519 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 536:74] - reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 621:66] - wire _T_4533 = _T_2770 & _T_3645; // @[Mux.scala 27:72] - wire _T_4534 = _T_2792 & _T_3836; // @[Mux.scala 27:72] - wire _T_4535 = _T_2814 & _T_4027; // @[Mux.scala 27:72] - wire _T_4536 = _T_2836 & _T_4218; // @[Mux.scala 27:72] - wire _T_4537 = _T_4533 | _T_4534; // @[Mux.scala 27:72] - wire _T_4538 = _T_4537 | _T_4535; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4538 | _T_4536; // @[Mux.scala 27:72] - wire _T_4544 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 539:121] - wire _T_4549 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 539:121] - wire _T_4554 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 539:121] - wire _T_4559 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 539:121] - wire _T_4560 = _T_2770 & _T_4544; // @[Mux.scala 27:72] - wire _T_4561 = _T_2792 & _T_4549; // @[Mux.scala 27:72] - wire _T_4562 = _T_2814 & _T_4554; // @[Mux.scala 27:72] - wire _T_4563 = _T_2836 & _T_4559; // @[Mux.scala 27:72] - wire _T_4564 = _T_4560 | _T_4561; // @[Mux.scala 27:72] - wire _T_4565 = _T_4564 | _T_4562; // @[Mux.scala 27:72] - wire _T_4572 = ~buf_dual_0; // @[lsu_bus_buffer.scala 540:121] - wire _T_4573 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 540:136] - wire _T_4574 = _T_4572 | _T_4573; // @[lsu_bus_buffer.scala 540:134] - wire _T_4575 = _T_4533 & _T_4574; // @[lsu_bus_buffer.scala 540:118] - wire _T_4580 = ~buf_dual_1; // @[lsu_bus_buffer.scala 540:121] - wire _T_4581 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 540:136] - wire _T_4582 = _T_4580 | _T_4581; // @[lsu_bus_buffer.scala 540:134] - wire _T_4583 = _T_4534 & _T_4582; // @[lsu_bus_buffer.scala 540:118] - wire _T_4588 = ~buf_dual_2; // @[lsu_bus_buffer.scala 540:121] - wire _T_4589 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 540:136] - wire _T_4590 = _T_4588 | _T_4589; // @[lsu_bus_buffer.scala 540:134] - wire _T_4591 = _T_4535 & _T_4590; // @[lsu_bus_buffer.scala 540:118] - wire _T_4596 = ~buf_dual_3; // @[lsu_bus_buffer.scala 540:121] - wire _T_4597 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 540:136] - wire _T_4598 = _T_4596 | _T_4597; // @[lsu_bus_buffer.scala 540:134] - wire _T_4599 = _T_4536 & _T_4598; // @[lsu_bus_buffer.scala 540:118] - wire [1:0] _T_4602 = _T_4591 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4603 = _T_4599 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_409 = {{1'd0}, _T_4583}; // @[Mux.scala 27:72] - wire [1:0] _T_4605 = _GEN_409 | _T_4602; // @[Mux.scala 27:72] - wire [31:0] _T_4640 = _T_4575 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4641 = _T_4583 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4642 = _T_4591 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4643 = _T_4599 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4644 = _T_4640 | _T_4641; // @[Mux.scala 27:72] - wire [31:0] _T_4645 = _T_4644 | _T_4642; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4645 | _T_4643; // @[Mux.scala 27:72] - wire _T_4652 = _T_4533 & _T_3643; // @[lsu_bus_buffer.scala 542:105] - wire _T_4658 = _T_4534 & _T_3834; // @[lsu_bus_buffer.scala 542:105] - wire _T_4664 = _T_4535 & _T_4025; // @[lsu_bus_buffer.scala 542:105] - wire _T_4670 = _T_4536 & _T_4216; // @[lsu_bus_buffer.scala 542:105] - wire [31:0] _T_4671 = _T_4652 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4658 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4673 = _T_4664 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4674 = _T_4670 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4675 = _T_4671 | _T_4672; // @[Mux.scala 27:72] - wire [31:0] _T_4676 = _T_4675 | _T_4673; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4676 | _T_4674; // @[Mux.scala 27:72] - wire _T_4678 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] - wire _T_4679 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] - wire _T_4680 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] - wire _T_4681 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] - wire [31:0] _T_4682 = _T_4678 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4683 = _T_4679 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4684 = _T_4680 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4685 = _T_4681 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4686 = _T_4682 | _T_4683; // @[Mux.scala 27:72] - wire [31:0] _T_4687 = _T_4686 | _T_4684; // @[Mux.scala 27:72] - wire [31:0] _T_4688 = _T_4687 | _T_4685; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4688[1:0]; // @[lsu_bus_buffer.scala 543:96] - wire [1:0] _T_4694 = _T_4678 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4695 = _T_4679 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4696 = _T_4680 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4697 = _T_4681 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] - wire [1:0] _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4699 | _T_4697; // @[Mux.scala 27:72] - wire _T_4709 = _T_4678 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4710 = _T_4679 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4711 = _T_4680 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4712 = _T_4681 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4713 = _T_4709 | _T_4710; // @[Mux.scala 27:72] - wire _T_4714 = _T_4713 | _T_4711; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4714 | _T_4712; // @[Mux.scala 27:72] - wire [63:0] _T_4716 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_410 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 547:121] - wire [5:0] _T_4717 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 547:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4716 >> _T_4717; // @[lsu_bus_buffer.scala 547:92] - wire _T_4718 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 549:82] - wire _T_4720 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 550:94] - wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 550:76] - wire [31:0] _T_4723 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4724 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 551:45] - wire _T_4725 = lsu_nonblock_unsign & _T_4724; // @[lsu_bus_buffer.scala 551:26] - wire [31:0] _T_4727 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4728 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 552:6] - wire _T_4730 = _T_4728 & _T_4720; // @[lsu_bus_buffer.scala 552:27] - wire [23:0] _T_4733 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4735 = {_T_4733,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4738 = _T_4728 & _T_4724; // @[lsu_bus_buffer.scala 553:27] - wire [15:0] _T_4741 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4743 = {_T_4741,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4744 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 554:21] - wire [31:0] _T_4745 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4746 = _T_4725 ? _T_4727 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4747 = _T_4730 ? _T_4735 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4748 = _T_4738 ? _T_4743 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4749 = _T_4744 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4750 = _T_4745 | _T_4746; // @[Mux.scala 27:72] - wire [31:0] _T_4751 = _T_4750 | _T_4747; // @[Mux.scala 27:72] - wire [31:0] _T_4752 = _T_4751 | _T_4748; // @[Mux.scala 27:72] - wire [63:0] _GEN_411 = {{32'd0}, _T_4752}; // @[Mux.scala 27:72] - wire [63:0] _T_4753 = _GEN_411 | _T_4749; // @[Mux.scala 27:72] - wire _T_4847 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 572:37] - wire _T_4848 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 572:52] - wire _T_4849 = _T_4847 & _T_4848; // @[lsu_bus_buffer.scala 572:50] - wire [31:0] _T_4853 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4855 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4860 = ~obuf_data_done; // @[lsu_bus_buffer.scala 584:51] - wire _T_4861 = _T_4847 & _T_4860; // @[lsu_bus_buffer.scala 584:49] - wire [7:0] _T_4865 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4868 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 589:37] - wire _T_4870 = _T_4868 & _T_1347; // @[lsu_bus_buffer.scala 589:51] - wire _T_4882 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4884 = _T_4882 & buf_write[0]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4887 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4889 = _T_4887 & buf_write[1]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4892 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4894 = _T_4892 & buf_write[2]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4897 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 602:126] - wire _T_4899 = _T_4897 & buf_write[3]; // @[lsu_bus_buffer.scala 602:141] - wire _T_4900 = _T_2770 & _T_4884; // @[Mux.scala 27:72] - wire _T_4901 = _T_2792 & _T_4889; // @[Mux.scala 27:72] - wire _T_4902 = _T_2814 & _T_4894; // @[Mux.scala 27:72] - wire _T_4903 = _T_2836 & _T_4899; // @[Mux.scala 27:72] - wire _T_4904 = _T_4900 | _T_4901; // @[Mux.scala 27:72] - wire _T_4905 = _T_4904 | _T_4902; // @[Mux.scala 27:72] - wire _T_4915 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4917 = _T_4915 & buf_write[1]; // @[lsu_bus_buffer.scala 603:108] - wire _T_4920 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4922 = _T_4920 & buf_write[2]; // @[lsu_bus_buffer.scala 603:108] - wire _T_4925 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 603:93] - wire _T_4927 = _T_4925 & buf_write[3]; // @[lsu_bus_buffer.scala 603:108] - wire [1:0] _T_4930 = _T_4922 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4931 = _T_4927 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_412 = {{1'd0}, _T_4917}; // @[Mux.scala 27:72] - wire [1:0] _T_4933 = _GEN_412 | _T_4930; // @[Mux.scala 27:72] - wire [1:0] lsu_imprecise_error_store_tag = _T_4933 | _T_4931; // @[Mux.scala 27:72] - wire _T_4935 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 605:97] - wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 606:53] - wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 606:53] - wire _T_4940 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 612:82] - wire _T_4943 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 613:60] - wire _T_4946 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 616:61] - wire _T_4947 = io_lsu_axi_aw_valid & _T_4946; // @[lsu_bus_buffer.scala 616:59] - wire _T_4948 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 616:107] - wire _T_4949 = io_lsu_axi_w_valid & _T_4948; // @[lsu_bus_buffer.scala 616:105] - wire _T_4950 = _T_4947 | _T_4949; // @[lsu_bus_buffer.scala 616:83] - wire _T_4951 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 616:153] - wire _T_4952 = io_lsu_axi_ar_valid & _T_4951; // @[lsu_bus_buffer.scala 616:151] - wire _T_4956 = ~io_flush_r; // @[lsu_bus_buffer.scala 620:75] - wire _T_4957 = io_lsu_busreq_m & _T_4956; // @[lsu_bus_buffer.scala 620:73] - reg _T_4960; // @[lsu_bus_buffer.scala 620:56] + wire _T_4387 = ~buf_rst_0; // @[lsu_bus_buffer.scala 529:81] + reg _T_4406; // @[lsu_bus_buffer.scala 529:80] + reg _T_4401; // @[lsu_bus_buffer.scala 529:80] + reg _T_4396; // @[lsu_bus_buffer.scala 529:80] + reg _T_4391; // @[lsu_bus_buffer.scala 529:80] + wire [3:0] buf_error = {_T_4406,_T_4401,_T_4396,_T_4391}; // @[Cat.scala 29:58] + wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 529:98] + wire _T_4392 = ~buf_rst_1; // @[lsu_bus_buffer.scala 529:81] + wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 529:98] + wire _T_4397 = ~buf_rst_2; // @[lsu_bus_buffer.scala 529:81] + wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 529:98] + wire _T_4402 = ~buf_rst_3; // @[lsu_bus_buffer.scala 529:81] + wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 529:98] + wire [1:0] _T_4410 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 530:28] + wire [1:0] _T_4412 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 530:94] + wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 530:88] + wire [2:0] _GEN_406 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 530:154] + wire [3:0] _T_4415 = _T_4414 + _GEN_406; // @[lsu_bus_buffer.scala 530:154] + wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 530:217] + wire [1:0] _GEN_407 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 530:217] + wire [2:0] _T_4421 = _T_4420 + _GEN_407; // @[lsu_bus_buffer.scala 530:217] + wire [2:0] _GEN_408 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 530:217] + wire [3:0] _T_4422 = _T_4421 + _GEN_408; // @[lsu_bus_buffer.scala 530:217] + wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 530:169] + wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 536:52] + wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 536:92] + wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 536:121] + wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 537:52] + wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 537:52] + wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 537:52] + wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 537:52] + wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 537:65] + wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 537:65] + wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 537:65] + wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 537:34] + wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 537:70] + wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 539:64] + wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 539:85] + wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 539:112] + wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 539:110] + wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 539:129] + wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 542:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 627:66] + wire _T_4529 = _T_2770 & _T_3645; // @[Mux.scala 27:72] + wire _T_4530 = _T_2792 & _T_3836; // @[Mux.scala 27:72] + wire _T_4531 = _T_2814 & _T_4027; // @[Mux.scala 27:72] + wire _T_4532 = _T_2836 & _T_4218; // @[Mux.scala 27:72] + wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] + wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] + wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 545:121] + wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 545:121] + wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 545:121] + wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 545:121] + wire _T_4556 = _T_2770 & _T_4540; // @[Mux.scala 27:72] + wire _T_4557 = _T_2792 & _T_4545; // @[Mux.scala 27:72] + wire _T_4558 = _T_2814 & _T_4550; // @[Mux.scala 27:72] + wire _T_4559 = _T_2836 & _T_4555; // @[Mux.scala 27:72] + wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] + wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] + wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 546:121] + wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 546:136] + wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 546:134] + wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 546:118] + wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 546:121] + wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 546:136] + wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 546:134] + wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 546:118] + wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 546:121] + wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 546:136] + wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 546:134] + wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 546:118] + wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 546:121] + wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 546:136] + wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 546:134] + wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 546:118] + wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_409 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] + wire [1:0] _T_4601 = _GEN_409 | _T_4598; // @[Mux.scala 27:72] + wire [31:0] _T_4636 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4637 = _T_4579 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4638 = _T_4587 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4639 = _T_4595 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] + wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] + wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 548:105] + wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 548:105] + wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 548:105] + wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 548:105] + wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4666 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4672 | _T_4670; // @[Mux.scala 27:72] + wire _T_4674 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_4675 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_4676 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_4677 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_4678 = _T_4674 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4675 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4676 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4677 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] + wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] + wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 549:96] + wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4693 = _T_4677 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4694 = _T_4690 | _T_4691; // @[Mux.scala 27:72] + wire [1:0] _T_4695 = _T_4694 | _T_4692; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4695 | _T_4693; // @[Mux.scala 27:72] + wire _T_4705 = _T_4674 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4706 = _T_4675 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4707 = _T_4676 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4708 = _T_4677 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4709 = _T_4705 | _T_4706; // @[Mux.scala 27:72] + wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] + wire [63:0] _T_4712 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_410 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 553:121] + wire [5:0] _T_4713 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 553:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 553:92] + wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 555:82] + wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 556:94] + wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 556:76] + wire [31:0] _T_4719 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 557:45] + wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 557:26] + wire [31:0] _T_4723 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 558:6] + wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 558:27] + wire [23:0] _T_4729 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4731 = {_T_4729,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 559:27] + wire [15:0] _T_4737 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4739 = {_T_4737,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 560:21] + wire [31:0] _T_4741 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4742 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4743 = _T_4726 ? _T_4731 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4744 = _T_4734 ? _T_4739 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4745 = _T_4740 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4746 = _T_4741 | _T_4742; // @[Mux.scala 27:72] + wire [31:0] _T_4747 = _T_4746 | _T_4743; // @[Mux.scala 27:72] + wire [31:0] _T_4748 = _T_4747 | _T_4744; // @[Mux.scala 27:72] + wire [63:0] _GEN_411 = {{32'd0}, _T_4748}; // @[Mux.scala 27:72] + wire [63:0] _T_4749 = _GEN_411 | _T_4745; // @[Mux.scala 27:72] + wire _T_4843 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 578:37] + wire _T_4844 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 578:52] + wire _T_4845 = _T_4843 & _T_4844; // @[lsu_bus_buffer.scala 578:50] + wire [31:0] _T_4849 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4851 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4856 = ~obuf_data_done; // @[lsu_bus_buffer.scala 590:51] + wire _T_4857 = _T_4843 & _T_4856; // @[lsu_bus_buffer.scala 590:49] + wire [7:0] _T_4861 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4864 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 595:37] + wire _T_4866 = _T_4864 & _T_1347; // @[lsu_bus_buffer.scala 595:51] + wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4896 = _T_2770 & _T_4880; // @[Mux.scala 27:72] + wire _T_4897 = _T_2792 & _T_4885; // @[Mux.scala 27:72] + wire _T_4898 = _T_2814 & _T_4890; // @[Mux.scala 27:72] + wire _T_4899 = _T_2836 & _T_4895; // @[Mux.scala 27:72] + wire _T_4900 = _T_4896 | _T_4897; // @[Mux.scala 27:72] + wire _T_4901 = _T_4900 | _T_4898; // @[Mux.scala 27:72] + wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 609:93] + wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 609:108] + wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 609:93] + wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 609:108] + wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 609:93] + wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 609:108] + wire [1:0] _T_4926 = _T_4918 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4927 = _T_4923 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_412 = {{1'd0}, _T_4913}; // @[Mux.scala 27:72] + wire [1:0] _T_4929 = _GEN_412 | _T_4926; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4929 | _T_4927; // @[Mux.scala 27:72] + wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:97] + wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 612:53] + wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 612:53] + wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 618:82] + wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 619:60] + wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 622:61] + wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 622:59] + wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 622:107] + wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 622:105] + wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 622:83] + wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 622:153] + wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 622:151] + wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 626:75] + wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 626:73] + reg _T_4956; // @[lsu_bus_buffer.scala 626:56] rvclkhdr rvclkhdr ( // @[lib.scala 390:23] .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) @@ -2694,42 +2690,42 @@ module lsu_bus_buffer( .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4940 | _T_4839; // @[lsu_bus_buffer.scala 612:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4943 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 613:41] - assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 614:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4950 | _T_4952; // @[lsu_bus_buffer.scala 616:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4935; // @[lsu_bus_buffer.scala 605:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4905 | _T_4903; // @[lsu_bus_buffer.scala 602:48] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 606:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4516 & _T_4517; // @[lsu_bus_buffer.scala 533:45] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 534:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4519; // @[lsu_bus_buffer.scala 536:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 537:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4718; // @[lsu_bus_buffer.scala 549:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4565 | _T_4563; // @[lsu_bus_buffer.scala 539:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4605 | _T_4603; // @[lsu_bus_buffer.scala 540:45] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4753[31:0]; // @[lsu_bus_buffer.scala 550:42] - assign io_lsu_axi_aw_valid = _T_4849 & _T_1237; // @[lsu_bus_buffer.scala 572:23] - assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 573:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4853; // @[lsu_bus_buffer.scala 574:27] - assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 578:29] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4855 : 3'h3; // @[lsu_bus_buffer.scala 575:27] - assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 577:28] - assign io_lsu_axi_w_valid = _T_4861 & _T_1237; // @[lsu_bus_buffer.scala 584:22] - assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 586:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4865; // @[lsu_bus_buffer.scala 585:26] - assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 600:22] - assign io_lsu_axi_ar_valid = _T_4870 & _T_1237; // @[lsu_bus_buffer.scala 589:23] - assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 590:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4853; // @[lsu_bus_buffer.scala 591:27] - assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 595:29] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4855 : 3'h3; // @[lsu_bus_buffer.scala 592:27] - assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 594:28] - assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 601:22] - assign io_lsu_busreq_r = _T_4960; // @[lsu_bus_buffer.scala 620:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 529:30] - assign io_lsu_bus_buffer_full_any = _T_4497 ? _T_4498 : _T_4499; // @[lsu_bus_buffer.scala 530:30] - assign io_lsu_bus_buffer_empty_any = _T_4510 & _T_1231; // @[lsu_bus_buffer.scala 531:31] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 618:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 619:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 620:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 622:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 611:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 608:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 612:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 539:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 540:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 542:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 543:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 555:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 545:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 546:45] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 556:42] + assign io_lsu_axi_aw_valid = _T_4845 & _T_1237; // @[lsu_bus_buffer.scala 578:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 579:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 580:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 584:29] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 581:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 583:28] + assign io_lsu_axi_w_valid = _T_4857 & _T_1237; // @[lsu_bus_buffer.scala 590:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 592:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4861; // @[lsu_bus_buffer.scala 591:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 606:22] + assign io_lsu_axi_ar_valid = _T_4866 & _T_1237; // @[lsu_bus_buffer.scala 595:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 596:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 597:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 601:29] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 598:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 600:28] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 607:22] + assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 626:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 535:30] + assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 536:30] + assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 537:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] @@ -2751,13 +2747,13 @@ module lsu_bus_buffer( assign rvclkhdr_7_io_clk = clock; // @[lib.scala 392:18] assign rvclkhdr_7_io_en = _T_4104 & buf_state_en_3; // @[lib.scala 393:17] assign rvclkhdr_8_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_8_io_en = _T_3531 ? buf_state_en_0 : _GEN_80; // @[lib.scala 393:17] + assign rvclkhdr_8_io_en = _T_3531 ? buf_state_en_0 : _GEN_81; // @[lib.scala 393:17] assign rvclkhdr_9_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_9_io_en = _T_3722 ? buf_state_en_1 : _GEN_156; // @[lib.scala 393:17] + assign rvclkhdr_9_io_en = _T_3722 ? buf_state_en_1 : _GEN_157; // @[lib.scala 393:17] assign rvclkhdr_10_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_10_io_en = _T_3913 ? buf_state_en_2 : _GEN_232; // @[lib.scala 393:17] + assign rvclkhdr_10_io_en = _T_3913 ? buf_state_en_2 : _GEN_233; // @[lib.scala 393:17] assign rvclkhdr_11_io_clk = clock; // @[lib.scala 392:18] - assign rvclkhdr_11_io_en = _T_4104 ? buf_state_en_3 : _GEN_308; // @[lib.scala 393:17] + assign rvclkhdr_11_io_en = _T_4104 ? buf_state_en_3 : _GEN_309; // @[lib.scala 393:17] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -2996,17 +2992,17 @@ initial begin _RAND_100 = {1{`RANDOM}}; _T_4340 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4410 = _RAND_101[0:0]; + _T_4406 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4404 = _RAND_102[0:0]; + _T_4401 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4398 = _RAND_103[0:0]; + _T_4396 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4392 = _RAND_104[0:0]; + _T_4391 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4960 = _RAND_106[0:0]; + _T_4956 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -3311,11 +3307,23 @@ initial begin if (reset) begin _T_4340 = 1'h0; end + if (reset) begin + _T_4406 = 1'h0; + end + if (reset) begin + _T_4401 = 1'h0; + end + if (reset) begin + _T_4396 = 1'h0; + end + if (reset) begin + _T_4391 = 1'h0; + end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4960 = 1'h0; + _T_4956 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3323,28 +3331,6 @@ end // initial `FIRRTL_AFTER_INITIAL `endif `endif // SYNTHESIS - always @(posedge io_lsu_bus_buf_c1_clk) begin - if (buf_rst_3) begin - _T_4410 <= io_dec_tlu_force_halt; - end else begin - _T_4410 <= _T_4409; - end - if (buf_rst_2) begin - _T_4404 <= io_dec_tlu_force_halt; - end else begin - _T_4404 <= _T_4403; - end - if (buf_rst_1) begin - _T_4398 <= io_dec_tlu_force_halt; - end else begin - _T_4398 <= _T_4397; - end - if (buf_rst_0) begin - _T_4392 <= io_dec_tlu_force_halt; - end else begin - _T_4392 <= _T_4391; - end - end always @(posedge clock or posedge reset) begin if (reset) begin buf_addr_0 <= 32'h0; @@ -4548,6 +4534,34 @@ end // initial _T_4340 <= buf_unsign_in[3]; end end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4406 <= 1'h0; + end else begin + _T_4406 <= _T_4402 & _T_4404; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4401 <= 1'h0; + end else begin + _T_4401 <= _T_4397 & _T_4399; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4396 <= 1'h0; + end else begin + _T_4396 <= _T_4392 & _T_4394; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4391 <= 1'h0; + end else begin + _T_4391 <= _T_4387 & _T_4389; + end + end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin lsu_nonblock_load_valid_r <= 1'h0; @@ -4557,9 +4571,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4960 <= 1'h0; + _T_4956 <= 1'h0; end else begin - _T_4960 <= _T_4957 & _T_4517; + _T_4956 <= _T_4953 & _T_4513; end end endmodule diff --git a/project/target/config-classes/$c4c02c4c8e274a076c1d$.class b/project/target/config-classes/$c4c02c4c8e274a076c1d$.class deleted file mode 100644 index ded596ed..00000000 Binary files a/project/target/config-classes/$c4c02c4c8e274a076c1d$.class and /dev/null differ diff --git a/project/target/config-classes/$c4c02c4c8e274a076c1d.cache b/project/target/config-classes/$c4c02c4c8e274a076c1d.cache deleted file mode 100644 index 050f36c6..00000000 --- a/project/target/config-classes/$c4c02c4c8e274a076c1d.cache +++ /dev/null @@ -1 +0,0 @@ -sbt.internal.DslEntry \ No newline at end of file diff --git a/project/target/config-classes/$c4c02c4c8e274a076c1d.class b/project/target/config-classes/$c4c02c4c8e274a076c1d.class deleted file mode 100644 index 54b2af50..00000000 Binary files a/project/target/config-classes/$c4c02c4c8e274a076c1d.class and /dev/null differ diff --git a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output index aeee154c..bb80afd9 100644 --- a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output +++ b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output @@ -1 +1 @@ -{"cachedDescriptor":".","configurations":[{"configuration":{"name":"plugin"},"modules":[],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[],"details":[]},{"configuration":{"name":"provided"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.3.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-sbt-redux_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-sbt-redux_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-caffeine_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-caffeine_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.0.0-RC6-2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-scripted_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-scripted_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-core_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.5.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-okhttp_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-okhttp_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp-urlconnection","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp-urlconnection","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.spire-math","name":"jawn-parser_2.12","revision":"0.10.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jawn-parser_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://github.com/non/jawn","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp","revision":"3.14.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.scalapb","name":"scalapb-runtime_2.12","revision":"0.6.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalapb-runtime_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalapb/ScalaPB","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okio","name":"okio","revision":"1.17.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okio","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.lenses","name":"lenses_2.12","revision":"0.4.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lenses_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/trueaccord/lenses","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse-utils_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse-utils_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"sourcecode_2.12","revision":"0.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sourcecode_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/sourcecode","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.3.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-sbt-redux_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-sbt-redux_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-caffeine_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-caffeine_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.0.0-RC6-2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-scripted_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-scripted_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-core_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.5.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-okhttp_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-okhttp_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp-urlconnection","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp-urlconnection","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.spire-math","name":"jawn-parser_2.12","revision":"0.10.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jawn-parser_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://github.com/non/jawn","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp","revision":"3.14.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.scalapb","name":"scalapb-runtime_2.12","revision":"0.6.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalapb-runtime_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalapb/ScalaPB","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okio","name":"okio","revision":"1.17.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okio","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.lenses","name":"lenses_2.12","revision":"0.4.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lenses_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/trueaccord/lenses","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse-utils_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse-utils_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"sourcecode_2.12","revision":"0.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sourcecode_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/sourcecode","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"compile"},"modules":[],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.3.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-sbt-redux_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-sbt-redux_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-caffeine_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-caffeine_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.0.0-RC6-2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-scripted_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-scripted_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-core_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.5.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-okhttp_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-okhttp_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp-urlconnection","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp-urlconnection","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.spire-math","name":"jawn-parser_2.12","revision":"0.10.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jawn-parser_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://github.com/non/jawn","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp","revision":"3.14.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.scalapb","name":"scalapb-runtime_2.12","revision":"0.6.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalapb-runtime_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalapb/ScalaPB","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okio","name":"okio","revision":"1.17.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okio","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.lenses","name":"lenses_2.12","revision":"0.4.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lenses_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/trueaccord/lenses","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse-utils_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse-utils_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"sourcecode_2.12","revision":"0.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sourcecode_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/sourcecode","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"runtime"},"modules":[],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":false},"stamps":{}} \ No newline at end of file +{"cachedDescriptor":".","configurations":[{"configuration":{"name":"plugin"},"modules":[],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[],"details":[]},{"configuration":{"name":"provided"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.3.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-sbt-redux_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-sbt-redux_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-caffeine_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-caffeine_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.0.0-RC6-2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-scripted_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-scripted_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-core_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.5.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-okhttp_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-okhttp_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp-urlconnection","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp-urlconnection","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.spire-math","name":"jawn-parser_2.12","revision":"0.10.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jawn-parser_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://github.com/non/jawn","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp","revision":"3.14.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.scalapb","name":"scalapb-runtime_2.12","revision":"0.6.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalapb-runtime_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalapb/ScalaPB","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okio","name":"okio","revision":"1.17.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okio","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.lenses","name":"lenses_2.12","revision":"0.4.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lenses_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/trueaccord/lenses","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse-utils_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse-utils_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"sourcecode_2.12","revision":"0.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sourcecode_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/sourcecode","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.3.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-sbt-redux_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-sbt-redux_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-caffeine_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-caffeine_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.0.0-RC6-2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-scripted_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-scripted_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-core_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.5.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-okhttp_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-okhttp_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp-urlconnection","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp-urlconnection","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.spire-math","name":"jawn-parser_2.12","revision":"0.10.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jawn-parser_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://github.com/non/jawn","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp","revision":"3.14.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.scalapb","name":"scalapb-runtime_2.12","revision":"0.6.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalapb-runtime_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalapb/ScalaPB","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okio","name":"okio","revision":"1.17.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okio","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.lenses","name":"lenses_2.12","revision":"0.4.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lenses_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/trueaccord/lenses","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse-utils_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse-utils_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"sourcecode_2.12","revision":"0.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sourcecode_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/sourcecode","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"compile"},"modules":[],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.10/sbt-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.10/main_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.3.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.4/io_2.12-1.3.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.10/logic_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.10/actions_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.10/main-settings_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.10/run_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.10/command_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.10/collections_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-sbt-redux_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-sbt-redux_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.10/scripted-sbt-redux_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.10/scripted-plugin_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.10/zinc-lm-integration_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.11.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-caffeine_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-caffeine_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.0.0-RC6-2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC6-2/lm-coursier-shaded_2.12-2.0.0-RC6-2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.2/librarymanagement-core_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.3.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.2/librarymanagement-ivy_2.12-1.3.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.5/compiler-interface-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.5/zinc-compile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.5.0/jna-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.5.0/jna-platform-5.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.10/completion_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.10/task-system_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.10/tasks_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.10/testing_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.3/sjson-new-scalajson_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.5/zinc-classpath_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.5/zinc-apiinfo_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.5/zinc_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.10/core-macros_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.10/protocol_2.12-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-scripted_2.12","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-scripted_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.5/zinc-compile-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.cb372","name":"scalacache-core_2.12","revision":"0.20.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacache-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/cb372/scalacache","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.5.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/util","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-okhttp_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-okhttp_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp-urlconnection","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp-urlconnection","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5/ivy-2.3.0-sbt-fa726854dd30be842ff9e6d2093df6adfe3871f5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.3.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.10/test-agent-1.3.10.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.spire-math","name":"jawn-parser_2.12","revision":"0.10.4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jawn-parser_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://github.com/non/jawn","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.5/compiler-bridge_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.5/zinc-classfile_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.5/zinc-core_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.3.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.5/zinc-persist_2.12-1.3.5.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.8.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.1/ipcsocket-1.0.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okhttp3","name":"okhttp","revision":"3.14.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okhttp","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.scalapb","name":"scalapb-runtime_2.12","revision":"0.6.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalapb-runtime_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalapb/ScalaPB","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.squareup.okio","name":"okio","revision":"1.17.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"okio","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.trueaccord.lenses","name":"lenses_2.12","revision":"0.4.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lenses_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/trueaccord/lenses","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.3.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"fastparse-utils_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"fastparse-utils_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/scala-parser","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"sourcecode_2.12","revision":"0.1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sourcecode_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/sourcecode","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"runtime"},"modules":[],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":true},"stamps":{}} \ No newline at end of file diff --git a/project/target/streams/_global/update/_global/streams/out b/project/target/streams/_global/update/_global/streams/out index 76069e9b..2242dcf0 100644 --- a/project/target/streams/_global/update/_global/streams/out +++ b/project/target/streams/_global/update/_global/streams/out @@ -1,3 +1,3 @@ -[debug] "not up to date. inChanged = true, force = false -[debug] Updating ProjectRef(uri("file:/home/laraibkhan/Desktop/SweRV-Chislified/project/"), "swerv-chislified-build")... -[debug] Done updating ProjectRef(uri("file:/home/laraibkhan/Desktop/SweRV-Chislified/project/"), "swerv-chislified-build") +[debug] "not up to date. inChanged = false, force = false +[debug] Updating ProjectRef(uri("file:/home/laraibkhan/Desktop/SweRV-Chislified/project/"), "swerv-chislified-build")... +[debug] Done updating ProjectRef(uri("file:/home/laraibkhan/Desktop/SweRV-Chislified/project/"), "swerv-chislified-build") diff --git a/project/target/streams/compile/compileIncremental/_global/streams/out b/project/target/streams/compile/compileIncremental/_global/streams/out index a24bed6f..6db8e09d 100644 --- a/project/target/streams/compile/compileIncremental/_global/streams/out +++ b/project/target/streams/compile/compileIncremental/_global/streams/out @@ -1 +1 @@ -[debug] Full compilation, no sources in previous analysis. +[debug] Full compilation, no sources in previous analysis. diff --git a/project/target/streams/compile/copyResources/_global/streams/out b/project/target/streams/compile/copyResources/_global/streams/out index 49995276..f25042f2 100644 --- a/project/target/streams/compile/copyResources/_global/streams/out +++ b/project/target/streams/compile/copyResources/_global/streams/out @@ -1,2 +1,2 @@ -[debug] Copy resource mappings: -[debug] +[debug] Copy resource mappings:  +[debug]   diff --git a/src/main/scala/exu/exu_div_ctl.scala b/src/main/scala/exu/exu_div_ctl.scala index db09b23e..10720a81 100644 --- a/src/main/scala/exu/exu_div_ctl.scala +++ b/src/main/scala/exu/exu_div_ctl.scala @@ -1,6 +1,6 @@ package exu -import chisel3._ +import chisel3.{util, _} import chisel3.experimental.chiselName import chisel3.util._ import include._ @@ -8,14 +8,91 @@ import lib._ @chiselName class exu_div_ctl extends Module with RequireAsyncReset with lib { - val io = IO(new Bundle{ - val scan_mode = Input(Bool()) - val dividend = Input(UInt(32.W)) - val divisor = Input(UInt(32.W)) - val exu_div_result = Output(UInt(32.W)) - val exu_div_wren = Output(UInt(1.W)) + val io = IO(new Bundle { + val scan_mode = Input(Bool()) + val dividend = Input(UInt(32.W)) + val divisor = Input(UInt(32.W)) + val exu_div_result = Output(UInt(32.W)) + val exu_div_wren = Output(UInt(1.W)) val dec_div = new dec_div() }) + + val out_raw =WireInit(0.U(32.W)) + io.exu_div_result := Fill(32,io.exu_div_wren) & out_raw +if(!DIV_NEW) { + val divider_old = Module(new exu_div_existing_1bit_cheapshortq()) + divider_old.io.scan_mode := io.scan_mode + divider_old.io.cancel := io.dec_div.dec_div_cancel + divider_old.io.valid_in := io.dec_div.div_p.valid + divider_old.io.signed_in := ~io.dec_div.div_p.bits.unsign + divider_old.io.rem_in := io.dec_div.div_p.bits.rem + divider_old.io.dividend_in := io.dividend + divider_old.io.divisor_in := io.divisor + out_raw := divider_old.io.data_out + io.exu_div_wren := divider_old.io.valid_out +} + if(DIV_NEW & DIV_BIT==1) { + val divider_new1 = Module(new exu_div_new_1bit_fullshortq()) + divider_new1.io.scan_mode := io.scan_mode + divider_new1.io.cancel := io.dec_div.dec_div_cancel + divider_new1.io.valid_in := io.dec_div.div_p.valid + divider_new1.io.signed_in := ~io.dec_div.div_p.bits.unsign + divider_new1.io.rem_in := io.dec_div.div_p.bits.rem + divider_new1.io.dividend_in := io.dividend + divider_new1.io.divisor_in := io.divisor + out_raw := divider_new1.io.data_out + io.exu_div_wren := divider_new1.io.valid_out + } + if(DIV_NEW & DIV_BIT==2) { + val divider_new2 = Module(new exu_div_new_2bit_fullshortq()) + divider_new2.io.scan_mode := io.scan_mode + divider_new2.io.cancel := io.dec_div.dec_div_cancel + divider_new2.io.valid_in := io.dec_div.div_p.valid + divider_new2.io.signed_in := ~io.dec_div.div_p.bits.unsign + divider_new2.io.rem_in := io.dec_div.div_p.bits.rem + divider_new2.io.dividend_in := io.dividend + divider_new2.io.divisor_in := io.divisor + out_raw := divider_new2.io.data_out + io.exu_div_wren := divider_new2.io.valid_out + } + if(DIV_NEW & DIV_BIT==3) { + val divider_new3 = Module(new exu_div_new_3bit_fullshortq()) + divider_new3.io.scan_mode := io.scan_mode + divider_new3.io.cancel := io.dec_div.dec_div_cancel + divider_new3.io.valid_in := io.dec_div.div_p.valid + divider_new3.io.signed_in := ~io.dec_div.div_p.bits.unsign + divider_new3.io.rem_in := io.dec_div.div_p.bits.rem + divider_new3.io.dividend_in := io.dividend + divider_new3.io.divisor_in := io.divisor + out_raw := divider_new3.io.data_out + io.exu_div_wren := divider_new3.io.valid_out + } + if(DIV_NEW & DIV_BIT==4) { + val divider_new4 = Module(new exu_div_new_4bit_fullshortq()) + divider_new4.io.scan_mode := io.scan_mode + divider_new4.io.cancel := io.dec_div.dec_div_cancel + divider_new4.io.valid_in := io.dec_div.div_p.valid + divider_new4.io.signed_in := ~io.dec_div.div_p.bits.unsign + divider_new4.io.rem_in := io.dec_div.div_p.bits.rem + divider_new4.io.dividend_in := io.dividend + divider_new4.io.divisor_in := io.divisor + out_raw := divider_new4.io.data_out + io.exu_div_wren := divider_new4.io.valid_out + } +} +////////////////////////////////////////// OLD DIVIDER ///////////////////////////////////// +class exu_div_existing_1bit_cheapshortq extends Module with RequireAsyncReset with lib { + val io = IO(new Bundle{ + val scan_mode = Input(Bool()) + val cancel = Input(Bool()) + val valid_in = Input(Bool()) + val signed_in = Input(Bool()) + val rem_in = Input(Bool()) + val dividend_in = Input(UInt(32.W)) + val divisor_in = Input(UInt(32.W)) + val data_out = Output(UInt(32.W)) + val valid_out = Output(UInt(1.W)) + }) val run_state = WireInit(0.U(1.W)) val count = WireInit(0.U(6.W)) val m_ff = WireInit(0.U(33.W)) @@ -33,7 +110,7 @@ class exu_div_ctl extends Module with RequireAsyncReset with lib { val rem_ff = WireInit(0.U(1.W)) val add = WireInit(0.U(1.W)) val a_eff = WireInit(0.U(33.W)) - val a_eff_shift = WireInit(0.U(56.W)) + val a_eff_shift = WireInit(0.U(65.W)) val rem_correct = WireInit(0.U(1.W)) val valid_ff_x = WireInit(0.U(1.W)) val finish_ff = WireInit(0.U(1.W)) @@ -43,7 +120,8 @@ class exu_div_ctl extends Module with RequireAsyncReset with lib { val count_in = WireInit(0.U(6.W)) val dividend_eff = WireInit(0.U(32.W)) val a_shift = WireInit(0.U(33.W)) - val valid_x = valid_ff_x & !io.dec_div.dec_div_cancel + val shortq = WireInit(0.U(6.W)) + val valid_x = valid_ff_x & !io.cancel // START - short circuit logic for small numbers {{ // small number divides - any 4b / 4b is done in 1 cycle (divisor != 0) @@ -53,9 +131,9 @@ class exu_div_ctl extends Module with RequireAsyncReset with lib { ((q_ff(31,0) === 0.U) & (m_ff(31,0) =/= 0.U) & !rem_ff & valid_x) def pat(x : List[Int], y : List[Int]) = { - val pat1 = (0 until x.size).map(i=> if(x(i)>=0) q_ff(x(i)) else !q_ff(x(i).abs)).reduce(_&_) - val pat2 = (0 until y.size).map(i=> if(y(i)>=0) m_ff(y(i)) else !m_ff(y(i).abs)).reduce(_&_) - pat1 & pat2 + val pat_a = (0 until x.size).map(i=> if(x(i)>=0) q_ff(x(i)) else !q_ff(x(i).abs)).reduce(_&_) + val pat_b = (0 until y.size).map(i=> if(y(i)>=0) m_ff(y(i)) else !m_ff(y(i).abs)).reduce(_&_) + pat_a & pat_b } val smallnum = Cat( @@ -87,7 +165,7 @@ class exu_div_ctl extends Module with RequireAsyncReset with lib { short_dividend := Cat (sign_ff & q_ff(31),q_ff(31,0)) - val a_cls = Cat( + val a_cls = Cat(0.U(2.W), Mux1H(Seq ( !short_dividend(32).asBool -> (short_dividend(31,24) =/= Fill(8,0.U)), short_dividend(32).asBool -> (short_dividend(31,23) =/= Fill(9,1.U)) @@ -101,7 +179,7 @@ class exu_div_ctl extends Module with RequireAsyncReset with lib { short_dividend(32).asBool -> (short_dividend(14,7) =/= Fill(8,1.U)) )) ) - val b_cls = Cat( + val b_cls = Cat(0.U(2.W), Mux1H(Seq ( !m_ff(32).asBool -> (m_ff(31,24) =/= Fill(8,0.U)), m_ff(32).asBool -> (m_ff(31,24) =/= Fill(8,1.U)) @@ -137,43 +215,37 @@ class exu_div_ctl extends Module with RequireAsyncReset with lib { ) val shortq_enable = valid_ff_x & (m_ff(31,0) =/= 0.U(32.W)) & (shortq_raw =/= 0.U(4.W)) - val shortq_shift = Fill(4,shortq_enable) & shortq_raw - - val shortq_shift_ff = Mux1H(Seq ( + val shortq_shift = Cat(0.U(2.W),Fill(4,shortq_enable) & shortq_raw) + val shortq_shift_ff = Cat(0.U(1.W),Mux1H(Seq ( shortq_shift_xx(3).asBool -> "b11111".U, shortq_shift_xx(2).asBool -> "b11000".U, shortq_shift_xx(1).asBool -> "b10000".U, shortq_shift_xx(0).asBool -> "b01000".U - )) + ))) // *** End Short *** }} val finish = smallnum_case | Mux(!rem_ff ,count === 32.U(6.W) ,count === 33.U(6.W)) - val div_clken = io.dec_div.div_p.valid | run_state | finish | finish_ff - val run_in = (io.dec_div.div_p.valid | run_state) & !finish & !io.dec_div.dec_div_cancel - count_in := Fill(6,(run_state & !finish & !io.dec_div.dec_div_cancel & !shortq_enable)) & (count + Cat(0.U,shortq_shift_ff) + (1.U)(6.W)) - //io.test := count_in - - io.exu_div_wren := finish_ff & !io.dec_div.dec_div_cancel - val sign_eff = !io.dec_div.div_p.bits.unsign & (io.divisor =/= 0.U(32.W)) - + val div_clken = io.valid_in | run_state | finish | finish_ff + val run_in = (io.valid_in | run_state) & !finish & !io.cancel + count_in := Fill(6,(run_state & !finish & !io.cancel & !shortq_enable)) & (count + Cat(0.U,shortq_shift_ff(4,0)) + (1.U)(6.W)) + io.valid_out := finish_ff & !io.cancel + val sign_eff = io.signed_in & (io.divisor_in =/= 0.U(32.W)) q_in := Mux1H(Seq( - (!run_state).asBool -> Cat(0.U(1.W),io.dividend) , - (run_state & (valid_ff_x | shortq_enable_ff)).asBool -> (Cat(dividend_eff(31,0),!a_in(32)) << shortq_shift_ff) , + (!run_state).asBool -> Cat(0.U(1.W),io.dividend_in) , + (run_state & (valid_ff_x | shortq_enable_ff)).asBool -> (Cat(dividend_eff(31,0),!a_in(32)) << shortq_shift_ff(4,0)) , (run_state & !(valid_ff_x | shortq_enable_ff)).asBool -> Cat(q_ff(31,0),!a_in(32)) )) - val qff_enable = io.dec_div.div_p.valid | (run_state & !shortq_enable) + val qff_enable = io.valid_in | (run_state & !shortq_enable) dividend_eff := Mux((sign_ff & dividend_neg_ff).asBool, rvtwoscomp(q_ff(31,0)),q_ff(31,0)) - - m_eff := Mux(add.asBool , m_ff, ~m_ff ) - a_eff_shift := Cat(0.U(24.W), dividend_eff) << shortq_shift_ff + a_eff_shift := Cat(0.U(33.W), dividend_eff) << shortq_shift_ff(4,0) a_eff := Mux1H(Seq( rem_correct.asBool -> a_ff , (!rem_correct & !shortq_enable_ff).asBool -> Cat(a_ff(31,0), q_ff(32)) , - (!rem_correct & shortq_enable_ff).asBool -> Cat(0.U(9.W),a_eff_shift(55,32)) + (!rem_correct & shortq_enable_ff).asBool -> a_eff_shift(64,32) )) - val aff_enable = io.dec_div.div_p.valid | (run_state & !shortq_enable & (count =/= 33.U(6.W))) | rem_correct + val aff_enable = io.valid_in | (run_state & !shortq_enable & (count =/= 33.U(6.W))) | rem_correct a_shift := Fill(33,run_state) & a_eff a_in := Fill(33,run_state) & (a_shift + m_eff + Cat(0.U(32.W),!add)) val m_already_comp = divisor_neg_ff & sign_ff @@ -183,29 +255,406 @@ class exu_div_ctl extends Module with RequireAsyncReset with lib { val q_ff_eff = Mux((sign_ff & (dividend_neg_ff ^ divisor_neg_ff)).asBool,rvtwoscomp(q_ff(31,0)), q_ff(31,0)) val a_ff_eff = Mux((sign_ff & dividend_neg_ff ).asBool, rvtwoscomp(a_ff(31,0)), a_ff(31,0)) - io.exu_div_result := Mux1H(Seq( + io.data_out := Mux1H(Seq( smallnum_case_ff.asBool -> Cat(0.U(28.W), smallnum_ff), rem_ff.asBool -> a_ff_eff , (!smallnum_case_ff & !rem_ff).asBool -> q_ff_eff )) + valid_ff_x := rvdffe(io.valid_in & !io.cancel, div_clken,clock,io.scan_mode) + finish_ff := rvdffe(finish & !io.cancel, div_clken,clock,io.scan_mode) + run_state := rvdffe(run_in,div_clken,clock,io.scan_mode) + count := rvdffe(count_in, div_clken,clock,io.scan_mode) + dividend_neg_ff := rvdffe((io.valid_in & io.dividend_in(31)) | (!io.valid_in & dividend_neg_ff), div_clken,clock,io.scan_mode) + divisor_neg_ff := rvdffe((io.valid_in & io.divisor_in(31)) | (!io.valid_in & divisor_neg_ff), div_clken,clock,io.scan_mode) + sign_ff := rvdffe((io.valid_in & sign_eff) | (!io.valid_in & sign_ff), div_clken,clock,io.scan_mode) + rem_ff := rvdffe((io.valid_in & io.rem_in) | (!io.valid_in & rem_ff), div_clken,clock,io.scan_mode) + smallnum_case_ff := rvdffe(smallnum_case, div_clken,clock,io.scan_mode) + smallnum_ff := rvdffe(smallnum, div_clken,clock,io.scan_mode) + shortq_enable_ff := rvdffe(shortq_enable, div_clken,clock,io.scan_mode) + shortq_shift_xx := rvdffe(shortq_shift, div_clken,clock,io.scan_mode) - val exu_div_cgc = rvclkhdr(clock,div_clken.asBool,io.scan_mode) + q_ff := rvdffe(q_in, qff_enable,clock,io.scan_mode) + a_ff := rvdffe(a_in, aff_enable,clock,io.scan_mode) + m_ff := rvdffe(Cat(io.signed_in & io.divisor_in(31), io.divisor_in(31,0)), io.valid_in,clock,io.scan_mode) - withClock(exu_div_cgc) { - valid_ff_x := RegNext(io.dec_div.div_p.valid & !io.dec_div.dec_div_cancel, 0.U) - finish_ff := RegNext(finish & !io.dec_div.dec_div_cancel, 0.U) - run_state := RegNext(run_in, 0.U) - count := RegNext(count_in, 0.U) - dividend_neg_ff := RegEnable(io.dividend(31), 0.U, io.dec_div.div_p.valid.asBool) - divisor_neg_ff := RegEnable(io.divisor(31), 0.U, io.dec_div.div_p.valid.asBool) - sign_ff := RegEnable(sign_eff, 0.U, io.dec_div.div_p.valid.asBool) - rem_ff := RegEnable(io.dec_div.div_p.bits.rem, 0.U, io.dec_div.div_p.valid.asBool) - smallnum_case_ff := RegNext(smallnum_case, 0.U) - smallnum_ff := RegNext(smallnum, 0.U) - shortq_enable_ff := RegNext(shortq_enable, 0.U) - shortq_shift_xx := RegNext(shortq_shift, 0.U) + + +} +/////////////////////////////////////////////// 1 BIT FULL DIVIDER////////////////////////////////// +class exu_div_new_1bit_fullshortq extends Module with RequireAsyncReset with lib { + val io = IO(new Bundle{ + val scan_mode = Input(Bool()) + val cancel = Input(Bool()) + val valid_in = Input(Bool()) + val signed_in = Input(Bool()) + val rem_in = Input(Bool()) + val dividend_in = Input(UInt(32.W)) + val divisor_in = Input(UInt(32.W)) + val data_out = Output(UInt(32.W)) + val valid_out = Output(UInt(1.W)) + }) + val valid_ff = WireInit(Bool(),init=false.B) + val finish_ff = WireInit(Bool(),init=false.B) + val control_ff = WireInit(0.U(3.W)) + val count_ff = WireInit(0.U(7.W)) + val smallnum = WireInit(0.U(4.W)) + val a_ff = WireInit(0.U(32.W)) + val b_ff = WireInit(0.U(33.W)) + val q_ff = WireInit(0.U(32.W)) + val r_ff = WireInit(0.U(32.W)) + val quotient_set = WireInit(Bool(),init=false.B) + val shortq_enable = WireInit(Bool(),init=false.B) + val shortq_enable_ff = WireInit(Bool(),init=false.B) + val by_zero_case_ff = WireInit(Bool(),init=false.B) + val adder_out = WireInit(0.U(33.W)) + val ar_shifted = WireInit(0.U(64.W)) + val shortq_shift_ff = WireInit(0.U(5.W)) + val dividend_sign_ff = control_ff(2) + val divisor_sign_ff = control_ff(1) + val rem_ff = control_ff(0) + val by_zero_case = valid_ff & (b_ff(31,0) === 0.U) + val smallnum_case = ((a_ff(31,4) === 0.U) & (b_ff(31,4) === 0.U) & !by_zero_case & !rem_ff & valid_ff & !io.cancel) | + ((a_ff(31,0) === 0.U) & !by_zero_case & !rem_ff & valid_ff & !io.cancel) + val valid_ff_in = io.valid_in & !io.cancel + val control_in = Cat((!io.valid_in & control_ff(2)) | (io.valid_in & io.signed_in & io.dividend_in(31)), (!io.valid_in & control_ff(1)) | (io.valid_in & io.signed_in & io.divisor_in(31)), (!io.valid_in & control_ff(0)) | (io.valid_in & io.rem_in)) + val running_state = count_ff.orR() | shortq_enable_ff + val misc_enable = io.valid_in | valid_ff | io.cancel | running_state | finish_ff + val finish_raw = smallnum_case | by_zero_case | (count_ff === 32.U) + val finish = finish_raw & !io.cancel + val count_enable = (valid_ff | running_state) & !finish & !finish_ff & !io.cancel & !shortq_enable + val count_in = Fill(7,count_enable) & (count_ff + Cat(0.U(6.W),1.U) + Cat(0.U(2.W),shortq_shift_ff)) + val a_enable = io.valid_in | running_state + val a_shift = running_state & !shortq_enable_ff + ar_shifted := Cat (Fill(32,dividend_sign_ff),a_ff) << shortq_shift_ff + val b_twos_comp = valid_ff & !(dividend_sign_ff ^ divisor_sign_ff) + val twos_comp_b_sel = valid_ff & !(dividend_sign_ff ^ divisor_sign_ff) + val twos_comp_q_sel = !valid_ff & !rem_ff & (dividend_sign_ff ^ divisor_sign_ff) & !by_zero_case_ff + val b_enable = io.valid_in | b_twos_comp + val rq_enable = io.valid_in | valid_ff | running_state + val r_sign_sel = valid_ff & dividend_sign_ff & !by_zero_case + val r_restore_sel = running_state & !quotient_set & !shortq_enable_ff + val r_adder_sel = running_state & quotient_set & !shortq_enable_ff + val twos_comp_in = Mux1H(Seq ( + twos_comp_q_sel -> q_ff, + twos_comp_b_sel -> b_ff(31,0) + )) + val twos_comp_out = rvtwoscomp(twos_comp_in) + + val a_in = Mux1H(Seq ( + (!a_shift & !shortq_enable_ff).asBool -> io.dividend_in, + a_shift -> Cat(a_ff(30,0),0.U), + shortq_enable_ff -> ar_shifted(31,0) + )) + val b_in = Mux1H(Seq ( + !b_twos_comp -> Cat(io.signed_in & io.divisor_in(31),io.divisor_in(31,0)), + b_twos_comp -> Cat(!divisor_sign_ff,twos_comp_out(31,0)) + )) + val r_in = Mux1H (Seq( + r_sign_sel -> "hffffffff".U(32.W), + r_restore_sel -> Cat(r_ff(30,0),a_ff(31)), + r_adder_sel -> adder_out(31,0), + shortq_enable_ff -> ar_shifted(63,32), + by_zero_case -> a_ff + )) + val q_in = Mux1H (Seq( + !valid_ff -> Cat(q_ff(30,0),quotient_set), + smallnum_case -> Cat(0.U(28.W),smallnum), + by_zero_case -> Fill(32,1.U) +)) + adder_out := Cat(r_ff,a_ff(31)) + b_ff + quotient_set := (!adder_out(32) ^ dividend_sign_ff) | ((a_ff(30,0) === 0.U) & (adder_out === 0.U)) + io.valid_out := finish_ff & !io.cancel + io.data_out := Mux1H(Seq( + (!rem_ff & !twos_comp_q_sel).asBool() -> q_ff, + rem_ff -> r_ff, + twos_comp_q_sel -> twos_comp_out + )) + def pat1(x : List[Int], y : List[Int]) = { + val pat_a = (0 until x.size).map(i=> if(x(i)>=0) a_ff(x(i)) else !a_ff(x(i).abs)).reduce(_&_) + val pat_b = (0 until y.size).map(i=> if(y(i)>=0) b_ff(y(i)) else !b_ff(y(i).abs)).reduce(_&_) + pat_a & pat_b } - q_ff := rvdffe(q_in, qff_enable.asBool,clock,io.scan_mode) - a_ff := rvdffe(a_in, aff_enable.asBool,clock,io.scan_mode) - m_ff := rvdffe(Cat(!io.dec_div.div_p.bits.unsign & io.divisor(31), io.divisor), io.dec_div.div_p.valid.asBool,clock,io.scan_mode) + + smallnum := Cat( + pat1(List(3),List(-3, -2, -1)), + + pat1(List(3),List(-3, -2))& !b_ff(0) | pat1(List(2),List(-3, -2, -1)) | pat1(List(3, 2),List(-3, -2)), + + pat1(List(2),List(-3, -2))& !b_ff(0) | pat1(List(1),List(-3, -2, -1)) | pat1(List(3),List(-3, -1))& !b_ff(0) | + pat1(List(3, -2),List(-3, -2, 1, 0)) | pat1(List(-3, 2, 1),List(-3, -2)) | pat1(List(3, 2),List(-3))& !b_ff(0) | + pat1(List(3, 2),List(-3, 2, -1)) | pat1(List(3, 1),List(-3,-1)) | pat1(List(3, 2, 1),List(-3, 2)), + + pat1(List(2, 1, 0),List(-3, -1)) | pat1(List(3, -2, 0),List(-3, 1, 0)) | pat1(List(2),List(-3, -1))& !b_ff(0) | + pat1(List(1),List(-3, -2))& !b_ff(0) | pat1(List(0),List(-3, -2, -1)) | pat1(List(-3, 2, -1),List(-3, -2, 1, 0)) | + pat1(List(-3, 2, 1),List(-3))& !b_ff(0) | pat1(List(3),List(-2, -1)) & !b_ff(0) | pat1(List(3, -2),List(-3, 2, 1)) | + pat1(List(-3, 2, 1),List(-3, 2, -1)) | pat1(List(-3, 2, 0),List(-3, -1)) | pat1(List(3, -2, -1),List(-3, 2, 0)) | + pat1(List(-2, 1, 0),List(-3, -2)) | pat1(List(3, 2),List(-1)) & !b_ff(0) | pat1(List(-3, 2, 1, 0),List(-3, 2)) | + pat1(List(3, 2),List(3, -2)) | pat1(List(3, 1),List(3,-2,-1)) | pat1(List(3, 0),List(-2, -1)) | + pat1(List(3, -1),List(-3, 2, 1, 0)) | pat1(List(3, 2, 1),List(3)) & !b_ff(0) | pat1(List(3, 2, 1),List(3, -1)) | + pat1(List(3, 2, 0),List(3, -1)) | pat1(List(3, -2, 1),List(-3, 1)) | pat1(List(3, 1, 0),List(-2)) | + pat1(List(3, 2, 1, 0),List(3)) |pat1(List(3, 1),List(-2)) & !b_ff(0)) + +val shortq_dividend = Cat(dividend_sign_ff,a_ff) + val a_enc = Module(new exu_div_cls) + a_enc.io.operand := shortq_dividend + val dw_a_enc1 = a_enc.io.cls + val b_enc = Module(new exu_div_cls) + b_enc.io.operand := b_ff + val dw_b_enc1 = b_enc.io.cls + val dw_a_enc = Cat (0.U, dw_a_enc1) + val dw_b_enc = Cat (0.U, dw_b_enc1) + val dw_shortq_raw = Cat(0.U,dw_b_enc) - Cat(0.U,dw_a_enc) + 1.U(7.W) + val shortq = Mux(dw_shortq_raw(6).asBool(),0.U,dw_shortq_raw(5,0)) + shortq_enable := valid_ff & !shortq(5) & !(shortq(4,1) === "b1111".U) & !io.cancel + val shortq_shift = Mux(!shortq_enable,0.U,("b11111".U - shortq(4,0))) + valid_ff := rvdffe(valid_ff_in, misc_enable,clock,io.scan_mode) + control_ff := rvdffe(control_in, misc_enable,clock,io.scan_mode) + by_zero_case_ff := rvdffe(by_zero_case,misc_enable,clock,io.scan_mode) + shortq_enable_ff := rvdffe(shortq_enable, misc_enable,clock,io.scan_mode) + shortq_shift_ff := rvdffe(shortq_shift, misc_enable,clock,io.scan_mode) + finish_ff := rvdffe(finish, misc_enable,clock,io.scan_mode) + count_ff := rvdffe(count_in, misc_enable,clock,io.scan_mode) + + a_ff := rvdffe(a_in, a_enable,clock,io.scan_mode) + b_ff := rvdffe(b_in, b_enable,clock,io.scan_mode) + r_ff := rvdffe(r_in, rq_enable,clock,io.scan_mode) + q_ff := rvdffe(q_in, rq_enable,clock,io.scan_mode) +} +class exu_div_new_2bit_fullshortq extends Module with RequireAsyncReset with lib { + val io = IO(new Bundle{ + val scan_mode = Input(Bool()) + val cancel = Input(Bool()) + val valid_in = Input(Bool()) + val signed_in = Input(Bool()) + val rem_in = Input(Bool()) + val dividend_in = Input(UInt(32.W)) + val divisor_in = Input(UInt(32.W)) + val data_out = Output(UInt(32.W)) + val valid_out = Output(UInt(1.W)) + }) +// val valid_ff_in = WireInit(Bool(),init=false.B) + val valid_ff = WireInit(Bool(),init=false.B) +// val finish_raw = WireInit(Bool(),init=false.B) + // val finish = WireInit(Bool(),init=false.B) + val finish_ff = WireInit(Bool(),init=false.B) + // val running_state = WireInit(Bool(),init=false.B) + // val misc_enable = WireInit(Bool(),init=false.B) + // val control_in = WireInit(0.U(3.W)) + val control_ff = WireInit(0.U(3.W)) + // val dividend_sign_ff = WireInit(Bool(),init=false.B) +// val divisor_sign_ff = WireInit(Bool(),init=false.B) +// val count_enable = WireInit(Bool(),init=false.B) +// val count_in = WireInit(0.U(7.W)) + val count_ff = WireInit(0.U(7.W)) + val smallnum = WireInit(0.U(4.W)) + val smallnum_case = WireInit(Bool(),init=false.B) + // val a_enable = WireInit(Bool(),init=false.B) + // val a_shift = WireInit(Bool(),init=false.B) + // val b_enable = WireInit(Bool(),init=false.B) + // val b_twos_comp = WireInit(Bool(),init=false.B) + // val a_in = WireInit(0.U(32.W)) + val a_ff = WireInit(0.U(32.W)) +// val b_in = WireInit(0.U(33.W)) + val b_ff1 = WireInit(0.U(33.W)) + val b_ff = WireInit(0.U(35.W)) +// val q_in = WireInit(0.U(32.W)) + val q_ff = WireInit(0.U(32.W)) + // val r_in = WireInit(0.U(32.W)) + val r_ff = WireInit(0.U(32.W)) +// val rq_enable = WireInit(Bool(),init=false.B) + // val r_sign_sel = WireInit(Bool(),init=false.B) + // val r_restore_sel = WireInit(Bool(),init=false.B) +// val r_adder1_sel = WireInit(Bool(),init=false.B) +// val r_adder2_sel = WireInit(Bool(),init=false.B) + // val r_adder3_sel = WireInit(Bool(),init=false.B) +// val twos_comp_q_sel = WireInit(Bool(),init=false.B) +// val twos_comp_b_sel = WireInit(Bool(),init=false.B) + val quotient_raw = WireInit(0.U(3.W)) + val quotient_new = WireInit(0.U(2.W)) + val shortq_enable = WireInit(Bool(),init=false.B) + val shortq_enable_ff = WireInit(Bool(),init=false.B) +// val by_zero_case = WireInit(Bool(),init=false.B) + val by_zero_case_ff = WireInit(Bool(),init=false.B) + // val twos_comp_in = WireInit(0.U(32.W)) +// val twos_comp_out = WireInit(0.U(32.W)) + // val adder1_out = WireInit(0.U(33.W)) + // val adder2_out = WireInit(0.U(34.W)) +// val adder3_out = WireInit(0.U(35.W)) + val ar_shifted = WireInit(0.U(64.W)) + // val shortq = WireInit(0.U(6.W)) +// val shortq_shift = WireInit(0.U(5.W)) + val shortq_shift_ff = WireInit(0.U(4.W)) + // val shortq_dividend = WireInit(0.U(33.W)) + val valid_ff_in = io.valid_in & !io.cancel + val control_in = Cat((!io.valid_in & control_ff(2)) | (io.valid_in & io.signed_in & io.dividend_in(31)), (!io.valid_in & control_ff(1)) | (io.valid_in & io.signed_in & io.divisor_in(31)), (!io.valid_in & control_ff(0)) | (io.valid_in & io.rem_in)) + val dividend_sign_ff = control_ff(2) + val divisor_sign_ff = control_ff(1) + val rem_ff = control_ff(0) + val by_zero_case = valid_ff & (b_ff(31,0) === 0.U) + +// val smallnum_case = ((a_ff(31,4) === 0.U) & (b_ff(31,4) === 0.U) & !by_zero_case & !rem_ff & valid_ff & !io.cancel) | + ((a_ff(31,0) === 0.U) & !by_zero_case & !rem_ff & valid_ff & !io.cancel) + val running_state = count_ff.orR() | shortq_enable_ff + val misc_enable = io.valid_in | valid_ff | io.cancel | running_state | finish_ff + val finish_raw = smallnum_case | by_zero_case | (count_ff === 32.U) + val finish = finish_raw & !io.cancel + val count_enable = (valid_ff | running_state) & !finish & !finish_ff & !io.cancel & !shortq_enable + val count_in = Fill(7,count_enable) & (count_ff + Cat(0.U(5.W),2.U) + Cat(0.U(2.W),shortq_shift_ff,0.U)) + val a_enable = io.valid_in | running_state + val a_shift = running_state & !shortq_enable_ff + ar_shifted := Cat (Fill(32,dividend_sign_ff),a_ff) << Cat(shortq_shift_ff,0.U) + val b_twos_comp = valid_ff & !(dividend_sign_ff ^ divisor_sign_ff) + val twos_comp_b_sel = valid_ff & !(dividend_sign_ff ^ divisor_sign_ff) + val twos_comp_q_sel = !valid_ff & !rem_ff & (dividend_sign_ff ^ divisor_sign_ff) & !by_zero_case_ff + val b_enable = io.valid_in | b_twos_comp + val rq_enable = io.valid_in | valid_ff | running_state + val r_sign_sel = valid_ff & dividend_sign_ff & !by_zero_case + val r_restore_sel = running_state & (quotient_new === 0.U) & !shortq_enable_ff + val r_adder1_sel = running_state & (quotient_new === 1.U) & !shortq_enable_ff + val r_adder2_sel = running_state & (quotient_new === 2.U) & !shortq_enable_ff + val r_adder3_sel = running_state & (quotient_new === 3.U) & !shortq_enable_ff + val adder1_out = Cat(r_ff(30,0),a_ff(31,30)) + b_ff(32,0) + val adder2_out = Cat(r_ff(30,0),a_ff(31,30)) + Cat(b_ff(32,0),0.U) + val adder3_out = Cat(r_ff(31),r_ff(31,0),a_ff(31,30)) + Cat(b_ff(33,0),0.U) + b_ff + quotient_raw := Cat((!adder3_out(34) ^ dividend_sign_ff) | ((a_ff(29,0) === 0.U) & (adder3_out === 0.U)), + (!adder2_out(33) ^ dividend_sign_ff) | ((a_ff(29,0) === 0.U) & (adder2_out === 0.U)), + (!adder1_out(32) ^ dividend_sign_ff) | ((a_ff(29,0) === 0.U) & (adder1_out === 0.U))) + quotient_new := Cat ((quotient_raw(2) | quotient_raw(1)) , (quotient_raw(2) |(!quotient_raw(1) & quotient_raw(0)))) + val twos_comp_in = Mux1H(Seq ( + twos_comp_q_sel -> q_ff, + twos_comp_b_sel -> b_ff(31,0) + )) + val twos_comp_out = rvtwoscomp(twos_comp_in) + + val a_in = Mux1H(Seq ( + (!a_shift & !shortq_enable_ff).asBool -> io.dividend_in, + a_shift -> Cat(a_ff(29,0),0.U(2.W)), + shortq_enable_ff -> ar_shifted(31,0) + )) + + val b_in = Mux1H(Seq ( + !b_twos_comp -> Cat(io.signed_in & io.divisor_in(31),io.divisor_in(31,0)), + b_twos_comp -> Cat(!divisor_sign_ff,twos_comp_out(31,0)) + )) + val r_in = Mux1H (Seq( + r_sign_sel -> "hffffffff".U(32.W), + r_restore_sel -> Cat(r_ff(29,0),a_ff(31,30)), + r_adder1_sel -> adder1_out(31,0), + r_adder2_sel -> adder2_out(31,0), + r_adder3_sel -> adder3_out(31,0), + shortq_enable_ff -> ar_shifted(63,32), + by_zero_case -> a_ff + )) + val q_in = Mux1H (Seq( + !valid_ff -> Cat(q_ff(29,0),quotient_new), + smallnum_case -> Cat(0.U(28.W),smallnum), + by_zero_case -> Fill(32,1.U) + )) + io.valid_out := finish_ff & !io.cancel + io.data_out := Mux1H(Seq( + (!rem_ff & !twos_comp_q_sel).asBool() -> q_ff, + rem_ff -> r_ff, + twos_comp_q_sel -> twos_comp_out + )) + def pat1(x : List[Int], y : List[Int]) = { + val pat_a = (0 until x.size).map(i=> if(x(i)>=0) a_ff(x(i)) else !a_ff(x(i).abs)).reduce(_&_) + val pat_b = (0 until y.size).map(i=> if(y(i)>=0) b_ff(y(i)) else !b_ff(y(i).abs)).reduce(_&_) + pat_a & pat_b + } + smallnum := Cat( + pat1(List(3),List(-3, -2, -1)), + + pat1(List(3),List(-3, -2))& !b_ff(0) | pat1(List(2),List(-3, -2, -1)) | pat1(List(3, 2),List(-3, -2)), + + pat1(List(2),List(-3, -2))& !b_ff(0) | pat1(List(1),List(-3, -2, -1)) | pat1(List(3),List(-3, -1))& !b_ff(0) | + pat1(List(3, -2),List(-3, -2, 1, 0)) | pat1(List(-3, 2, 1),List(-3, -2)) | pat1(List(3, 2),List(-3))& !b_ff(0) | + pat1(List(3, 2),List(-3, 2, -1)) | pat1(List(3, 1),List(-3,-1)) | pat1(List(3, 2, 1),List(-3, 2)), + + pat1(List(2, 1, 0),List(-3, -1)) | pat1(List(3, -2, 0),List(-3, 1, 0)) | pat1(List(2),List(-3, -1))& !b_ff(0) | + pat1(List(1),List(-3, -2))& !b_ff(0) | pat1(List(0),List(-3, -2, -1)) | pat1(List(-3, 2, -1),List(-3, -2, 1, 0)) | + pat1(List(-3, 2, 1),List(-3))& !b_ff(0) | pat1(List(3),List(-2, -1)) & !b_ff(0) | pat1(List(3, -2),List(-3, 2, 1)) | + pat1(List(-3, 2, 1),List(-3, 2, -1)) | pat1(List(-3, 2, 0),List(-3, -1)) | pat1(List(3, -2, -1),List(-3, 2, 0)) | + pat1(List(-2, 1, 0),List(-3, -2)) | pat1(List(3, 2),List(-1)) & !b_ff(0) | pat1(List(-3, 2, 1, 0),List(-3, 2)) | + pat1(List(3, 2),List(3, -2)) | pat1(List(3, 1),List(3,-2,-1)) | pat1(List(3, 0),List(-2, -1)) | + pat1(List(3, -1),List(-3, 2, 1, 0)) | pat1(List(3, 2, 1),List(3)) & !b_ff(0) | pat1(List(3, 2, 1),List(3, -1)) | + pat1(List(3, 2, 0),List(3, -1)) | pat1(List(3, -2, 1),List(-3, 1)) | pat1(List(3, 1, 0),List(-2)) | + pat1(List(3, 2, 1, 0),List(3)) |pat1(List(3, 1),List(-2)) & !b_ff(0)) + + val shortq_dividend = Cat(dividend_sign_ff,a_ff) + val a_enc = Module(new exu_div_cls) + a_enc.io.operand := shortq_dividend + val dw_a_enc1 = a_enc.io.cls + val b_enc = Module(new exu_div_cls) + b_enc.io.operand := b_ff(32,0) + val dw_b_enc1 = b_enc.io.cls + val dw_a_enc = Cat (0.U, dw_a_enc1) + val dw_b_enc = Cat (0.U, dw_b_enc1) + val dw_shortq_raw = Cat(0.U,dw_b_enc) - Cat(0.U,dw_a_enc) + 1.U(7.W) + val shortq = Mux(dw_shortq_raw(6).asBool(),0.U,dw_shortq_raw(5,0)) + shortq_enable := valid_ff & !shortq(5) & !(shortq(4,1) === "b1111".U) & !io.cancel + val shortq_shift = Mux(!shortq_enable,0.U,("b11111".U - shortq(4,0))) + b_ff := Cat(b_ff1(32),b_ff1(32),b_ff1) + valid_ff := rvdffe(valid_ff_in, misc_enable,clock,io.scan_mode) + control_ff := rvdffe(control_in, misc_enable,clock,io.scan_mode) + by_zero_case_ff := rvdffe(by_zero_case,misc_enable,clock,io.scan_mode) + shortq_enable_ff := rvdffe(shortq_enable, misc_enable,clock,io.scan_mode) + shortq_shift_ff := rvdffe(shortq_shift(4,1), misc_enable,clock,io.scan_mode) + finish_ff := rvdffe(finish, misc_enable,clock,io.scan_mode) + count_ff := rvdffe(count_in, misc_enable,clock,io.scan_mode) + + a_ff := rvdffe(a_in, a_enable,clock,io.scan_mode) + b_ff1 := rvdffe(b_in(32,0), b_enable,clock,io.scan_mode) + r_ff := rvdffe(r_in, rq_enable,clock,io.scan_mode) + q_ff := rvdffe(q_in, rq_enable,clock,io.scan_mode) + +} + +object div_main3 extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new exu_div_new_2bit_fullshortq())) +} + + +class exu_div_new_3bit_fullshortq extends Module with RequireAsyncReset with lib { + val io = IO(new Bundle{ + val scan_mode = Input(Bool()) + val cancel = Input(Bool()) + val valid_in = Input(Bool()) + val signed_in = Input(Bool()) + val rem_in = Input(Bool()) + val dividend_in = Input(UInt(32.W)) + val divisor_in = Input(UInt(32.W)) + val data_out = Output(UInt(32.W)) + val valid_out = Output(UInt(1.W)) + }) + io.data_out :=0.U + io.valid_out :=0.U +} +class exu_div_new_4bit_fullshortq extends Module with RequireAsyncReset with lib { + val io = IO(new Bundle{ + val scan_mode = Input(Bool()) + val cancel = Input(Bool()) + val valid_in = Input(Bool()) + val signed_in = Input(Bool()) + val rem_in = Input(Bool()) + val dividend_in = Input(UInt(32.W)) + val divisor_in = Input(UInt(32.W)) + val data_out = Output(UInt(32.W)) + val valid_out = Output(UInt(1.W)) + }) + io.data_out :=5.U + io.valid_out :=1.U +} +class exu_div_cls extends Module{ + val io= IO(new Bundle{ + val operand = Input(UInt(33.W)) + val cls = Output(UInt(5.W)) + }) + val cls_zeros = WireInit(0.U(5.W)) + val cls_ones = WireInit(0.U(5.W)) + + cls_zeros := Mux1H((0 until 32).map(i=> (io.operand(31,31-i)===1.U)->i.U)) + + when(io.operand(31,0) === "hffffffff".U) { cls_ones := 31.U} + .otherwise{cls_ones := Mux1H((1 until 32).map(i=> (io.operand(31,31-i) === Cat(Fill(i,1.U),0.U)).asBool -> (i-1).U ))} + io.cls := Mux(io.operand(32),cls_ones,cls_zeros) } \ No newline at end of file diff --git a/src/main/scala/lib/param.scala b/src/main/scala/lib/param.scala index de31826b..f83a2b50 100644 --- a/src/main/scala/lib/param.scala +++ b/src/main/scala/lib/param.scala @@ -156,4 +156,7 @@ trait param { val SB_BUS_TAG = 0x1 val TIMER_LEGAL_EN = 0x1 val RV_FPGA_OPTIMIZE = 0x1 + val DIV_NEW = 0x1 + val DIV_BIT = 0x4 + } diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index 937e7f2e..435aca04 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -445,11 +445,13 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_data_en(i) := buf_state_en(i) buf_data_in(i) := Mux((ibuf_drain_vld & (i === ibuf_tag)).asBool(), ibuf_data_out(31, 0), store_data_lo_r(31, 0)) buf_cmd_state_bus_en(i) := 0.U + buf_rst(i) := io.dec_tlu_force_halt } is(wait_C) { buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, cmd_C) buf_state_en(i) := io.lsu_bus_clk_en | io.dec_tlu_force_halt buf_cmd_state_bus_en(i) := 0.U + buf_rst(i) := io.dec_tlu_force_halt } is(cmd_C) { buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, Mux((obuf_nosend & bus_rsp_read & (bus_rsp_read_tag === obuf_rdrsp_tag)), done_wait_C, resp_C)) @@ -462,7 +464,8 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_data_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & obuf_nosend & bus_rsp_read buf_error_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & obuf_nosend & bus_rsp_read_error buf_data_in(i) := Mux(buf_error_en(i), bus_rsp_rdata(31, 0), Mux(buf_addr(i)(2), bus_rsp_rdata(63, 32), bus_rsp_rdata(31, 0))) - } + buf_rst(i) := io.dec_tlu_force_halt + } is(resp_C) { buf_nxtstate(i) := Mux((io.dec_tlu_force_halt | (buf_write(i) & !bus_rsp_write_error)).asBool(), idle_C, Mux((buf_dual(i) & !buf_samedw(i) & !buf_write(i) & (buf_state(buf_dualtag(i)) =/= done_partial_C)), done_partial_C, @@ -479,6 +482,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { (bus_rsp_write_error & (bus_rsp_write_tag === i.asUInt(LSU_BUS_TAG.W)))) buf_data_in(i) := Mux((buf_state_en(i) & !buf_error_en(i)), Mux(buf_addr(i)(2), bus_rsp_rdata(63, 32), bus_rsp_rdata(31, 0)), bus_rsp_rdata(31, 0)) buf_cmd_state_bus_en(i) := 0.U + buf_rst(i) := io.dec_tlu_force_halt } is(done_partial_C) { // Other part of dual load hasn't returned buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, Mux((buf_ldfwd(i) | buf_ldfwd(buf_dualtag(i)) | any_done_wait_state), done_wait_C, done_C)) @@ -486,11 +490,13 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { (buf_ldfwd(buf_dualtag(i)) & (bus_rsp_read_tag === buf_ldfwdtag(buf_dualtag(i)).asUInt()))) buf_state_en(i) := (buf_state_bus_en(i) & io.lsu_bus_clk_en) | io.dec_tlu_force_halt buf_cmd_state_bus_en(i) := 0.U + buf_rst(i) := io.dec_tlu_force_halt } is(done_wait_C) { // WAIT state if there are multiple outstanding nb returns buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, done_C) buf_state_en(i) := ((RspPtr === i.asUInt(DEPTH_LOG2.W)) | (buf_dual(i) & (buf_dualtag(i) === RspPtr))) | io.dec_tlu_force_halt buf_cmd_state_bus_en(i) := 0.U + buf_rst(i) := io.dec_tlu_force_halt } is(done_C) { buf_nxtstate(i) := idle_C diff --git a/target/scala-2.12/classes/dbg/dbg.class b/target/scala-2.12/classes/dbg/dbg.class index 775fe41a..8bc76214 100644 Binary files a/target/scala-2.12/classes/dbg/dbg.class and b/target/scala-2.12/classes/dbg/dbg.class differ diff --git a/target/scala-2.12/classes/dec/CSR_IO.class b/target/scala-2.12/classes/dec/CSR_IO.class index c9cdf6c7..589da792 100644 Binary files a/target/scala-2.12/classes/dec/CSR_IO.class and b/target/scala-2.12/classes/dec/CSR_IO.class differ diff --git a/target/scala-2.12/classes/dec/csr_tlu.class b/target/scala-2.12/classes/dec/csr_tlu.class index e4b925ca..4608958a 100644 Binary files a/target/scala-2.12/classes/dec/csr_tlu.class and b/target/scala-2.12/classes/dec/csr_tlu.class differ diff --git a/target/scala-2.12/classes/dec/dec.class b/target/scala-2.12/classes/dec/dec.class index 4e3c0fca..17437014 100644 Binary files a/target/scala-2.12/classes/dec/dec.class and b/target/scala-2.12/classes/dec/dec.class differ diff --git a/target/scala-2.12/classes/dec/dec_IO.class b/target/scala-2.12/classes/dec/dec_IO.class index b9f78015..0c4c162a 100644 Binary files a/target/scala-2.12/classes/dec/dec_IO.class and b/target/scala-2.12/classes/dec/dec_IO.class differ diff --git a/target/scala-2.12/classes/dec/dec_dec_ctl.class b/target/scala-2.12/classes/dec/dec_dec_ctl.class index 17953240..5e0daf2f 100644 Binary files a/target/scala-2.12/classes/dec/dec_dec_ctl.class and b/target/scala-2.12/classes/dec/dec_dec_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_decode_ctl.class b/target/scala-2.12/classes/dec/dec_decode_ctl.class index 9d5ef320..e123f551 100644 Binary files a/target/scala-2.12/classes/dec/dec_decode_ctl.class and b/target/scala-2.12/classes/dec/dec_decode_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_gpr_ctl.class b/target/scala-2.12/classes/dec/dec_gpr_ctl.class index 194dcce6..eb37f8b4 100644 Binary files a/target/scala-2.12/classes/dec/dec_gpr_ctl.class and b/target/scala-2.12/classes/dec/dec_gpr_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_ib_ctl.class b/target/scala-2.12/classes/dec/dec_ib_ctl.class index 3d5c4277..5f66d12c 100644 Binary files a/target/scala-2.12/classes/dec/dec_ib_ctl.class and b/target/scala-2.12/classes/dec/dec_ib_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class b/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class index 9e9c8426..54661cac 100644 Binary files a/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class and b/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class differ diff --git a/target/scala-2.12/classes/dec/dec_timer_ctl.class b/target/scala-2.12/classes/dec/dec_timer_ctl.class index 84e074c2..c28df3c6 100644 Binary files a/target/scala-2.12/classes/dec/dec_timer_ctl.class and b/target/scala-2.12/classes/dec/dec_timer_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_tlu_ctl.class b/target/scala-2.12/classes/dec/dec_tlu_ctl.class index 34aa2ebf..27de6fcd 100644 Binary files a/target/scala-2.12/classes/dec/dec_tlu_ctl.class and b/target/scala-2.12/classes/dec/dec_tlu_ctl.class differ diff --git a/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class b/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class index 87d00c6d..2c3be0ae 100644 Binary files a/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class and b/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class differ diff --git a/target/scala-2.12/classes/dec/dec_trigger.class b/target/scala-2.12/classes/dec/dec_trigger.class index 4640ae77..2f2c8ff9 100644 Binary files a/target/scala-2.12/classes/dec/dec_trigger.class and b/target/scala-2.12/classes/dec/dec_trigger.class differ diff --git a/target/scala-2.12/classes/dma_ctrl.class b/target/scala-2.12/classes/dma_ctrl.class index 863a56da..43da6a58 100644 Binary files a/target/scala-2.12/classes/dma_ctrl.class and b/target/scala-2.12/classes/dma_ctrl.class differ diff --git a/target/scala-2.12/classes/exu/div_main3$.class b/target/scala-2.12/classes/exu/div_main3$.class new file mode 100644 index 00000000..ee70ad5f Binary files /dev/null and b/target/scala-2.12/classes/exu/div_main3$.class differ diff --git a/target/scala-2.12/classes/exu/div_main3$delayedInit$body.class b/target/scala-2.12/classes/exu/div_main3$delayedInit$body.class new file mode 100644 index 00000000..bba81eef Binary files /dev/null and b/target/scala-2.12/classes/exu/div_main3$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/exu/div_main3.class b/target/scala-2.12/classes/exu/div_main3.class new file mode 100644 index 00000000..a0d90512 Binary files /dev/null and b/target/scala-2.12/classes/exu/div_main3.class differ diff --git a/target/scala-2.12/classes/exu/exu.class b/target/scala-2.12/classes/exu/exu.class index ed3d82e5..8d16552c 100644 Binary files a/target/scala-2.12/classes/exu/exu.class and b/target/scala-2.12/classes/exu/exu.class differ diff --git a/target/scala-2.12/classes/exu/exu_alu_ctl.class b/target/scala-2.12/classes/exu/exu_alu_ctl.class index e526c134..7c529fc8 100644 Binary files a/target/scala-2.12/classes/exu/exu_alu_ctl.class and b/target/scala-2.12/classes/exu/exu_alu_ctl.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_cls$$anon$7.class b/target/scala-2.12/classes/exu/exu_div_cls$$anon$7.class new file mode 100644 index 00000000..a373ea09 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_cls$$anon$7.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_cls.class b/target/scala-2.12/classes/exu/exu_div_cls.class new file mode 100644 index 00000000..0b6472bf Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_cls.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_ctl.class b/target/scala-2.12/classes/exu/exu_div_ctl.class index a3311cb3..8064557b 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_ctl.class and b/target/scala-2.12/classes/exu/exu_div_ctl.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq$$anon$2.class b/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq$$anon$2.class new file mode 100644 index 00000000..0cc0765e Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq$$anon$2.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq.class b/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq.class new file mode 100644 index 00000000..2d963f2b Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_existing_1bit_cheapshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq$$anon$3.class b/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq$$anon$3.class new file mode 100644 index 00000000..8bfdd963 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq$$anon$3.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq.class new file mode 100644 index 00000000..bb04b818 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_new_1bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq$$anon$4.class b/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq$$anon$4.class new file mode 100644 index 00000000..be51f8b4 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq$$anon$4.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class new file mode 100644 index 00000000..0b071152 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_new_2bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq$$anon$5.class b/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq$$anon$5.class new file mode 100644 index 00000000..dca11482 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq$$anon$5.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class new file mode 100644 index 00000000..4026b68c Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_new_3bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq$$anon$6.class b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq$$anon$6.class new file mode 100644 index 00000000..5d88a092 Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq$$anon$6.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class new file mode 100644 index 00000000..c6a899bf Binary files /dev/null and b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class differ diff --git a/target/scala-2.12/classes/exu/exu_mul_ctl.class b/target/scala-2.12/classes/exu/exu_mul_ctl.class index 9fa1ae9e..113c1a34 100644 Binary files a/target/scala-2.12/classes/exu/exu_mul_ctl.class and b/target/scala-2.12/classes/exu/exu_mul_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu.class b/target/scala-2.12/classes/ifu/ifu.class index 6404c585..afe4e44e 100644 Binary files a/target/scala-2.12/classes/ifu/ifu.class and b/target/scala-2.12/classes/ifu/ifu.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_aln_ctl.class b/target/scala-2.12/classes/ifu/ifu_aln_ctl.class index 7921d5ac..a54159aa 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_aln_ctl.class and b/target/scala-2.12/classes/ifu/ifu_aln_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class index 1e4fcced..faacdaf9 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_bp_ctl.class and b/target/scala-2.12/classes/ifu/ifu_bp_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/ifu_compress_ctl.class index 6f504d00..0ccc4052 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_compress_ctl.class and b/target/scala-2.12/classes/ifu/ifu_compress_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class b/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class index 879f555b..cc585162 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class and b/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem_ctl.class b/target/scala-2.12/classes/ifu/ifu_mem_ctl.class index 424a4b6b..ebcf1de8 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem_ctl.class and b/target/scala-2.12/classes/ifu/ifu_mem_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/mem_ctl_io.class b/target/scala-2.12/classes/ifu/mem_ctl_io.class index 3c550f41..c096bac9 100644 Binary files a/target/scala-2.12/classes/ifu/mem_ctl_io.class and b/target/scala-2.12/classes/ifu/mem_ctl_io.class differ diff --git a/target/scala-2.12/classes/include/aln_ib.class b/target/scala-2.12/classes/include/aln_ib.class index 460b0998..200869a4 100644 Binary files a/target/scala-2.12/classes/include/aln_ib.class and b/target/scala-2.12/classes/include/aln_ib.class differ diff --git a/target/scala-2.12/classes/include/axi_channels.class b/target/scala-2.12/classes/include/axi_channels.class index 043b96b9..0e389792 100644 Binary files a/target/scala-2.12/classes/include/axi_channels.class and b/target/scala-2.12/classes/include/axi_channels.class differ diff --git a/target/scala-2.12/classes/include/dctl_busbuff.class b/target/scala-2.12/classes/include/dctl_busbuff.class index d48c7844..0b28eb7c 100644 Binary files a/target/scala-2.12/classes/include/dctl_busbuff.class and b/target/scala-2.12/classes/include/dctl_busbuff.class differ diff --git a/target/scala-2.12/classes/include/dec_aln.class b/target/scala-2.12/classes/include/dec_aln.class index 48a9118c..440538cd 100644 Binary files a/target/scala-2.12/classes/include/dec_aln.class and b/target/scala-2.12/classes/include/dec_aln.class differ diff --git a/target/scala-2.12/classes/include/dec_exu.class b/target/scala-2.12/classes/include/dec_exu.class index c9d7a949..1a82ff24 100644 Binary files a/target/scala-2.12/classes/include/dec_exu.class and b/target/scala-2.12/classes/include/dec_exu.class differ diff --git a/target/scala-2.12/classes/include/dec_mem_ctrl.class b/target/scala-2.12/classes/include/dec_mem_ctrl.class index 8adc6236..5b36687a 100644 Binary files a/target/scala-2.12/classes/include/dec_mem_ctrl.class and b/target/scala-2.12/classes/include/dec_mem_ctrl.class differ diff --git a/target/scala-2.12/classes/include/decode_exu.class b/target/scala-2.12/classes/include/decode_exu.class index e1d76a92..446680fb 100644 Binary files a/target/scala-2.12/classes/include/decode_exu.class and b/target/scala-2.12/classes/include/decode_exu.class differ diff --git a/target/scala-2.12/classes/include/exu_bp.class b/target/scala-2.12/classes/include/exu_bp.class index dd96f508..e51a76ef 100644 Binary files a/target/scala-2.12/classes/include/exu_bp.class and b/target/scala-2.12/classes/include/exu_bp.class differ diff --git a/target/scala-2.12/classes/include/ic_mem.class b/target/scala-2.12/classes/include/ic_mem.class index e42f2ba1..3853d0d8 100644 Binary files a/target/scala-2.12/classes/include/ic_mem.class and b/target/scala-2.12/classes/include/ic_mem.class differ diff --git a/target/scala-2.12/classes/include/iccm_mem.class b/target/scala-2.12/classes/include/iccm_mem.class index 474ec765..9ec0bebc 100644 Binary files a/target/scala-2.12/classes/include/iccm_mem.class and b/target/scala-2.12/classes/include/iccm_mem.class differ diff --git a/target/scala-2.12/classes/include/read_addr.class b/target/scala-2.12/classes/include/read_addr.class index d3344788..9c9b08a9 100644 Binary files a/target/scala-2.12/classes/include/read_addr.class and b/target/scala-2.12/classes/include/read_addr.class differ diff --git a/target/scala-2.12/classes/include/read_data.class b/target/scala-2.12/classes/include/read_data.class index 8140569b..638f827e 100644 Binary files a/target/scala-2.12/classes/include/read_data.class and b/target/scala-2.12/classes/include/read_data.class differ diff --git a/target/scala-2.12/classes/include/tlu_exu.class b/target/scala-2.12/classes/include/tlu_exu.class index f201cc3d..fb0f0455 100644 Binary files a/target/scala-2.12/classes/include/tlu_exu.class and b/target/scala-2.12/classes/include/tlu_exu.class differ diff --git a/target/scala-2.12/classes/include/write_addr.class b/target/scala-2.12/classes/include/write_addr.class index 01cb027f..1a96f6f0 100644 Binary files a/target/scala-2.12/classes/include/write_addr.class and b/target/scala-2.12/classes/include/write_addr.class differ diff --git a/target/scala-2.12/classes/include/write_data.class b/target/scala-2.12/classes/include/write_data.class index 46768ebb..da48f8be 100644 Binary files a/target/scala-2.12/classes/include/write_data.class and b/target/scala-2.12/classes/include/write_data.class differ diff --git a/target/scala-2.12/classes/include/write_resp.class b/target/scala-2.12/classes/include/write_resp.class index 69b6c042..599263fd 100644 Binary files a/target/scala-2.12/classes/include/write_resp.class and b/target/scala-2.12/classes/include/write_resp.class differ diff --git a/target/scala-2.12/classes/lib/ahb_to_axi4.class b/target/scala-2.12/classes/lib/ahb_to_axi4.class index 196c7517..e46c9411 100644 Binary files a/target/scala-2.12/classes/lib/ahb_to_axi4.class and b/target/scala-2.12/classes/lib/ahb_to_axi4.class differ diff --git a/target/scala-2.12/classes/lib/axi4_to_ahb.class b/target/scala-2.12/classes/lib/axi4_to_ahb.class index b6a0b56c..bd43aa4a 100644 Binary files a/target/scala-2.12/classes/lib/axi4_to_ahb.class and b/target/scala-2.12/classes/lib/axi4_to_ahb.class differ diff --git a/target/scala-2.12/classes/lib/param.class b/target/scala-2.12/classes/lib/param.class index b4683019..2b3d92ba 100644 Binary files a/target/scala-2.12/classes/lib/param.class and b/target/scala-2.12/classes/lib/param.class differ diff --git a/target/scala-2.12/classes/lsu/buffer$.class b/target/scala-2.12/classes/lsu/buffer$.class index c579965b..d3c6fe9c 100644 Binary files a/target/scala-2.12/classes/lsu/buffer$.class and b/target/scala-2.12/classes/lsu/buffer$.class differ diff --git a/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class b/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class index 37d1a129..3f48b82c 100644 Binary files a/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class and b/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/lsu.class b/target/scala-2.12/classes/lsu/lsu.class index f32a4afd..9b679ab2 100644 Binary files a/target/scala-2.12/classes/lsu/lsu.class and b/target/scala-2.12/classes/lsu/lsu.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_addrcheck.class b/target/scala-2.12/classes/lsu/lsu_addrcheck.class index 30570a91..efe130cd 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_addrcheck.class and b/target/scala-2.12/classes/lsu/lsu_addrcheck.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class index e1f491dc..66f17c1c 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_bus_intf.class b/target/scala-2.12/classes/lsu/lsu_bus_intf.class index a1e60c88..ce1ce361 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_bus_intf.class and b/target/scala-2.12/classes/lsu/lsu_bus_intf.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_clkdomain.class b/target/scala-2.12/classes/lsu/lsu_clkdomain.class index 9c6f2a2c..cab80ece 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_clkdomain.class and b/target/scala-2.12/classes/lsu/lsu_clkdomain.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class b/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class index 5c491ffc..578783ce 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class and b/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_ecc.class b/target/scala-2.12/classes/lsu/lsu_ecc.class index 983f751e..22cf664d 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_ecc.class and b/target/scala-2.12/classes/lsu/lsu_ecc.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class b/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class index 12ffef98..7c3d3b1b 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class and b/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_stbuf.class b/target/scala-2.12/classes/lsu/lsu_stbuf.class index 2dd40a82..98c2c694 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_stbuf.class and b/target/scala-2.12/classes/lsu/lsu_stbuf.class differ diff --git a/target/scala-2.12/classes/lsu/lsu_trigger.class b/target/scala-2.12/classes/lsu/lsu_trigger.class index e1109bcd..b4603fec 100644 Binary files a/target/scala-2.12/classes/lsu/lsu_trigger.class and b/target/scala-2.12/classes/lsu/lsu_trigger.class differ diff --git a/target/scala-2.12/classes/mem/Mem_bundle.class b/target/scala-2.12/classes/mem/Mem_bundle.class index d627764e..17470ec7 100644 Binary files a/target/scala-2.12/classes/mem/Mem_bundle.class and b/target/scala-2.12/classes/mem/Mem_bundle.class differ diff --git a/target/scala-2.12/classes/mem/blackbox_mem.class b/target/scala-2.12/classes/mem/blackbox_mem.class index 93b6db8d..95085083 100644 Binary files a/target/scala-2.12/classes/mem/blackbox_mem.class and b/target/scala-2.12/classes/mem/blackbox_mem.class differ diff --git a/target/scala-2.12/classes/mem/mem_lsu.class b/target/scala-2.12/classes/mem/mem_lsu.class index 8acf9386..4f456746 100644 Binary files a/target/scala-2.12/classes/mem/mem_lsu.class and b/target/scala-2.12/classes/mem/mem_lsu.class differ diff --git a/target/scala-2.12/classes/mem/quasar$.class b/target/scala-2.12/classes/mem/quasar$.class index 126a7eda..cc3657e0 100644 Binary files a/target/scala-2.12/classes/mem/quasar$.class and b/target/scala-2.12/classes/mem/quasar$.class differ diff --git a/target/scala-2.12/classes/mem/quasar.class b/target/scala-2.12/classes/mem/quasar.class index 880b6dbc..d910b061 100644 Binary files a/target/scala-2.12/classes/mem/quasar.class and b/target/scala-2.12/classes/mem/quasar.class differ diff --git a/target/scala-2.12/classes/pic_ctrl.class b/target/scala-2.12/classes/pic_ctrl.class index da8754ad..5edf3548 100644 Binary files a/target/scala-2.12/classes/pic_ctrl.class and b/target/scala-2.12/classes/pic_ctrl.class differ diff --git a/target/scala-2.12/quasar_2.12-3.3.0.jar b/target/scala-2.12/quasar_2.12-3.3.0.jar new file mode 100644 index 00000000..11a558f6 Binary files /dev/null and b/target/scala-2.12/quasar_2.12-3.3.0.jar differ diff --git a/target/scala-2.12/update/update_cache_2.12/output b/target/scala-2.12/update/update_cache_2.12/output index e23c3bc8..2009d15f 100644 --- a/target/scala-2.12/update/update_cache_2.12/output +++ b/target/scala-2.12/update/update_cache_2.12/output @@ -1 +1 @@ -{"cachedDescriptor":".","configurations":[{"configuration":{"name":"plugin"},"modules":[{"module":{"organization":"org.scalamacros","name":"paradise_2.12.10","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paradise_2.12.10","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalamacros/paradise","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"provided"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"compile"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"runtime"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":false},"stamps":{}} \ No newline at end of file +{"cachedDescriptor":".","configurations":[{"configuration":{"name":"plugin"},"modules":[{"module":{"organization":"org.scalamacros","name":"paradise_2.12.10","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paradise_2.12.10","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalamacros/paradise","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"provided"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"compile"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"runtime"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel-iotesters_2.12","revision":"1.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel-iotesters_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chiseltest_2.12","revision":"0.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chiseltest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"firrtl-interpreter_2.12","revision":"1.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"firrtl-interpreter_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"treadle_2.12","revision":"1.2.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"treadle_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"junit","name":"junit","revision":"4.13","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"junit","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://junit.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalatest","name":"scalatest_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalatest_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalacheck","name":"scalacheck_2.12","revision":"1.14.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalacheck_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalacheck.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.scopt","name":"scopt_2.12","revision":"3.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scopt_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scopt/scopt","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"utest_2.12","revision":"0.6.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"utest_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lihaoyi/utest","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-macros_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-macros_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"edu.berkeley.cs","name":"chisel3-core_2.12","revision":"3.3.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"chisel3-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://chisel.eecs.berkeley.edu/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.10","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.10/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.antlr","name":"antlr4-runtime","revision":"4.7.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"antlr4-runtime","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.google.protobuf","name":"protobuf-java","revision":"3.9.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protobuf-java","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"net.jcazevedo","name":"moultingyaml_2.12","revision":"0.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"moultingyaml_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/jcazevedo/moultingyaml","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-native_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-native_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-text","revision":"1.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-text","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://commons.apache.org/proper/commons-text","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-jline","revision":"2.12.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-jline","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.hamcrest","name":"hamcrest-core","revision":"1.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"hamcrest-core","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scalactic","name":"scalactic_2.12","revision":"3.0.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scalactic_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scalatest.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"1.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.portable-scala","name":"portable-scala-reflect_2.12","revision":"0.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"portable-scala-reflect_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/portable-scala/portable-scala-reflect","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.github.nscala-time","name":"nscala-time_2.12","revision":"2.22.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"nscala-time_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/nscala-time/nscala-time","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.yaml","name":"snakeyaml","revision":"1.26","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"snakeyaml","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.snakeyaml.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-core_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-core_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.commons","name":"commons-lang3","revision":"3.9","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"commons-lang3","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://commons.apache.org/proper/commons-lang/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"1.11","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"joda-time","name":"joda-time","revision":"2.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-time","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/joda-time/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.joda","name":"joda-convert","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"joda-convert","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.joda.org/${joda.artifactId}/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-ast_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-ast_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.json4s","name":"json4s-scalap_2.12","revision":"3.6.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"json4s-scalap_2.12","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/json4s/json4s","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.thoughtworks.paranamer","name":"paranamer","revision":"2.8","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"paranamer","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":true},"stamps":{}} \ No newline at end of file diff --git a/target/streams/_global/_global/checkBuildSources/_global/streams/out b/target/streams/_global/_global/checkBuildSources/_global/streams/out index 6c981659..24ce6f61 100644 --- a/target/streams/_global/_global/checkBuildSources/_global/streams/out +++ b/target/streams/_global/_global/checkBuildSources/_global/streams/out @@ -1 +1 @@ -[debug] Checking for meta build source updates +[debug] Checking for meta build source updates diff --git a/target/streams/compile/_global/_global/compileBinaryFileInputs/previous b/target/streams/compile/_global/_global/compileBinaryFileInputs/previous index ead21954..791273ee 100644 --- a/target/streams/compile/_global/_global/compileBinaryFileInputs/previous +++ b/target/streams/compile/_global/_global/compileBinaryFileInputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[],"lastModifiedTimes":[["/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar",1568150453000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar",1589510348000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar",1589510262000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar",1589510148000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar",1589509848000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar",1589509915000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar",1589510008000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar",1577893485000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar",1560177906000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar",1576251410000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar",1544681782000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar",1538040348000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar",1589510144000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar",1589510158000],["/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar",1568150359000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar",1512850018000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar",1562890310000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar",1585569703000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar",1588664866000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar",1567195024000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar",1433863301000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar",1341868082000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar",1560177704000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar",1554476959000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar",1372459476000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar",1534538933000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar",1549182974000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar",1582880959000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar",1588664788000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar",1554946238000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar",1368451282000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar",1540666280000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar",1547658114000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar",1588664857000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar",1588664856000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar",1440588567000]]}}] \ No newline at end of file +["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[],"lastModifiedTimes":[["/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar",1568150453000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar",1589510348000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar",1589510262000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar",1589510148000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar",1589509848000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar",1589509915000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar",1589510008000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar",1577893485000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar",1560177906000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar",1576251410000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar",1544681782000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar",1538040348000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar",1589510144000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar",1589510158000],["/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar",1568150359000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar",1512850018000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar",1562890310000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar",1585569703000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar",1588664866000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar",1567195024000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar",1433863301000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar",1341868082000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar",1560177704000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar",1554476959000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar",1372459476000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar",1534538933000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar",1549182974000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar",1582880959000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar",1588664788000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar",1554946238000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar",1368451282000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar",1540666280000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar",1547658114000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar",1588664857000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar",1588664856000],["/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar",1440588567000]]}}] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/compileOutputs/previous b/target/streams/compile/_global/_global/compileOutputs/previous index 31a2a3c4..347cdd37 100644 --- a/target/streams/compile/_global/_global/compileOutputs/previous +++ b/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_data.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_top.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_tlu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_lsc_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/inst_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_gen.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/tlu_busbuff.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/div_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_mem_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/state_t$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_wrapper.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_trigger.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_pic.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/predict_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvsyncss$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/reg_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_ifc.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_ctrl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/mem_lsu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_addr$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_alu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/quasar$mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_addr$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/sb_state_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_bundle.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma_ctrl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/cache_debug_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_gen$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/axi_channels.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/exu_ifu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ic_mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/CSRs.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/gpr_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/inst_pkt_t$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dctl_busbuff.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/CSR_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/state_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_mem_ctrl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_mem_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/axi_channels$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/bus_buffer$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/mul_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/alu_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_data$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_addr.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/class_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_channel.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dbg_ib.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_bundle$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_error_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/exu_bp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/csr_tlu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/Mem_bundle.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ib_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_ifc.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_wrapper$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma_ctrl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_trigger.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/br_tlu_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_bp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_ctrl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dctl_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_div.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/iccm_mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/aln_dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/quasar$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/tlu_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dbg_dctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/aln_ib.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_out_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/load_cam_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/param.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/tlu_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/trap_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_pic.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/rets_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_dbg.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/br_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$gated_latch.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ifu_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/trigger_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_data.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_aln.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/decode_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR_Wrp$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_resp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/quasar.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_out.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_top$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_in.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ifu_dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_dccm_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/bus_buffer$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_addr.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR_Wrp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_div_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/sb_state_t$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/bus_buffer.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dest_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/trace_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/blackbox_mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/CSR_VAL.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_ecc.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvdffe$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/mem_ctl_io.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_gen$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_top$.class","/home/abdulhameed.akram/Videos/Quasar/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/param.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvclkhdr.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/buffer$delayedInit$body.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/bus_intf$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_mem_ctrl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/br_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/axi4_to_ahb.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_compress_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/write_resp.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_ib_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_wrapper.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/sb_state_t$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/read_data$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_mem_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_exu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ahb_in.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/predict_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/bus_intf.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/state_t$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/tlu_busbuff.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/axi_channels$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_timer_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ib_exu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/dccm_ctl$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/tlu_dma.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_main$delayedInit$body.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dma_mem_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dctl_busbuff.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_addrcheck.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/exu_bp.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_pic.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/axi_channels.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dma_ctrl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdffe$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/aln_dec.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/gpr_exu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/dbg_dma.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/write_data.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/clkdomain.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/decode_exu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/dccm_ctl$delayedInit$body.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/stbuf$delayedInit$body.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_trigger.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/cache_debug_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_pic.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/mem_lsu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/write_addr$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvoclkhdr$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/sb_state_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_error_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/mul_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/stbuf$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_dec.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_tlu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/quasar$mem.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_clkdomain.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_mul_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/CSRs.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/quasar$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_bus_buffer.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/tlu_exu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ahb_channel.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/alu_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_aln.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_trigger.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_main.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_alu_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/csr_tlu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/trap_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ahb_out_dma.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/Mem_bundle.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/dbg$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdff_fpga$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvclkhdr$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/stbuf.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/pic_ctrl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/class_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ahb_out.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dma_dccm_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/iccm_mem.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dest_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode_csr_read.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/quasar.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dbg_ib.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_main$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/exu_ifu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_stbuf.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_bus_intf.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/read_addr$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_div_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/inst_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/div_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsc_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/buffer.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dma_ctrl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/write_addr.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/read_data.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/CSR_VAL.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/inst_pkt_t$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_dbg.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/dbg.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/lsu_dma.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dbg/state_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/trace_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/clkdomain$delayedInit$body.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/rets_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ifu_dma.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dma_lsc_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dctl_dma.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ifu_dec.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvdffs_fpga$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsc_ctl$delayedInit$body.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_tlu_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dma_ifc.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_exu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$gated_latch.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/ahb_to_axi4.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/read_addr.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/aln_ib.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dmi/dmi_wrapper_module.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_bp.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/reg_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/bus_intf$delayedInit$body.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/dccm_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dbg_dctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_aln_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsc_ctl$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/clkdomain$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/trigger_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_dma.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/buffer$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/load_cam_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/mem_ctl_io.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvecc_encode.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/lib$rvsyncss$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_gpr_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_ifc.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_ecc.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/br_tlu_pkt_t.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_div.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/ic_mem.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/ifu/ifu_bp_ctl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/pic_ctrl.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/CSR_IO.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/mem/blackbox_mem.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/include/dec_alu.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/dec/dec_IO.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/lib/axi4_to_ahb$.class","/home/laraibkhan/Desktop/SweRV-Chislified/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/compileSourceFileInputs/previous b/target/streams/compile/_global/_global/compileSourceFileInputs/previous index 1925b611..a780d6d3 100644 --- a/target/streams/compile/_global/_global/compileSourceFileInputs/previous +++ b/target/streams/compile/_global/_global/compileSourceFileInputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar.scala","43e345cb1d1dcd90b3224347e28a4ecb19ec18e9"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dma_ctrl.scala","708b5c9d7b08f8702549acb90b032c6a85e60360"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar_wrapper.scala","7de89776d7736202c5586c747a3886f28722a7fe"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/mem.scala","e9418660ac1519eea2058bbd87d585d5d47343f0"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/pic_ctrl.scala","7835541c9f7710f0c83d07c0565fe1c4e9e643ae"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu.scala","85221245f1752c377c5dfc3a60eeea0db9aeefd1"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu_alu_ctl.scala","369f3ac01e07eb8a2ec97049e878647a148eefef"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu_div_ctl.scala","dc91c0c780fed1ff374122dea516b6252fca923f"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu_mul_ctl.scala","fd528764c26575f995645a109d8b55799591874c"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/include/bundle.scala","3f341a72e4eec1cbb6a6a99b65a9c5270e63b82e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_trigger.scala","9923de9bfd2f504ae2bb2b15c42a32ceaa2d5846"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_addrcheck.scala","3c84af93ccb882d99b74852439d20c3b189dd518"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_dccm_ctl.scala","5168463f9c0e776c36750656998fc360fd269ab2"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_bus_buffer.scala","2a3adeb7551025cb173a0c7392274e01a712927e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_stbuf.scala","d9284179851f33d9cd92a94f31bd327c1fdef9ac"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_bus_intf.scala","7774491efcdfee647906ae17875a8ca9a4e637f1"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_lsc_ctl.scala","191bf9cc863939ecf0cab24cdceca1b9b64223d4"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu.scala","2b0afef74c8006997fd861c8cd90c9b300856381"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_ecc.scala","d9c545afed0cc63a9ab0b880ec36abca3e7fb61a"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_clkdomain.scala","d7ca34e7d329d7eef0e2506c7a07d6911f2226bf"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dbg/dbg.scala","5cdd5c93577fcaa38b610d9513cd6ede19cddeab"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu.scala","70412ecdf76345cd476f90c85f2a388090eda485"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_mem_ctl.scala","9f207697371dc1f28e50e429fe84ae24b9de4fc3"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_aln_ctl.scala","c37fe97894075254205a512d25d660b5f15788c0"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_compress_ctl.scala","3e2056149b7e8a89ba0809ff0082c71e3601820a"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_ifc_ctl.scala","58feaf508d092ed1910a9d0dfcf34bdd11f3049b"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_bp_ctl.scala","474eec82140152f20417b6c1e4404d409f7f4a9b"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec.scala","ffdb58ee94405a06407622ff8ebeeae2c82e4f2e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_gpr_ctl.scala","ef85566d41d8ca0d3781ab005c86097221e11c28"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_trigger.scala","cb83a19d8b65a41e5dc8a61e2b4198c362c2d912"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_ib_ctl.scala","76e2bf13097343ace8beed76c0d1bf730db133ed"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_dec_ctl.scala","e1f606df5c404d0d829d3a680836da2d31e9197e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_decode_ctl.scala","cb54784303a8451eea6df9648ea012ad0f2b317e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_tlu_ctl.scala","41763ef6fb083544d9dee3f4a09512bc024dd2cb"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dmi/dmi_wrapper.scala","619171c0b49373f3aaf18f92aa659d8c05acbdfa"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/axi4_to_ahb.scala","71dac5e30950c4447fc5a37330394fb7dc6f5c56"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/lib.scala","86cba6b253cea7c85f14f6816c06ee783c672dd7"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/ahb_to_axi4.scala","f06cf003914a7d7714569c01034f41286666bf41"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/param.scala","6c672ea7f0e7f4522288b3fe7f476dad6908ff30"]],"lastModifiedTimes":[]}}] \ No newline at end of file +["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/pic_ctrl.scala","2c0bf6d2f4c554108b67ebc3e19c57369eb40e17"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/quasar_wrapper.scala","a7044ad5453d895aacb82a01808a4a4b94d25b68"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/mem.scala","e9418660ac1519eea2058bbd87d585d5d47343f0"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dma_ctrl.scala","3c4d9ad39e0cb36ccb42b10ee016e9ae4d3e0244"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/quasar.scala","e995473ae54dbc9db50d8e2d9874f3190aeb0083"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_addrcheck.scala","163405f917888a3dfc1bfc81f9400432716b2557"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_dccm_ctl.scala","656eada5b6952e3132aa2a12805aebdd17843deb"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_bus_intf.scala","9327801b5c014be1ae1131678b9815d327cde216"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu.scala","507d0bf5047453e8c96665e7d6f5364319b2a4ea"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_lsc_ctl.scala","2d07182c2a301abc6f2aeea7337b97c7bcf5188f"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_ecc.scala","454229506cdaaa5be4edc30ca5f00e1bbb1dab52"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_stbuf.scala","8ec5a04ee60b3e2b410b9d0d6502424cb63163e2"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_clkdomain.scala","56318d3440b8789f00516707ed275be22baf08ab"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_bus_buffer.scala","452732d067d2a079bec892d2437db059a523a9f3"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_trigger.scala","87c6eede45fe856535818e6a3e7c109ca2ca8677"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lib/param.scala","c8bee3218309e1562e32c8055bc5b61893a67dc2"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lib/lib.scala","7dc24c7e1b86fc080dd68f21435c85c863e213b1"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lib/axi4_to_ahb.scala","a0f8764410367a2ea344a3e90ed394351e14ab00"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lib/ahb_to_axi4.scala","c19d86c71a650a13cd42769f6ada9cd370ffdb7e"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dec/dec_gpr_ctl.scala","ef85566d41d8ca0d3781ab005c86097221e11c28"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dec/dec_dec_ctl.scala","e1f606df5c404d0d829d3a680836da2d31e9197e"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dec/dec_trigger.scala","cb83a19d8b65a41e5dc8a61e2b4198c362c2d912"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dec/dec_tlu_ctl.scala","339c13fe7403c4900a13674ef96642d373f0a2c7"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dec/dec.scala","b84f82ee6dd9d53f53025e38f2f447da16e91caa"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dec/dec_ib_ctl.scala","76e2bf13097343ace8beed76c0d1bf730db133ed"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dec/dec_decode_ctl.scala","cb54784303a8451eea6df9648ea012ad0f2b317e"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/ifu/ifu_mem_ctl.scala","9f207697371dc1f28e50e429fe84ae24b9de4fc3"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/ifu/ifu_aln_ctl.scala","c37fe97894075254205a512d25d660b5f15788c0"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/ifu/ifu_bp_ctl.scala","474eec82140152f20417b6c1e4404d409f7f4a9b"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/ifu/ifu_ifc_ctl.scala","58feaf508d092ed1910a9d0dfcf34bdd11f3049b"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/ifu/ifu.scala","bd1d2820b1ce1701dffc6deea3a4718a54cdccd7"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/ifu/ifu_compress_ctl.scala","3e2056149b7e8a89ba0809ff0082c71e3601820a"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dmi/dmi_wrapper.scala","619171c0b49373f3aaf18f92aa659d8c05acbdfa"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/include/bundle.scala","5292e26b0368c2c35a52c9b7ad8c1ed97baaaae8"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/dbg/dbg.scala","8971b7b11bf5941efda213ffae44b512ef540ea0"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/exu/exu_div_ctl.scala","dc91c0c780fed1ff374122dea516b6252fca923f"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/exu/exu.scala","e1fc1e1167146d3e1fe7798fb3598384848ea521"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/exu/exu_alu_ctl.scala","369f3ac01e07eb8a2ec97049e878647a148eefef"],["/home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/exu/exu_mul_ctl.scala","fd528764c26575f995645a109d8b55799591874c"]],"lastModifiedTimes":[]}}] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/dependencyClasspathFiles/previous b/target/streams/compile/_global/_global/dependencyClasspathFiles/previous index 3fc0b0f5..f929e8f6 100644 --- a/target/streams/compile/_global/_global/dependencyClasspathFiles/previous +++ b/target/streams/compile/_global/_global/dependencyClasspathFiles/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/discoveredMainClasses/data b/target/streams/compile/_global/_global/discoveredMainClasses/data index 9b6397ad..b3795d8d 100644 --- a/target/streams/compile/_global/_global/discoveredMainClasses/data +++ b/target/streams/compile/_global/_global/discoveredMainClasses/data @@ -1 +1 @@ -["QUASAR","QUASAR_Wrp","dbg.dbg_main","dec.dec_main","dma","exu.exu_main","ifu.ifu_main","lib.ahb_to_axi4","lib.axi4_to_ahb","lsu.bus_buffer","lsu.lsu_top","pic_gen"] \ No newline at end of file +["lsu.buffer","lsu.bus_intf","lsu.clkdomain","lsu.dccm_ctl","lsu.lsc_ctl","lsu.lsu_main","lsu.stbuf"] \ No newline at end of file diff --git a/target/streams/compile/compile/_global/streams/out b/target/streams/compile/compile/_global/streams/out index 754b55dd..3c9adbe7 100644 --- a/target/streams/compile/compile/_global/streams/out +++ b/target/streams/compile/compile/_global/streams/out @@ -1,8 +1,2 @@ -[warn] there were 101 feature warnings; re-run with -feature for details +[warn] there were 337 feature warnings; re-run with -feature for details [warn] one warning found -[warn] /home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar.scala:289:8: Generated class QUASAR differs only in case from quasar. -[warn]  Such classes will overwrite one another on case-insensitive filesystems. -[warn] object QUASAR extends App { -[warn]  ^ -[warn] there were 123 feature warnings; re-run with -feature for details -[warn] two warnings found diff --git a/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip b/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip index 3c27f64a..dfa3e73c 100644 Binary files a/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip and b/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip differ diff --git a/target/streams/compile/compileIncremental/_global/streams/export b/target/streams/compile/compileIncremental/_global/streams/export index e9802a74..b134593d 100644 --- a/target/streams/compile/compileIncremental/_global/streams/export +++ b/target/streams/compile/compileIncremental/_global/streams/export @@ -1,2 +1 @@ -scalac -bootclasspath /home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar -classpath /home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -Xplugin:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar -Xsource:2.11.0 /home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/axi4_to_ahb.scala /home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/ahb_to_axi4.scala -scalac -bootclasspath /home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar -classpath /home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -Xplugin:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar -Xsource:2.11.0 /home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar.scala +scalac -bootclasspath /home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar -classpath /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -Xplugin:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar -Xsource:2.11.0 /home/laraibkhan/Desktop/SweRV-Chislified/src/main/scala/lsu/lsu_bus_buffer.scala diff --git a/target/streams/compile/copyResources/_global/streams/copy-resources b/target/streams/compile/copyResources/_global/streams/copy-resources index ade4d802..5a5c694f 100644 --- a/target/streams/compile/copyResources/_global/streams/copy-resources +++ b/target/streams/compile/copyResources/_global/streams/copy-resources @@ -1 +1 @@ -[[{"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv"]},{"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v"]}],{"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v","lastModified":1608115815577},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv","lastModified":1608115815577}}] \ No newline at end of file +[[{"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_lib.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem_lib.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/rvjtag_tap.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/rvjtag_tap.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_ic_mem.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/beh_lib.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/beh_lib.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/gated_latch.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/gated_latch.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_mod.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem_mod.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_iccm_mem.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_wrapper.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/lsu_dccm_mem.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv"]},{"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/rvjtag_tap.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/rvjtag_tap.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_iccm_mem.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_ic_mem.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/gated_latch.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/gated_latch.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/lsu_dccm_mem.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_wrapper.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/beh_lib.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/beh_lib.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem_mod.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_mod.sv"],"file:///home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem_lib.sv":["file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_lib.sv"]}],{"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv","lastModified":1608035101000},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_lib.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_lib.sv","lastModified":1608035101000},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/rvjtag_tap.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/rvjtag_tap.sv","lastModified":1608035101000},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_ic_mem.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_ic_mem.sv","lastModified":1608035101000},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/beh_lib.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/beh_lib.sv","lastModified":1608035101000},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/gated_latch.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/gated_latch.sv","lastModified":1608209394840},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_mod.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_mod.sv","lastModified":1608039915000},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_iccm_mem.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_iccm_mem.sv","lastModified":1608035101000},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem.sv","lastModified":1608035101000},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv","lastModified":1608035101000},"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/lsu_dccm_mem.sv":{"file":"file:///home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/lsu_dccm_mem.sv","lastModified":1608035101000}}] \ No newline at end of file diff --git a/target/streams/compile/copyResources/_global/streams/out b/target/streams/compile/copyResources/_global/streams/out index 613c3ffb..28b844ef 100644 --- a/target/streams/compile/copyResources/_global/streams/out +++ b/target/streams/compile/copyResources/_global/streams/out @@ -1,14 +1,12 @@ [debug] Copy resource mappings:  -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv) -[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_lib.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem_lib.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_iccm_mem.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/ifu_ic_mem.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/gated_latch.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/gated_latch.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/mem_mod.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/mem_mod.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/beh_lib.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/beh_lib.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/lsu_dccm_mem.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/dmi_wrapper.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/dmi_wrapper.sv) +[debug]  (/home/laraibkhan/Desktop/SweRV-Chislified/src/main/resources/vsrc/rvjtag_tap.sv,/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes/vsrc/rvjtag_tap.sv) diff --git a/target/streams/compile/dependencyClasspath/_global/streams/export b/target/streams/compile/dependencyClasspath/_global/streams/export index 58921df4..00a41704 100644 --- a/target/streams/compile/dependencyClasspath/_global/streams/export +++ b/target/streams/compile/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/compile/exportedProductJars/_global/streams/export b/target/streams/compile/exportedProductJars/_global/streams/export index ab1754f8..968d0ee7 100644 --- a/target/streams/compile/exportedProductJars/_global/streams/export +++ b/target/streams/compile/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/quasar_2.12-3.3.0.jar diff --git a/target/streams/compile/exportedProducts/_global/streams/export b/target/streams/compile/exportedProducts/_global/streams/export index 2f56444e..eeb8a02a 100644 --- a/target/streams/compile/exportedProducts/_global/streams/export +++ b/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes +/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/classes diff --git a/target/streams/compile/packageBin/_global/streams/inputs b/target/streams/compile/packageBin/_global/streams/inputs index d8452f02..0a30630b 100644 --- a/target/streams/compile/packageBin/_global/streams/inputs +++ b/target/streams/compile/packageBin/_global/streams/inputs @@ -1 +1 @@ --1845828660 \ No newline at end of file +-1342856941 \ No newline at end of file diff --git a/target/streams/compile/packageBin/_global/streams/out b/target/streams/compile/packageBin/_global/streams/out index 3971eae1..69fff9f9 100644 --- a/target/streams/compile/packageBin/_global/streams/out +++ b/target/streams/compile/packageBin/_global/streams/out @@ -1 +1 @@ -[debug] Jar uptodate: /home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +[debug] Jar uptodate: /home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/quasar_2.12-3.3.0.jar diff --git a/target/streams/compile/packageBin/_global/streams/output b/target/streams/compile/packageBin/_global/streams/output index 3e238bb9..8e96a919 100644 --- a/target/streams/compile/packageBin/_global/streams/output +++ b/target/streams/compile/packageBin/_global/streams/output @@ -1 +1 @@ -333153426 \ No newline at end of file +400441579 \ No newline at end of file diff --git a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export index 5a4177ae..3c74a92b 100644 --- a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/quasar_2.12-3.3.0.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/runtime/exportedProductJars/_global/streams/export b/target/streams/runtime/exportedProductJars/_global/streams/export index ab1754f8..968d0ee7 100644 --- a/target/streams/runtime/exportedProductJars/_global/streams/export +++ b/target/streams/runtime/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/quasar_2.12-3.3.0.jar diff --git a/target/streams/runtime/fullClasspathAsJars/_global/streams/export b/target/streams/runtime/fullClasspathAsJars/_global/streams/export index 5a4177ae..3c74a92b 100644 --- a/target/streams/runtime/fullClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/fullClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/quasar_2.12-3.3.0.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/laraibkhan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/laraibkhan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/runtime/internalDependencyAsJars/_global/streams/export b/target/streams/runtime/internalDependencyAsJars/_global/streams/export index ab1754f8..968d0ee7 100644 --- a/target/streams/runtime/internalDependencyAsJars/_global/streams/export +++ b/target/streams/runtime/internalDependencyAsJars/_global/streams/export @@ -1 +1 @@ -/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +/home/laraibkhan/Desktop/SweRV-Chislified/target/scala-2.12/quasar_2.12-3.3.0.jar diff --git a/untitled/untitled.iml b/untitled/untitled.iml new file mode 100644 index 00000000..e0abb5f7 --- /dev/null +++ b/untitled/untitled.iml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file