Update el2_ifu_compress_ctl.scala

This commit is contained in:
Sarmad-paracha 2020-09-24 18:24:40 +05:00 committed by GitHub
parent 195b0904c3
commit 682c9269c9
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 0 additions and 1 deletions

View File

@ -2,7 +2,6 @@ package ifu
import chisel3._ import chisel3._
import chisel3.util._ import chisel3.util._
import lib.ExpandedInstruction
class ExpandedInstruction extends Bundle { class ExpandedInstruction extends Bundle {
val bits = UInt(32.W) val bits = UInt(32.W)