diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 44ab8dcc..5a10329a 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -71,15 +71,6 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data_error" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_test", - "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_imprecise_error_addr_any", diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 6a063260..224edea7 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2701,7 +2701,6 @@ circuit el2_lsu_bus_buffer : node _T_1884 = mux(_T_1868, UInt<2>("h02"), _T_1883) @[Mux.scala 98:16] node _T_1885 = mux(_T_1854, UInt<1>("h01"), _T_1884) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1840, UInt<1>("h00"), _T_1885) @[Mux.scala 98:16] - io.test <= WrPtr1_m @[el2_lsu_bus_buffer.scala 398:11] wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 399:21] buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] @@ -2816,6 +2815,7 @@ circuit el2_lsu_bus_buffer : node _T_1982 = mux(_T_1980, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] node _T_1983 = mux(_T_1979, UInt<1>("h01"), _T_1982) @[Mux.scala 47:69] node CmdPtr0 = mux(_T_1978, UInt<1>("h00"), _T_1983) @[Mux.scala 47:69] + io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 410:11] node _T_1984 = bits(CmdPtr1Dec, 0, 0) @[OneHot.scala 47:40] node _T_1985 = bits(CmdPtr1Dec, 1, 1) @[OneHot.scala 47:40] node _T_1986 = bits(CmdPtr1Dec, 2, 2) @[OneHot.scala 47:40] @@ -2830,1581 +2830,1581 @@ circuit el2_lsu_bus_buffer : node _T_1994 = mux(_T_1992, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] node _T_1995 = mux(_T_1991, UInt<1>("h01"), _T_1994) @[Mux.scala 47:69] node RspPtr = mux(_T_1990, UInt<1>("h00"), _T_1995) @[Mux.scala 47:69] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 412:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 414:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 416:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 418:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 420:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] - node _T_1996 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1997 = and(_T_1996, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_1998 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_1999 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2000 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2001 = and(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2002 = or(_T_1998, _T_2001) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2003 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2004 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2006 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2008 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2010 = or(_T_2002, _T_2009) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2011 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2012 = and(_T_2011, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2013 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2014 = and(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2015 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2017 = or(_T_2010, _T_2016) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2018 = and(_T_1997, _T_2017) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2019 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2020 = or(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2021 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2022 = and(_T_2021, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2023 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2024 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2025 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2026 = and(_T_2024, _T_2025) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2027 = or(_T_2023, _T_2026) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2031 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2033 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2035 = or(_T_2027, _T_2034) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2037 = and(_T_2036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2038 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2039 = and(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2040 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2041 = and(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2042 = or(_T_2035, _T_2041) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2043 = and(_T_2022, _T_2042) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2044 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2045 = or(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2046 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2047 = and(_T_2046, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2048 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2049 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2050 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2052 = or(_T_2048, _T_2051) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2053 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2054 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2056 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2058 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2060 = or(_T_2052, _T_2059) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2061 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2062 = and(_T_2061, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2063 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2067 = or(_T_2060, _T_2066) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2068 = and(_T_2047, _T_2067) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2069 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2070 = or(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2071 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2072 = and(_T_2071, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2073 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2074 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2075 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2076 = and(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2077 = or(_T_2073, _T_2076) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2078 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2079 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2081 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2082 = and(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2083 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2084 = and(_T_2082, _T_2083) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2085 = or(_T_2077, _T_2084) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2086 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2087 = and(_T_2086, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2088 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2092 = or(_T_2085, _T_2091) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2093 = and(_T_2072, _T_2092) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2094 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 426:97] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 413:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 415:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 417:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 419:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 421:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] + node _T_1996 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1997 = and(_T_1996, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_1998 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_1999 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2000 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2001 = and(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2002 = or(_T_1998, _T_2001) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2003 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2004 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2006 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2008 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2010 = or(_T_2002, _T_2009) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2011 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2012 = and(_T_2011, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2013 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2014 = and(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2015 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2017 = or(_T_2010, _T_2016) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2018 = and(_T_1997, _T_2017) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2019 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2020 = or(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2021 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2022 = and(_T_2021, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2023 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2024 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2025 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2026 = and(_T_2024, _T_2025) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2027 = or(_T_2023, _T_2026) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2031 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2033 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2035 = or(_T_2027, _T_2034) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2037 = and(_T_2036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2038 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2039 = and(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2040 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2041 = and(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2042 = or(_T_2035, _T_2041) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2043 = and(_T_2022, _T_2042) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2044 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2045 = or(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2046 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2047 = and(_T_2046, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2048 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2049 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2050 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2052 = or(_T_2048, _T_2051) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2053 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2054 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2056 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2058 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2060 = or(_T_2052, _T_2059) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2061 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2062 = and(_T_2061, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2063 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2067 = or(_T_2060, _T_2066) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2068 = and(_T_2047, _T_2067) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2069 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2070 = or(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2071 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2072 = and(_T_2071, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2073 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2074 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2075 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2076 = and(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2077 = or(_T_2073, _T_2076) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2078 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2079 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2081 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2082 = and(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2083 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2084 = and(_T_2082, _T_2083) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2085 = or(_T_2077, _T_2084) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2086 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2087 = and(_T_2086, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2088 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2092 = or(_T_2085, _T_2091) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2093 = and(_T_2072, _T_2092) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2094 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 427:97] node _T_2096 = cat(_T_2095, _T_2070) @[Cat.scala 29:58] node _T_2097 = cat(_T_2096, _T_2045) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2097, _T_2020) @[Cat.scala 29:58] - node _T_2098 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2099 = and(_T_2098, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2100 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2101 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2102 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2103 = and(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2104 = or(_T_2100, _T_2103) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2106 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2107 = and(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2108 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2110 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2111 = and(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2112 = or(_T_2104, _T_2111) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2113 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2114 = and(_T_2113, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2115 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2117 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2118 = and(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2119 = or(_T_2112, _T_2118) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2120 = and(_T_2099, _T_2119) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2121 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2123 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2124 = and(_T_2123, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2125 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2126 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2127 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2128 = and(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2129 = or(_T_2125, _T_2128) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2130 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2131 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2132 = and(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2133 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2135 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2136 = and(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2137 = or(_T_2129, _T_2136) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2138 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2139 = and(_T_2138, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2140 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2142 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2144 = or(_T_2137, _T_2143) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2145 = and(_T_2124, _T_2144) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2146 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2147 = or(_T_2145, _T_2146) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2148 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2149 = and(_T_2148, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2150 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2151 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2152 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2154 = or(_T_2150, _T_2153) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2155 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2156 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2158 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2159 = and(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2160 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2162 = or(_T_2154, _T_2161) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2163 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2164 = and(_T_2163, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2165 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2167 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2169 = or(_T_2162, _T_2168) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2170 = and(_T_2149, _T_2169) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2171 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2172 = or(_T_2170, _T_2171) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2173 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2174 = and(_T_2173, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2175 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2176 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2177 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2179 = or(_T_2175, _T_2178) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2180 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2181 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2183 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2185 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2187 = or(_T_2179, _T_2186) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2188 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2189 = and(_T_2188, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2190 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2192 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2194 = or(_T_2187, _T_2193) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2195 = and(_T_2174, _T_2194) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2196 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2197 = or(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2098 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2099 = and(_T_2098, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2100 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2101 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2102 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2103 = and(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2104 = or(_T_2100, _T_2103) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2106 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2107 = and(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2108 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2110 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2111 = and(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2112 = or(_T_2104, _T_2111) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2113 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2114 = and(_T_2113, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2115 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2117 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2118 = and(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2119 = or(_T_2112, _T_2118) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2120 = and(_T_2099, _T_2119) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2121 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2123 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2124 = and(_T_2123, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2125 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2126 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2127 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2128 = and(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2129 = or(_T_2125, _T_2128) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2130 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2131 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2132 = and(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2133 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2135 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2136 = and(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2137 = or(_T_2129, _T_2136) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2138 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2139 = and(_T_2138, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2140 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2142 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2144 = or(_T_2137, _T_2143) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2145 = and(_T_2124, _T_2144) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2146 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2147 = or(_T_2145, _T_2146) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2148 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2149 = and(_T_2148, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2150 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2151 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2152 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2154 = or(_T_2150, _T_2153) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2155 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2156 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2158 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2159 = and(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2160 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2162 = or(_T_2154, _T_2161) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2163 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2164 = and(_T_2163, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2165 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2167 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2169 = or(_T_2162, _T_2168) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2170 = and(_T_2149, _T_2169) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2171 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2172 = or(_T_2170, _T_2171) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2173 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2174 = and(_T_2173, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2175 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2176 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2177 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2179 = or(_T_2175, _T_2178) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2180 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2181 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2183 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2185 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2187 = or(_T_2179, _T_2186) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2188 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2189 = and(_T_2188, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2190 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2192 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2194 = or(_T_2187, _T_2193) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2195 = and(_T_2174, _T_2194) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2196 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2197 = or(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 427:97] node _T_2198 = cat(_T_2197, _T_2172) @[Cat.scala 29:58] node _T_2199 = cat(_T_2198, _T_2147) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2199, _T_2122) @[Cat.scala 29:58] - node _T_2200 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2201 = and(_T_2200, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2202 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2203 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2204 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2205 = and(_T_2203, _T_2204) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2206 = or(_T_2202, _T_2205) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2207 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2208 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2210 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2212 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2214 = or(_T_2206, _T_2213) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2215 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2216 = and(_T_2215, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2217 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2219 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2221 = or(_T_2214, _T_2220) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2222 = and(_T_2201, _T_2221) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2223 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2224 = or(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2225 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2226 = and(_T_2225, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2227 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2228 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2229 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2230 = and(_T_2228, _T_2229) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2231 = or(_T_2227, _T_2230) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2232 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2233 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2235 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2237 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2239 = or(_T_2231, _T_2238) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2240 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2241 = and(_T_2240, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2242 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2244 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2246 = or(_T_2239, _T_2245) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2247 = and(_T_2226, _T_2246) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2248 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2249 = or(_T_2247, _T_2248) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2250 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2251 = and(_T_2250, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2252 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2253 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2254 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2256 = or(_T_2252, _T_2255) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2257 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2258 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2260 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2262 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2264 = or(_T_2256, _T_2263) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2265 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2266 = and(_T_2265, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2267 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2269 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2271 = or(_T_2264, _T_2270) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2272 = and(_T_2251, _T_2271) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2273 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2274 = or(_T_2272, _T_2273) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2275 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2276 = and(_T_2275, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2277 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2278 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2279 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2281 = or(_T_2277, _T_2280) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2282 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2283 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2285 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2287 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2289 = or(_T_2281, _T_2288) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2290 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2291 = and(_T_2290, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2292 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2294 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2296 = or(_T_2289, _T_2295) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2297 = and(_T_2276, _T_2296) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2298 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2299 = or(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2200 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2201 = and(_T_2200, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2202 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2203 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2204 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2205 = and(_T_2203, _T_2204) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2206 = or(_T_2202, _T_2205) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2207 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2208 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2210 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2212 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2214 = or(_T_2206, _T_2213) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2215 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2216 = and(_T_2215, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2217 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2219 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2221 = or(_T_2214, _T_2220) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2222 = and(_T_2201, _T_2221) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2223 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2224 = or(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2225 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2226 = and(_T_2225, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2227 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2228 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2229 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2230 = and(_T_2228, _T_2229) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2231 = or(_T_2227, _T_2230) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2232 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2233 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2235 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2237 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2239 = or(_T_2231, _T_2238) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2240 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2241 = and(_T_2240, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2242 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2244 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2246 = or(_T_2239, _T_2245) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2247 = and(_T_2226, _T_2246) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2248 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2249 = or(_T_2247, _T_2248) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2250 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2251 = and(_T_2250, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2252 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2253 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2254 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2256 = or(_T_2252, _T_2255) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2257 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2258 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2260 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2262 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2264 = or(_T_2256, _T_2263) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2265 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2266 = and(_T_2265, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2267 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2269 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2271 = or(_T_2264, _T_2270) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2272 = and(_T_2251, _T_2271) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2273 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2274 = or(_T_2272, _T_2273) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2275 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2276 = and(_T_2275, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2277 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2278 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2279 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2281 = or(_T_2277, _T_2280) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2282 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2283 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2285 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2287 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2289 = or(_T_2281, _T_2288) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2290 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2291 = and(_T_2290, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2292 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2294 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2296 = or(_T_2289, _T_2295) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2297 = and(_T_2276, _T_2296) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2298 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2299 = or(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 427:97] node _T_2300 = cat(_T_2299, _T_2274) @[Cat.scala 29:58] node _T_2301 = cat(_T_2300, _T_2249) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2301, _T_2224) @[Cat.scala 29:58] - node _T_2302 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2303 = and(_T_2302, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2304 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2305 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2306 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2307 = and(_T_2305, _T_2306) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2308 = or(_T_2304, _T_2307) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2309 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2310 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2312 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2314 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2316 = or(_T_2308, _T_2315) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2317 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2318 = and(_T_2317, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2319 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2321 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2323 = or(_T_2316, _T_2322) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2324 = and(_T_2303, _T_2323) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2325 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2326 = or(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2327 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2328 = and(_T_2327, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2329 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2330 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2332 = and(_T_2330, _T_2331) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2333 = or(_T_2329, _T_2332) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2334 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2335 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2337 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2339 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2341 = or(_T_2333, _T_2340) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2342 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2343 = and(_T_2342, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2344 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2346 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2348 = or(_T_2341, _T_2347) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2349 = and(_T_2328, _T_2348) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2350 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2351 = or(_T_2349, _T_2350) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2352 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2353 = and(_T_2352, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2354 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2355 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2356 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2358 = or(_T_2354, _T_2357) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2359 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2360 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2362 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2364 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2366 = or(_T_2358, _T_2365) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2367 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2368 = and(_T_2367, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2369 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2371 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2373 = or(_T_2366, _T_2372) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2374 = and(_T_2353, _T_2373) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2375 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2376 = or(_T_2374, _T_2375) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2377 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2378 = and(_T_2377, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2379 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2380 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2381 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2383 = or(_T_2379, _T_2382) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2384 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2385 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2387 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2389 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2391 = or(_T_2383, _T_2390) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2392 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2393 = and(_T_2392, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2394 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2396 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2398 = or(_T_2391, _T_2397) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2399 = and(_T_2378, _T_2398) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2400 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2401 = or(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2302 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2303 = and(_T_2302, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2304 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2305 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2306 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2307 = and(_T_2305, _T_2306) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2308 = or(_T_2304, _T_2307) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2309 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2310 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2312 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2314 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2316 = or(_T_2308, _T_2315) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2317 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2318 = and(_T_2317, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2319 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2321 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2323 = or(_T_2316, _T_2322) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2324 = and(_T_2303, _T_2323) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2325 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2326 = or(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2327 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2328 = and(_T_2327, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2329 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2330 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2332 = and(_T_2330, _T_2331) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2333 = or(_T_2329, _T_2332) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2334 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2335 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2337 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2339 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2341 = or(_T_2333, _T_2340) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2342 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2343 = and(_T_2342, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2344 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2346 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2348 = or(_T_2341, _T_2347) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2349 = and(_T_2328, _T_2348) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2350 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2351 = or(_T_2349, _T_2350) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2352 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2353 = and(_T_2352, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2354 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2355 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2356 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2358 = or(_T_2354, _T_2357) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2359 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2360 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2362 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2364 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2366 = or(_T_2358, _T_2365) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2367 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2368 = and(_T_2367, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2369 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2371 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2373 = or(_T_2366, _T_2372) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2374 = and(_T_2353, _T_2373) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2375 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2376 = or(_T_2374, _T_2375) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2377 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2378 = and(_T_2377, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2379 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2380 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2381 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2383 = or(_T_2379, _T_2382) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2384 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2385 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2387 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2389 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2391 = or(_T_2383, _T_2390) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2392 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2393 = and(_T_2392, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2394 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2396 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2398 = or(_T_2391, _T_2397) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2399 = and(_T_2378, _T_2398) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2400 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2401 = or(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 427:97] node _T_2402 = cat(_T_2401, _T_2376) @[Cat.scala 29:58] node _T_2403 = cat(_T_2402, _T_2351) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2403, _T_2326) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] - node _T_2404 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2405 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2406 = and(_T_2405, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2407 = and(_T_2404, _T_2406) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2408 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2409 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2410 = and(_T_2409, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2411 = and(_T_2408, _T_2410) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2412 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2413 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2414 = and(_T_2413, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2415 = and(_T_2412, _T_2414) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2416 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2417 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2418 = and(_T_2417, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2419 = and(_T_2416, _T_2418) @[el2_lsu_bus_buffer.scala 429:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 428:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] + node _T_2404 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2405 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2406 = and(_T_2405, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2407 = and(_T_2404, _T_2406) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2408 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2409 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2410 = and(_T_2409, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2411 = and(_T_2408, _T_2410) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2412 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2413 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2414 = and(_T_2413, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2415 = and(_T_2412, _T_2414) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2416 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2417 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2418 = and(_T_2417, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2419 = and(_T_2416, _T_2418) @[el2_lsu_bus_buffer.scala 430:78] node _T_2420 = cat(_T_2419, _T_2415) @[Cat.scala 29:58] node _T_2421 = cat(_T_2420, _T_2411) @[Cat.scala 29:58] node _T_2422 = cat(_T_2421, _T_2407) @[Cat.scala 29:58] - node _T_2423 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2424 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2425 = and(_T_2424, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2426 = and(_T_2423, _T_2425) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2427 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2428 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2429 = and(_T_2428, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2430 = and(_T_2427, _T_2429) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2431 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2432 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2433 = and(_T_2432, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2434 = and(_T_2431, _T_2433) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2435 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2437 = and(_T_2436, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2438 = and(_T_2435, _T_2437) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2423 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2424 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2425 = and(_T_2424, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2426 = and(_T_2423, _T_2425) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2427 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2428 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2429 = and(_T_2428, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2430 = and(_T_2427, _T_2429) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2431 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2432 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2433 = and(_T_2432, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2434 = and(_T_2431, _T_2433) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2435 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2437 = and(_T_2436, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2438 = and(_T_2435, _T_2437) @[el2_lsu_bus_buffer.scala 430:78] node _T_2439 = cat(_T_2438, _T_2434) @[Cat.scala 29:58] node _T_2440 = cat(_T_2439, _T_2430) @[Cat.scala 29:58] node _T_2441 = cat(_T_2440, _T_2426) @[Cat.scala 29:58] - node _T_2442 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2444 = and(_T_2443, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2445 = and(_T_2442, _T_2444) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2446 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2447 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2448 = and(_T_2447, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2449 = and(_T_2446, _T_2448) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2450 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2451 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2452 = and(_T_2451, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2453 = and(_T_2450, _T_2452) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2454 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2456 = and(_T_2455, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2457 = and(_T_2454, _T_2456) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2442 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2444 = and(_T_2443, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2445 = and(_T_2442, _T_2444) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2446 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2447 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2448 = and(_T_2447, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2449 = and(_T_2446, _T_2448) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2450 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2451 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2452 = and(_T_2451, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2453 = and(_T_2450, _T_2452) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2454 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2456 = and(_T_2455, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2457 = and(_T_2454, _T_2456) @[el2_lsu_bus_buffer.scala 430:78] node _T_2458 = cat(_T_2457, _T_2453) @[Cat.scala 29:58] node _T_2459 = cat(_T_2458, _T_2449) @[Cat.scala 29:58] node _T_2460 = cat(_T_2459, _T_2445) @[Cat.scala 29:58] - node _T_2461 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2465 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2469 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2473 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2461 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2465 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2469 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2473 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 430:78] node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - buf_age[0] <= _T_2422 @[el2_lsu_bus_buffer.scala 429:13] - buf_age[1] <= _T_2441 @[el2_lsu_bus_buffer.scala 429:13] - buf_age[2] <= _T_2460 @[el2_lsu_bus_buffer.scala 429:13] - buf_age[3] <= _T_2479 @[el2_lsu_bus_buffer.scala 429:13] - node _T_2480 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2481 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2482 = eq(_T_2481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2483 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2485 = mux(_T_2480, UInt<1>("h00"), _T_2484) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2486 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2487 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2488 = eq(_T_2487, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2489 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2490 = and(_T_2488, _T_2489) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2491 = mux(_T_2486, UInt<1>("h00"), _T_2490) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2492 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2493 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2494 = eq(_T_2493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2495 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2496 = and(_T_2494, _T_2495) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2497 = mux(_T_2492, UInt<1>("h00"), _T_2496) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2498 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2499 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2500 = eq(_T_2499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2501 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2502 = and(_T_2500, _T_2501) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2503 = mux(_T_2498, UInt<1>("h00"), _T_2502) @[el2_lsu_bus_buffer.scala 430:74] + buf_age[0] <= _T_2422 @[el2_lsu_bus_buffer.scala 430:13] + buf_age[1] <= _T_2441 @[el2_lsu_bus_buffer.scala 430:13] + buf_age[2] <= _T_2460 @[el2_lsu_bus_buffer.scala 430:13] + buf_age[3] <= _T_2479 @[el2_lsu_bus_buffer.scala 430:13] + node _T_2480 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2481 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2482 = eq(_T_2481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2483 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2485 = mux(_T_2480, UInt<1>("h00"), _T_2484) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2486 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2487 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2488 = eq(_T_2487, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2489 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2490 = and(_T_2488, _T_2489) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2491 = mux(_T_2486, UInt<1>("h00"), _T_2490) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2492 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2493 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2494 = eq(_T_2493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2495 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2496 = and(_T_2494, _T_2495) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2497 = mux(_T_2492, UInt<1>("h00"), _T_2496) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2498 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2499 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2500 = eq(_T_2499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2501 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2502 = and(_T_2500, _T_2501) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2503 = mux(_T_2498, UInt<1>("h00"), _T_2502) @[el2_lsu_bus_buffer.scala 431:74] node _T_2504 = cat(_T_2503, _T_2497) @[Cat.scala 29:58] node _T_2505 = cat(_T_2504, _T_2491) @[Cat.scala 29:58] node _T_2506 = cat(_T_2505, _T_2485) @[Cat.scala 29:58] - node _T_2507 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2508 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2510 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2511 = and(_T_2509, _T_2510) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2512 = mux(_T_2507, UInt<1>("h00"), _T_2511) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2513 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2514 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2515 = eq(_T_2514, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2516 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2518 = mux(_T_2513, UInt<1>("h00"), _T_2517) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2519 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2520 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2521 = eq(_T_2520, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2522 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2524 = mux(_T_2519, UInt<1>("h00"), _T_2523) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2525 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2526 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2527 = eq(_T_2526, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2528 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2530 = mux(_T_2525, UInt<1>("h00"), _T_2529) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2507 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2508 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2510 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2511 = and(_T_2509, _T_2510) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2512 = mux(_T_2507, UInt<1>("h00"), _T_2511) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2513 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2514 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2515 = eq(_T_2514, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2516 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2518 = mux(_T_2513, UInt<1>("h00"), _T_2517) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2519 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2520 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2521 = eq(_T_2520, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2522 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2524 = mux(_T_2519, UInt<1>("h00"), _T_2523) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2525 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2526 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2527 = eq(_T_2526, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2528 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2530 = mux(_T_2525, UInt<1>("h00"), _T_2529) @[el2_lsu_bus_buffer.scala 431:74] node _T_2531 = cat(_T_2530, _T_2524) @[Cat.scala 29:58] node _T_2532 = cat(_T_2531, _T_2518) @[Cat.scala 29:58] node _T_2533 = cat(_T_2532, _T_2512) @[Cat.scala 29:58] - node _T_2534 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2535 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2536 = eq(_T_2535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2537 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2539 = mux(_T_2534, UInt<1>("h00"), _T_2538) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2540 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2541 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2542 = eq(_T_2541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2543 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2545 = mux(_T_2540, UInt<1>("h00"), _T_2544) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2546 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2547 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2548 = eq(_T_2547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2549 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2551 = mux(_T_2546, UInt<1>("h00"), _T_2550) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2552 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2553 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2555 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2556 = and(_T_2554, _T_2555) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2557 = mux(_T_2552, UInt<1>("h00"), _T_2556) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2534 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2535 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2536 = eq(_T_2535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2537 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2539 = mux(_T_2534, UInt<1>("h00"), _T_2538) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2540 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2541 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2542 = eq(_T_2541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2543 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2545 = mux(_T_2540, UInt<1>("h00"), _T_2544) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2546 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2547 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2548 = eq(_T_2547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2549 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2551 = mux(_T_2546, UInt<1>("h00"), _T_2550) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2552 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2553 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2555 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2556 = and(_T_2554, _T_2555) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2557 = mux(_T_2552, UInt<1>("h00"), _T_2556) @[el2_lsu_bus_buffer.scala 431:74] node _T_2558 = cat(_T_2557, _T_2551) @[Cat.scala 29:58] node _T_2559 = cat(_T_2558, _T_2545) @[Cat.scala 29:58] node _T_2560 = cat(_T_2559, _T_2539) @[Cat.scala 29:58] - node _T_2561 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2562 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2564 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2566 = mux(_T_2561, UInt<1>("h00"), _T_2565) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2567 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2568 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2570 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2571 = and(_T_2569, _T_2570) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2572 = mux(_T_2567, UInt<1>("h00"), _T_2571) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2573 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2574 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2576 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2577 = and(_T_2575, _T_2576) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2578 = mux(_T_2573, UInt<1>("h00"), _T_2577) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2579 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2580 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2581 = eq(_T_2580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2582 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2583 = and(_T_2581, _T_2582) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2584 = mux(_T_2579, UInt<1>("h00"), _T_2583) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2561 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2562 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2564 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2566 = mux(_T_2561, UInt<1>("h00"), _T_2565) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2567 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2568 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2570 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2571 = and(_T_2569, _T_2570) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2572 = mux(_T_2567, UInt<1>("h00"), _T_2571) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2573 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2574 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2576 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2577 = and(_T_2575, _T_2576) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2578 = mux(_T_2573, UInt<1>("h00"), _T_2577) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2579 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2580 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2581 = eq(_T_2580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2582 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2583 = and(_T_2581, _T_2582) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2584 = mux(_T_2579, UInt<1>("h00"), _T_2583) @[el2_lsu_bus_buffer.scala 431:74] node _T_2585 = cat(_T_2584, _T_2578) @[Cat.scala 29:58] node _T_2586 = cat(_T_2585, _T_2572) @[Cat.scala 29:58] node _T_2587 = cat(_T_2586, _T_2566) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2506 @[el2_lsu_bus_buffer.scala 430:21] - buf_age_younger[1] <= _T_2533 @[el2_lsu_bus_buffer.scala 430:21] - buf_age_younger[2] <= _T_2560 @[el2_lsu_bus_buffer.scala 430:21] - buf_age_younger[3] <= _T_2587 @[el2_lsu_bus_buffer.scala 430:21] - node _T_2588 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2589 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2590 = and(_T_2588, _T_2589) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2591 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2592 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2593 = and(_T_2591, _T_2592) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2594 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2595 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2596 = and(_T_2594, _T_2595) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2597 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2598 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2599 = and(_T_2597, _T_2598) @[el2_lsu_bus_buffer.scala 431:89] + buf_age_younger[0] <= _T_2506 @[el2_lsu_bus_buffer.scala 431:21] + buf_age_younger[1] <= _T_2533 @[el2_lsu_bus_buffer.scala 431:21] + buf_age_younger[2] <= _T_2560 @[el2_lsu_bus_buffer.scala 431:21] + buf_age_younger[3] <= _T_2587 @[el2_lsu_bus_buffer.scala 431:21] + node _T_2588 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2589 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2590 = and(_T_2588, _T_2589) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2591 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2592 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2593 = and(_T_2591, _T_2592) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2594 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2595 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2596 = and(_T_2594, _T_2595) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2597 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2598 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2599 = and(_T_2597, _T_2598) @[el2_lsu_bus_buffer.scala 432:89] node _T_2600 = cat(_T_2599, _T_2596) @[Cat.scala 29:58] node _T_2601 = cat(_T_2600, _T_2593) @[Cat.scala 29:58] node _T_2602 = cat(_T_2601, _T_2590) @[Cat.scala 29:58] - node _T_2603 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2604 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2605 = and(_T_2603, _T_2604) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2606 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2607 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2608 = and(_T_2606, _T_2607) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2609 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2610 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2611 = and(_T_2609, _T_2610) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2612 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2613 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2614 = and(_T_2612, _T_2613) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2603 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2604 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2605 = and(_T_2603, _T_2604) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2606 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2607 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2608 = and(_T_2606, _T_2607) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2609 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2610 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2611 = and(_T_2609, _T_2610) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2612 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2613 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2614 = and(_T_2612, _T_2613) @[el2_lsu_bus_buffer.scala 432:89] node _T_2615 = cat(_T_2614, _T_2611) @[Cat.scala 29:58] node _T_2616 = cat(_T_2615, _T_2608) @[Cat.scala 29:58] node _T_2617 = cat(_T_2616, _T_2605) @[Cat.scala 29:58] - node _T_2618 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2619 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2620 = and(_T_2618, _T_2619) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2621 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2622 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2623 = and(_T_2621, _T_2622) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2624 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2625 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2626 = and(_T_2624, _T_2625) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2627 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2628 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2629 = and(_T_2627, _T_2628) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2618 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2619 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2620 = and(_T_2618, _T_2619) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2621 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2622 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2623 = and(_T_2621, _T_2622) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2624 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2625 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2626 = and(_T_2624, _T_2625) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2627 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2628 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2629 = and(_T_2627, _T_2628) @[el2_lsu_bus_buffer.scala 432:89] node _T_2630 = cat(_T_2629, _T_2626) @[Cat.scala 29:58] node _T_2631 = cat(_T_2630, _T_2623) @[Cat.scala 29:58] node _T_2632 = cat(_T_2631, _T_2620) @[Cat.scala 29:58] - node _T_2633 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2634 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2635 = and(_T_2633, _T_2634) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2636 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2637 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2638 = and(_T_2636, _T_2637) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2639 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2640 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2641 = and(_T_2639, _T_2640) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2642 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2643 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2633 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2634 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2635 = and(_T_2633, _T_2634) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2636 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2637 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2638 = and(_T_2636, _T_2637) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2639 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2640 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2641 = and(_T_2639, _T_2640) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2642 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2643 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 432:89] node _T_2645 = cat(_T_2644, _T_2641) @[Cat.scala 29:58] node _T_2646 = cat(_T_2645, _T_2638) @[Cat.scala 29:58] node _T_2647 = cat(_T_2646, _T_2635) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2602 @[el2_lsu_bus_buffer.scala 431:21] - buf_rsp_pickage[1] <= _T_2617 @[el2_lsu_bus_buffer.scala 431:21] - buf_rsp_pickage[2] <= _T_2632 @[el2_lsu_bus_buffer.scala 431:21] - buf_rsp_pickage[3] <= _T_2647 @[el2_lsu_bus_buffer.scala 431:21] - node _T_2648 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2649 = and(_T_2648, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2650 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2651 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2652 = or(_T_2650, _T_2651) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2654 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2655 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2657 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2658 = and(_T_2656, _T_2657) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2659 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2660 = and(_T_2658, _T_2659) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2661 = or(_T_2653, _T_2660) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2662 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2663 = and(_T_2662, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2664 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2666 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2667 = and(_T_2665, _T_2666) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2668 = or(_T_2661, _T_2667) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2669 = and(_T_2649, _T_2668) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2670 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2671 = and(_T_2670, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2672 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2673 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2674 = or(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2676 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2677 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2679 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2681 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2683 = or(_T_2675, _T_2682) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2684 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2685 = and(_T_2684, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2686 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2688 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2690 = or(_T_2683, _T_2689) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2691 = and(_T_2671, _T_2690) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2692 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2693 = and(_T_2692, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2694 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2695 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2696 = or(_T_2694, _T_2695) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2697 = eq(_T_2696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2698 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2699 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2701 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2703 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2705 = or(_T_2697, _T_2704) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2706 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2707 = and(_T_2706, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2708 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2710 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2711 = and(_T_2709, _T_2710) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2712 = or(_T_2705, _T_2711) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2713 = and(_T_2693, _T_2712) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2714 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2715 = and(_T_2714, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2716 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2717 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2718 = or(_T_2716, _T_2717) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2720 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2725 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2727 = or(_T_2719, _T_2726) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2728 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2729 = and(_T_2728, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2730 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2732 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2733 = and(_T_2731, _T_2732) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2734 = or(_T_2727, _T_2733) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2735 = and(_T_2715, _T_2734) @[el2_lsu_bus_buffer.scala 433:114] + buf_rsp_pickage[0] <= _T_2602 @[el2_lsu_bus_buffer.scala 432:21] + buf_rsp_pickage[1] <= _T_2617 @[el2_lsu_bus_buffer.scala 432:21] + buf_rsp_pickage[2] <= _T_2632 @[el2_lsu_bus_buffer.scala 432:21] + buf_rsp_pickage[3] <= _T_2647 @[el2_lsu_bus_buffer.scala 432:21] + node _T_2648 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2649 = and(_T_2648, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2650 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2651 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2652 = or(_T_2650, _T_2651) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2654 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2655 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2657 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2658 = and(_T_2656, _T_2657) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2659 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2660 = and(_T_2658, _T_2659) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2661 = or(_T_2653, _T_2660) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2662 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2663 = and(_T_2662, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2664 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2666 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2667 = and(_T_2665, _T_2666) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2668 = or(_T_2661, _T_2667) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2669 = and(_T_2649, _T_2668) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2670 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2671 = and(_T_2670, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2672 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2673 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2674 = or(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2676 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2677 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2679 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2681 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2683 = or(_T_2675, _T_2682) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2684 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2685 = and(_T_2684, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2686 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2688 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2690 = or(_T_2683, _T_2689) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2691 = and(_T_2671, _T_2690) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2692 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2693 = and(_T_2692, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2694 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2695 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2696 = or(_T_2694, _T_2695) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2697 = eq(_T_2696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2698 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2699 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2701 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2703 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2705 = or(_T_2697, _T_2704) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2706 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2707 = and(_T_2706, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2708 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2710 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2711 = and(_T_2709, _T_2710) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2712 = or(_T_2705, _T_2711) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2713 = and(_T_2693, _T_2712) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2714 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2715 = and(_T_2714, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2716 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2717 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2718 = or(_T_2716, _T_2717) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2720 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2725 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2727 = or(_T_2719, _T_2726) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2728 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2729 = and(_T_2728, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2730 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2732 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2733 = and(_T_2731, _T_2732) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2734 = or(_T_2727, _T_2733) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2735 = and(_T_2715, _T_2734) @[el2_lsu_bus_buffer.scala 434:114] node _T_2736 = cat(_T_2735, _T_2713) @[Cat.scala 29:58] node _T_2737 = cat(_T_2736, _T_2691) @[Cat.scala 29:58] node _T_2738 = cat(_T_2737, _T_2669) @[Cat.scala 29:58] - node _T_2739 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2740 = and(_T_2739, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2741 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2742 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2743 = or(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2745 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2748 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2750 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2751 = and(_T_2749, _T_2750) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2752 = or(_T_2744, _T_2751) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2753 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2754 = and(_T_2753, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2755 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2757 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2759 = or(_T_2752, _T_2758) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2760 = and(_T_2740, _T_2759) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2762 = and(_T_2761, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2763 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2764 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2765 = or(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2766 = eq(_T_2765, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2767 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2770 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2772 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2774 = or(_T_2766, _T_2773) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2775 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2776 = and(_T_2775, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2777 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2779 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2781 = or(_T_2774, _T_2780) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2782 = and(_T_2762, _T_2781) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2783 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2784 = and(_T_2783, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2785 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2786 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2787 = or(_T_2785, _T_2786) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2788 = eq(_T_2787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2789 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2790 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2792 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2794 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2796 = or(_T_2788, _T_2795) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2797 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2798 = and(_T_2797, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2799 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2801 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2803 = or(_T_2796, _T_2802) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2804 = and(_T_2784, _T_2803) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2805 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2806 = and(_T_2805, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2807 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2808 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2809 = or(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2810 = eq(_T_2809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2811 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2812 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2816 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2817 = and(_T_2815, _T_2816) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2818 = or(_T_2810, _T_2817) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2819 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2820 = and(_T_2819, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2821 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2823 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2825 = or(_T_2818, _T_2824) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2826 = and(_T_2806, _T_2825) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2739 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2740 = and(_T_2739, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2741 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2742 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2743 = or(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2745 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2748 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2750 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2751 = and(_T_2749, _T_2750) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2752 = or(_T_2744, _T_2751) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2753 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2754 = and(_T_2753, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2755 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2757 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2759 = or(_T_2752, _T_2758) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2760 = and(_T_2740, _T_2759) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2762 = and(_T_2761, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2763 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2764 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2765 = or(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2766 = eq(_T_2765, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2767 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2770 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2772 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2774 = or(_T_2766, _T_2773) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2775 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2776 = and(_T_2775, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2777 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2779 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2781 = or(_T_2774, _T_2780) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2782 = and(_T_2762, _T_2781) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2783 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2784 = and(_T_2783, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2785 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2786 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2787 = or(_T_2785, _T_2786) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2788 = eq(_T_2787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2789 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2790 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2792 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2794 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2796 = or(_T_2788, _T_2795) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2797 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2798 = and(_T_2797, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2799 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2801 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2803 = or(_T_2796, _T_2802) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2804 = and(_T_2784, _T_2803) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2805 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2806 = and(_T_2805, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2807 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2808 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2809 = or(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2810 = eq(_T_2809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2811 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2812 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2816 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2817 = and(_T_2815, _T_2816) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2818 = or(_T_2810, _T_2817) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2819 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2820 = and(_T_2819, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2821 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2823 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2825 = or(_T_2818, _T_2824) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2826 = and(_T_2806, _T_2825) @[el2_lsu_bus_buffer.scala 434:114] node _T_2827 = cat(_T_2826, _T_2804) @[Cat.scala 29:58] node _T_2828 = cat(_T_2827, _T_2782) @[Cat.scala 29:58] node _T_2829 = cat(_T_2828, _T_2760) @[Cat.scala 29:58] - node _T_2830 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2831 = and(_T_2830, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2832 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2833 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2839 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2841 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2846 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2852 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2853 = and(_T_2852, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2854 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2855 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2863 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2868 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2870 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2875 = and(_T_2874, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2876 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2877 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2885 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2890 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2892 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2897 = and(_T_2896, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2898 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2899 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2900 = or(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2901 = eq(_T_2900, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2902 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2903 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2905 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2907 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2908 = and(_T_2906, _T_2907) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2909 = or(_T_2901, _T_2908) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2910 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2911 = and(_T_2910, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2912 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2914 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2916 = or(_T_2909, _T_2915) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2917 = and(_T_2897, _T_2916) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2830 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2831 = and(_T_2830, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2832 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2833 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2839 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2841 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2846 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2852 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2853 = and(_T_2852, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2854 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2855 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2863 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2868 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2870 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2875 = and(_T_2874, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2876 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2877 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2885 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2890 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2892 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2897 = and(_T_2896, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2898 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2899 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2900 = or(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2901 = eq(_T_2900, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2902 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2903 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2905 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2907 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2908 = and(_T_2906, _T_2907) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2909 = or(_T_2901, _T_2908) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2910 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2911 = and(_T_2910, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2912 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2914 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2916 = or(_T_2909, _T_2915) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2917 = and(_T_2897, _T_2916) @[el2_lsu_bus_buffer.scala 434:114] node _T_2918 = cat(_T_2917, _T_2895) @[Cat.scala 29:58] node _T_2919 = cat(_T_2918, _T_2873) @[Cat.scala 29:58] node _T_2920 = cat(_T_2919, _T_2851) @[Cat.scala 29:58] - node _T_2921 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2922 = and(_T_2921, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2923 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2924 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2930 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2932 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2937 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2939 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2944 = and(_T_2943, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2945 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2946 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2952 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2954 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2959 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2965 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2966 = and(_T_2965, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2967 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2968 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2974 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2976 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2981 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2983 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2988 = and(_T_2987, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2989 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2990 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2991 = or(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2992 = eq(_T_2991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2993 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2994 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2996 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2998 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2999 = and(_T_2997, _T_2998) @[el2_lsu_bus_buffer.scala 435:92] - node _T_3000 = or(_T_2992, _T_2999) @[el2_lsu_bus_buffer.scala 434:61] - node _T_3001 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_3002 = and(_T_3001, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_3003 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 436:54] - node _T_3005 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 436:73] - node _T_3007 = or(_T_3000, _T_3006) @[el2_lsu_bus_buffer.scala 435:112] - node _T_3008 = and(_T_2988, _T_3007) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2921 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2922 = and(_T_2921, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2923 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2924 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2930 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2932 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2937 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2939 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2944 = and(_T_2943, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2945 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2946 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2952 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2954 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2959 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2965 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2966 = and(_T_2965, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2967 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2968 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2974 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2976 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2981 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2983 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2988 = and(_T_2987, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2989 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2990 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2991 = or(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2992 = eq(_T_2991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2993 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2994 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2996 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2998 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2999 = and(_T_2997, _T_2998) @[el2_lsu_bus_buffer.scala 436:92] + node _T_3000 = or(_T_2992, _T_2999) @[el2_lsu_bus_buffer.scala 435:61] + node _T_3001 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_3002 = and(_T_3001, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_3003 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 437:54] + node _T_3005 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 437:73] + node _T_3007 = or(_T_3000, _T_3006) @[el2_lsu_bus_buffer.scala 436:112] + node _T_3008 = and(_T_2988, _T_3007) @[el2_lsu_bus_buffer.scala 434:114] node _T_3009 = cat(_T_3008, _T_2986) @[Cat.scala 29:58] node _T_3010 = cat(_T_3009, _T_2964) @[Cat.scala 29:58] node _T_3011 = cat(_T_3010, _T_2942) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2738 @[el2_lsu_bus_buffer.scala 433:20] - buf_rspage_set[1] <= _T_2829 @[el2_lsu_bus_buffer.scala 433:20] - buf_rspage_set[2] <= _T_2920 @[el2_lsu_bus_buffer.scala 433:20] - buf_rspage_set[3] <= _T_3011 @[el2_lsu_bus_buffer.scala 433:20] - node _T_3012 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3013 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3014 = or(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3015 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3016 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3017 = or(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3018 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3019 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3020 = or(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3021 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3022 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3023 = or(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 437:90] + buf_rspage_set[0] <= _T_2738 @[el2_lsu_bus_buffer.scala 434:20] + buf_rspage_set[1] <= _T_2829 @[el2_lsu_bus_buffer.scala 434:20] + buf_rspage_set[2] <= _T_2920 @[el2_lsu_bus_buffer.scala 434:20] + buf_rspage_set[3] <= _T_3011 @[el2_lsu_bus_buffer.scala 434:20] + node _T_3012 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3013 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3014 = or(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3015 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3016 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3017 = or(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3018 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3019 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3020 = or(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3021 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3022 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3023 = or(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 438:90] node _T_3024 = cat(_T_3023, _T_3020) @[Cat.scala 29:58] node _T_3025 = cat(_T_3024, _T_3017) @[Cat.scala 29:58] node _T_3026 = cat(_T_3025, _T_3014) @[Cat.scala 29:58] - node _T_3027 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3028 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3029 = or(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3030 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3031 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3032 = or(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3033 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3034 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3035 = or(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3036 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3037 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3027 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3028 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3029 = or(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3030 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3031 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3032 = or(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3033 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3034 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3035 = or(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3036 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3037 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 438:90] node _T_3039 = cat(_T_3038, _T_3035) @[Cat.scala 29:58] node _T_3040 = cat(_T_3039, _T_3032) @[Cat.scala 29:58] node _T_3041 = cat(_T_3040, _T_3029) @[Cat.scala 29:58] - node _T_3042 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3043 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3044 = or(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3045 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3046 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3048 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3049 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3050 = or(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3051 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3052 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3053 = or(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3042 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3043 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3044 = or(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3045 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3046 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3048 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3049 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3050 = or(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3051 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3052 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3053 = or(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 438:90] node _T_3054 = cat(_T_3053, _T_3050) @[Cat.scala 29:58] node _T_3055 = cat(_T_3054, _T_3047) @[Cat.scala 29:58] node _T_3056 = cat(_T_3055, _T_3044) @[Cat.scala 29:58] - node _T_3057 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3058 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3059 = or(_T_3057, _T_3058) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3060 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3061 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3062 = or(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3063 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3064 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3065 = or(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3066 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3067 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3057 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3058 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3059 = or(_T_3057, _T_3058) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3060 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3061 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3062 = or(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3063 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3064 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3065 = or(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3066 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3067 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 438:90] node _T_3069 = cat(_T_3068, _T_3065) @[Cat.scala 29:58] node _T_3070 = cat(_T_3069, _T_3062) @[Cat.scala 29:58] node _T_3071 = cat(_T_3070, _T_3059) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3026 @[el2_lsu_bus_buffer.scala 437:19] - buf_rspage_in[1] <= _T_3041 @[el2_lsu_bus_buffer.scala 437:19] - buf_rspage_in[2] <= _T_3056 @[el2_lsu_bus_buffer.scala 437:19] - buf_rspage_in[3] <= _T_3071 @[el2_lsu_bus_buffer.scala 437:19] - node _T_3072 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3073 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3074 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3075 = or(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3076 = eq(_T_3075, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3077 = and(_T_3072, _T_3076) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3078 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3079 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3080 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3081 = or(_T_3079, _T_3080) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3083 = and(_T_3078, _T_3082) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3084 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3085 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3087 = or(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3088 = eq(_T_3087, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3089 = and(_T_3084, _T_3088) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3090 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3091 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3092 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3093 = or(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3094 = eq(_T_3093, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3095 = and(_T_3090, _T_3094) @[el2_lsu_bus_buffer.scala 438:84] + buf_rspage_in[0] <= _T_3026 @[el2_lsu_bus_buffer.scala 438:19] + buf_rspage_in[1] <= _T_3041 @[el2_lsu_bus_buffer.scala 438:19] + buf_rspage_in[2] <= _T_3056 @[el2_lsu_bus_buffer.scala 438:19] + buf_rspage_in[3] <= _T_3071 @[el2_lsu_bus_buffer.scala 438:19] + node _T_3072 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3073 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3074 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3075 = or(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3076 = eq(_T_3075, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3077 = and(_T_3072, _T_3076) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3078 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3079 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3080 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3081 = or(_T_3079, _T_3080) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3083 = and(_T_3078, _T_3082) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3084 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3085 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3087 = or(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3088 = eq(_T_3087, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3089 = and(_T_3084, _T_3088) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3090 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3091 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3092 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3093 = or(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3094 = eq(_T_3093, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3095 = and(_T_3090, _T_3094) @[el2_lsu_bus_buffer.scala 439:84] node _T_3096 = cat(_T_3095, _T_3089) @[Cat.scala 29:58] node _T_3097 = cat(_T_3096, _T_3083) @[Cat.scala 29:58] node _T_3098 = cat(_T_3097, _T_3077) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3100 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3101 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3102 = or(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3103 = eq(_T_3102, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3104 = and(_T_3099, _T_3103) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3105 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3107 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3108 = or(_T_3106, _T_3107) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3109 = eq(_T_3108, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3110 = and(_T_3105, _T_3109) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3111 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3112 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3113 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3114 = or(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3115 = eq(_T_3114, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3116 = and(_T_3111, _T_3115) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3117 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3118 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3119 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3120 = or(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3121 = eq(_T_3120, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3122 = and(_T_3117, _T_3121) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3099 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3100 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3101 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3102 = or(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3103 = eq(_T_3102, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3104 = and(_T_3099, _T_3103) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3105 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3107 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3108 = or(_T_3106, _T_3107) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3109 = eq(_T_3108, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3110 = and(_T_3105, _T_3109) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3111 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3112 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3113 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3114 = or(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3115 = eq(_T_3114, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3116 = and(_T_3111, _T_3115) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3117 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3118 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3119 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3120 = or(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3121 = eq(_T_3120, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3122 = and(_T_3117, _T_3121) @[el2_lsu_bus_buffer.scala 439:84] node _T_3123 = cat(_T_3122, _T_3116) @[Cat.scala 29:58] node _T_3124 = cat(_T_3123, _T_3110) @[Cat.scala 29:58] node _T_3125 = cat(_T_3124, _T_3104) @[Cat.scala 29:58] - node _T_3126 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3127 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3131 = and(_T_3126, _T_3130) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3132 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3133 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3134 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3137 = and(_T_3132, _T_3136) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3138 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3139 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3140 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3141 = or(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3142 = eq(_T_3141, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3143 = and(_T_3138, _T_3142) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3144 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3145 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3146 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3147 = or(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3148 = eq(_T_3147, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3149 = and(_T_3144, _T_3148) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3126 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3127 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3131 = and(_T_3126, _T_3130) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3132 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3133 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3134 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3137 = and(_T_3132, _T_3136) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3138 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3139 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3140 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3141 = or(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3142 = eq(_T_3141, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3143 = and(_T_3138, _T_3142) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3144 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3145 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3146 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3147 = or(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3148 = eq(_T_3147, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3149 = and(_T_3144, _T_3148) @[el2_lsu_bus_buffer.scala 439:84] node _T_3150 = cat(_T_3149, _T_3143) @[Cat.scala 29:58] node _T_3151 = cat(_T_3150, _T_3137) @[Cat.scala 29:58] node _T_3152 = cat(_T_3151, _T_3131) @[Cat.scala 29:58] - node _T_3153 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3154 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3155 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3157 = eq(_T_3156, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3158 = and(_T_3153, _T_3157) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3159 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3160 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3161 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3164 = and(_T_3159, _T_3163) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3165 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3166 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3167 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3169 = eq(_T_3168, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3170 = and(_T_3165, _T_3169) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3171 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3172 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3173 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3175 = eq(_T_3174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3176 = and(_T_3171, _T_3175) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3153 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3154 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3155 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3157 = eq(_T_3156, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3158 = and(_T_3153, _T_3157) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3159 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3160 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3161 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3164 = and(_T_3159, _T_3163) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3165 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3166 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3167 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3169 = eq(_T_3168, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3170 = and(_T_3165, _T_3169) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3171 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3172 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3173 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3175 = eq(_T_3174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3176 = and(_T_3171, _T_3175) @[el2_lsu_bus_buffer.scala 439:84] node _T_3177 = cat(_T_3176, _T_3170) @[Cat.scala 29:58] node _T_3178 = cat(_T_3177, _T_3164) @[Cat.scala 29:58] node _T_3179 = cat(_T_3178, _T_3158) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3098 @[el2_lsu_bus_buffer.scala 438:16] - buf_rspage[1] <= _T_3125 @[el2_lsu_bus_buffer.scala 438:16] - buf_rspage[2] <= _T_3152 @[el2_lsu_bus_buffer.scala 438:16] - buf_rspage[3] <= _T_3179 @[el2_lsu_bus_buffer.scala 438:16] - node _T_3180 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3181 = and(ibuf_drain_vld, _T_3180) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3182 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3183 = and(ibuf_drain_vld, _T_3182) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3184 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3185 = and(ibuf_drain_vld, _T_3184) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3186 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3187 = and(ibuf_drain_vld, _T_3186) @[el2_lsu_bus_buffer.scala 443:65] + buf_rspage[0] <= _T_3098 @[el2_lsu_bus_buffer.scala 439:16] + buf_rspage[1] <= _T_3125 @[el2_lsu_bus_buffer.scala 439:16] + buf_rspage[2] <= _T_3152 @[el2_lsu_bus_buffer.scala 439:16] + buf_rspage[3] <= _T_3179 @[el2_lsu_bus_buffer.scala 439:16] + node _T_3180 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:77] + node _T_3181 = and(ibuf_drain_vld, _T_3180) @[el2_lsu_bus_buffer.scala 444:65] + node _T_3182 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:77] + node _T_3183 = and(ibuf_drain_vld, _T_3182) @[el2_lsu_bus_buffer.scala 444:65] + node _T_3184 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:77] + node _T_3185 = and(ibuf_drain_vld, _T_3184) @[el2_lsu_bus_buffer.scala 444:65] + node _T_3186 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:77] + node _T_3187 = and(ibuf_drain_vld, _T_3186) @[el2_lsu_bus_buffer.scala 444:65] node _T_3188 = cat(_T_3187, _T_3185) @[Cat.scala 29:58] node _T_3189 = cat(_T_3188, _T_3183) @[Cat.scala 29:58] node _T_3190 = cat(_T_3189, _T_3181) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3190 @[el2_lsu_bus_buffer.scala 443:23] - node _T_3191 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3192 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3193 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3194 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3195 = and(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3196 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3197 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3198 = mux(_T_3195, _T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3199 = mux(_T_3191, _T_3192, _T_3198) @[el2_lsu_bus_buffer.scala 444:48] - node _T_3200 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3201 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3202 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3203 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3204 = and(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3205 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3206 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3207 = mux(_T_3204, _T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3208 = mux(_T_3200, _T_3201, _T_3207) @[el2_lsu_bus_buffer.scala 444:48] - node _T_3209 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3210 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3211 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3212 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3213 = and(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3214 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3215 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3216 = mux(_T_3213, _T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3217 = mux(_T_3209, _T_3210, _T_3216) @[el2_lsu_bus_buffer.scala 444:48] - node _T_3218 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3219 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3220 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3221 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3222 = and(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3223 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3224 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3225 = mux(_T_3222, _T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3226 = mux(_T_3218, _T_3219, _T_3225) @[el2_lsu_bus_buffer.scala 444:48] - buf_byteen_in[0] <= _T_3199 @[el2_lsu_bus_buffer.scala 444:19] - buf_byteen_in[1] <= _T_3208 @[el2_lsu_bus_buffer.scala 444:19] - buf_byteen_in[2] <= _T_3217 @[el2_lsu_bus_buffer.scala 444:19] - buf_byteen_in[3] <= _T_3226 @[el2_lsu_bus_buffer.scala 444:19] - node _T_3227 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3228 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3229 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3230 = and(_T_3228, _T_3229) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3231 = mux(_T_3230, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3232 = mux(_T_3227, ibuf_addr, _T_3231) @[el2_lsu_bus_buffer.scala 445:46] - node _T_3233 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3234 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3235 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3236 = and(_T_3234, _T_3235) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3237 = mux(_T_3236, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3238 = mux(_T_3233, ibuf_addr, _T_3237) @[el2_lsu_bus_buffer.scala 445:46] - node _T_3239 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3240 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3241 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3242 = and(_T_3240, _T_3241) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3243 = mux(_T_3242, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3244 = mux(_T_3239, ibuf_addr, _T_3243) @[el2_lsu_bus_buffer.scala 445:46] - node _T_3245 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3246 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3247 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3248 = and(_T_3246, _T_3247) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3249 = mux(_T_3248, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3250 = mux(_T_3245, ibuf_addr, _T_3249) @[el2_lsu_bus_buffer.scala 445:46] - buf_addr_in[0] <= _T_3232 @[el2_lsu_bus_buffer.scala 445:17] - buf_addr_in[1] <= _T_3238 @[el2_lsu_bus_buffer.scala 445:17] - buf_addr_in[2] <= _T_3244 @[el2_lsu_bus_buffer.scala 445:17] - buf_addr_in[3] <= _T_3250 @[el2_lsu_bus_buffer.scala 445:17] - node _T_3251 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3252 = mux(_T_3251, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3253 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3254 = mux(_T_3253, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3255 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3256 = mux(_T_3255, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3257 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3258 = mux(_T_3257, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] + ibuf_drainvec_vld <= _T_3190 @[el2_lsu_bus_buffer.scala 444:23] + node _T_3191 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:66] + node _T_3192 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3193 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] + node _T_3194 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:134] + node _T_3195 = and(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 445:123] + node _T_3196 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] + node _T_3197 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] + node _T_3198 = mux(_T_3195, _T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 445:96] + node _T_3199 = mux(_T_3191, _T_3192, _T_3198) @[el2_lsu_bus_buffer.scala 445:48] + node _T_3200 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:66] + node _T_3201 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3202 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] + node _T_3203 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:134] + node _T_3204 = and(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 445:123] + node _T_3205 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] + node _T_3206 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] + node _T_3207 = mux(_T_3204, _T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 445:96] + node _T_3208 = mux(_T_3200, _T_3201, _T_3207) @[el2_lsu_bus_buffer.scala 445:48] + node _T_3209 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:66] + node _T_3210 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3211 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] + node _T_3212 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:134] + node _T_3213 = and(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 445:123] + node _T_3214 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] + node _T_3215 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] + node _T_3216 = mux(_T_3213, _T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 445:96] + node _T_3217 = mux(_T_3209, _T_3210, _T_3216) @[el2_lsu_bus_buffer.scala 445:48] + node _T_3218 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:66] + node _T_3219 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3220 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] + node _T_3221 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:134] + node _T_3222 = and(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 445:123] + node _T_3223 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] + node _T_3224 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] + node _T_3225 = mux(_T_3222, _T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 445:96] + node _T_3226 = mux(_T_3218, _T_3219, _T_3225) @[el2_lsu_bus_buffer.scala 445:48] + buf_byteen_in[0] <= _T_3199 @[el2_lsu_bus_buffer.scala 445:19] + buf_byteen_in[1] <= _T_3208 @[el2_lsu_bus_buffer.scala 445:19] + buf_byteen_in[2] <= _T_3217 @[el2_lsu_bus_buffer.scala 445:19] + buf_byteen_in[3] <= _T_3226 @[el2_lsu_bus_buffer.scala 445:19] + node _T_3227 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:64] + node _T_3228 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] + node _T_3229 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:121] + node _T_3230 = and(_T_3228, _T_3229) @[el2_lsu_bus_buffer.scala 446:110] + node _T_3231 = mux(_T_3230, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] + node _T_3232 = mux(_T_3227, ibuf_addr, _T_3231) @[el2_lsu_bus_buffer.scala 446:46] + node _T_3233 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:64] + node _T_3234 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] + node _T_3235 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:121] + node _T_3236 = and(_T_3234, _T_3235) @[el2_lsu_bus_buffer.scala 446:110] + node _T_3237 = mux(_T_3236, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] + node _T_3238 = mux(_T_3233, ibuf_addr, _T_3237) @[el2_lsu_bus_buffer.scala 446:46] + node _T_3239 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] + node _T_3240 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] + node _T_3241 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:121] + node _T_3242 = and(_T_3240, _T_3241) @[el2_lsu_bus_buffer.scala 446:110] + node _T_3243 = mux(_T_3242, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] + node _T_3244 = mux(_T_3239, ibuf_addr, _T_3243) @[el2_lsu_bus_buffer.scala 446:46] + node _T_3245 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:64] + node _T_3246 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] + node _T_3247 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:121] + node _T_3248 = and(_T_3246, _T_3247) @[el2_lsu_bus_buffer.scala 446:110] + node _T_3249 = mux(_T_3248, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] + node _T_3250 = mux(_T_3245, ibuf_addr, _T_3249) @[el2_lsu_bus_buffer.scala 446:46] + buf_addr_in[0] <= _T_3232 @[el2_lsu_bus_buffer.scala 446:17] + buf_addr_in[1] <= _T_3238 @[el2_lsu_bus_buffer.scala 446:17] + buf_addr_in[2] <= _T_3244 @[el2_lsu_bus_buffer.scala 446:17] + buf_addr_in[3] <= _T_3250 @[el2_lsu_bus_buffer.scala 446:17] + node _T_3251 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:65] + node _T_3252 = mux(_T_3251, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] + node _T_3253 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:65] + node _T_3254 = mux(_T_3253, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] + node _T_3255 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:65] + node _T_3256 = mux(_T_3255, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] + node _T_3257 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:65] + node _T_3258 = mux(_T_3257, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] node _T_3259 = cat(_T_3258, _T_3256) @[Cat.scala 29:58] node _T_3260 = cat(_T_3259, _T_3254) @[Cat.scala 29:58] node _T_3261 = cat(_T_3260, _T_3252) @[Cat.scala 29:58] - buf_dual_in <= _T_3261 @[el2_lsu_bus_buffer.scala 446:17] - node _T_3262 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3263 = mux(_T_3262, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3264 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3265 = mux(_T_3264, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3267 = mux(_T_3266, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3268 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3269 = mux(_T_3268, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] + buf_dual_in <= _T_3261 @[el2_lsu_bus_buffer.scala 447:17] + node _T_3262 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:67] + node _T_3263 = mux(_T_3262, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] + node _T_3264 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:67] + node _T_3265 = mux(_T_3264, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] + node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:67] + node _T_3267 = mux(_T_3266, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] + node _T_3268 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:67] + node _T_3269 = mux(_T_3268, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] node _T_3270 = cat(_T_3269, _T_3267) @[Cat.scala 29:58] node _T_3271 = cat(_T_3270, _T_3265) @[Cat.scala 29:58] node _T_3272 = cat(_T_3271, _T_3263) @[Cat.scala 29:58] - buf_samedw_in <= _T_3272 @[el2_lsu_bus_buffer.scala 447:19] - node _T_3273 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3274 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3275 = mux(_T_3273, _T_3274, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3276 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3277 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3278 = mux(_T_3276, _T_3277, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3279 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3280 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3281 = mux(_T_3279, _T_3280, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3282 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3283 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3284 = mux(_T_3282, _T_3283, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] + buf_samedw_in <= _T_3272 @[el2_lsu_bus_buffer.scala 448:19] + node _T_3273 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:68] + node _T_3274 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] + node _T_3275 = mux(_T_3273, _T_3274, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] + node _T_3276 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:68] + node _T_3277 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] + node _T_3278 = mux(_T_3276, _T_3277, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] + node _T_3279 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:68] + node _T_3280 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] + node _T_3281 = mux(_T_3279, _T_3280, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] + node _T_3282 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:68] + node _T_3283 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] + node _T_3284 = mux(_T_3282, _T_3283, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] node _T_3285 = cat(_T_3284, _T_3281) @[Cat.scala 29:58] node _T_3286 = cat(_T_3285, _T_3278) @[Cat.scala 29:58] node _T_3287 = cat(_T_3286, _T_3275) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3287 @[el2_lsu_bus_buffer.scala 448:20] - node _T_3288 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3289 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3290 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3291 = and(_T_3289, _T_3290) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3292 = mux(_T_3288, ibuf_dual, _T_3291) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3293 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3294 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3295 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3296 = and(_T_3294, _T_3295) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3297 = mux(_T_3293, ibuf_dual, _T_3296) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3298 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3299 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3300 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3301 = and(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3302 = mux(_T_3298, ibuf_dual, _T_3301) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3303 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3304 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3305 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3306 = and(_T_3304, _T_3305) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3307 = mux(_T_3303, ibuf_dual, _T_3306) @[el2_lsu_bus_buffer.scala 449:49] + buf_nomerge_in <= _T_3287 @[el2_lsu_bus_buffer.scala 449:20] + node _T_3288 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3289 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3290 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:120] + node _T_3291 = and(_T_3289, _T_3290) @[el2_lsu_bus_buffer.scala 450:109] + node _T_3292 = mux(_T_3288, ibuf_dual, _T_3291) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3293 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3294 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3295 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:120] + node _T_3296 = and(_T_3294, _T_3295) @[el2_lsu_bus_buffer.scala 450:109] + node _T_3297 = mux(_T_3293, ibuf_dual, _T_3296) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3298 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3299 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3300 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:120] + node _T_3301 = and(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 450:109] + node _T_3302 = mux(_T_3298, ibuf_dual, _T_3301) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3303 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3304 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3305 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:120] + node _T_3306 = and(_T_3304, _T_3305) @[el2_lsu_bus_buffer.scala 450:109] + node _T_3307 = mux(_T_3303, ibuf_dual, _T_3306) @[el2_lsu_bus_buffer.scala 450:49] node _T_3308 = cat(_T_3307, _T_3302) @[Cat.scala 29:58] node _T_3309 = cat(_T_3308, _T_3297) @[Cat.scala 29:58] node _T_3310 = cat(_T_3309, _T_3292) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3310 @[el2_lsu_bus_buffer.scala 449:19] - node _T_3311 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3312 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3313 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3314 = and(_T_3312, _T_3313) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3315 = mux(_T_3314, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3316 = mux(_T_3311, ibuf_dualtag, _T_3315) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3317 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3318 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3319 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3320 = and(_T_3318, _T_3319) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3321 = mux(_T_3320, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3322 = mux(_T_3317, ibuf_dualtag, _T_3321) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3324 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3325 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3326 = and(_T_3324, _T_3325) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3327 = mux(_T_3326, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3328 = mux(_T_3323, ibuf_dualtag, _T_3327) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3329 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3330 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3331 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3332 = and(_T_3330, _T_3331) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3333 = mux(_T_3332, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3334 = mux(_T_3329, ibuf_dualtag, _T_3333) @[el2_lsu_bus_buffer.scala 450:49] - buf_dualtag_in[0] <= _T_3316 @[el2_lsu_bus_buffer.scala 450:20] - buf_dualtag_in[1] <= _T_3322 @[el2_lsu_bus_buffer.scala 450:20] - buf_dualtag_in[2] <= _T_3328 @[el2_lsu_bus_buffer.scala 450:20] - buf_dualtag_in[3] <= _T_3334 @[el2_lsu_bus_buffer.scala 450:20] - node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3336 = mux(_T_3335, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3337 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3338 = mux(_T_3337, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3339 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3340 = mux(_T_3339, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3341 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3342 = mux(_T_3341, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] + buf_dualhi_in <= _T_3310 @[el2_lsu_bus_buffer.scala 450:19] + node _T_3311 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:67] + node _T_3312 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] + node _T_3313 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:127] + node _T_3314 = and(_T_3312, _T_3313) @[el2_lsu_bus_buffer.scala 451:116] + node _T_3315 = mux(_T_3314, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] + node _T_3316 = mux(_T_3311, ibuf_dualtag, _T_3315) @[el2_lsu_bus_buffer.scala 451:49] + node _T_3317 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:67] + node _T_3318 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] + node _T_3319 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:127] + node _T_3320 = and(_T_3318, _T_3319) @[el2_lsu_bus_buffer.scala 451:116] + node _T_3321 = mux(_T_3320, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] + node _T_3322 = mux(_T_3317, ibuf_dualtag, _T_3321) @[el2_lsu_bus_buffer.scala 451:49] + node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:67] + node _T_3324 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] + node _T_3325 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:127] + node _T_3326 = and(_T_3324, _T_3325) @[el2_lsu_bus_buffer.scala 451:116] + node _T_3327 = mux(_T_3326, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] + node _T_3328 = mux(_T_3323, ibuf_dualtag, _T_3327) @[el2_lsu_bus_buffer.scala 451:49] + node _T_3329 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:67] + node _T_3330 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] + node _T_3331 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:127] + node _T_3332 = and(_T_3330, _T_3331) @[el2_lsu_bus_buffer.scala 451:116] + node _T_3333 = mux(_T_3332, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] + node _T_3334 = mux(_T_3329, ibuf_dualtag, _T_3333) @[el2_lsu_bus_buffer.scala 451:49] + buf_dualtag_in[0] <= _T_3316 @[el2_lsu_bus_buffer.scala 451:20] + buf_dualtag_in[1] <= _T_3322 @[el2_lsu_bus_buffer.scala 451:20] + buf_dualtag_in[2] <= _T_3328 @[el2_lsu_bus_buffer.scala 451:20] + buf_dualtag_in[3] <= _T_3334 @[el2_lsu_bus_buffer.scala 451:20] + node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:71] + node _T_3336 = mux(_T_3335, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] + node _T_3337 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:71] + node _T_3338 = mux(_T_3337, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] + node _T_3339 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:71] + node _T_3340 = mux(_T_3339, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] + node _T_3341 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:71] + node _T_3342 = mux(_T_3341, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] node _T_3343 = cat(_T_3342, _T_3340) @[Cat.scala 29:58] node _T_3344 = cat(_T_3343, _T_3338) @[Cat.scala 29:58] node _T_3345 = cat(_T_3344, _T_3336) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3345 @[el2_lsu_bus_buffer.scala 451:23] - node _T_3346 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3347 = mux(_T_3346, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3349 = mux(_T_3348, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3350 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3351 = mux(_T_3350, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3352 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3353 = mux(_T_3352, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] + buf_sideeffect_in <= _T_3345 @[el2_lsu_bus_buffer.scala 452:23] + node _T_3346 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3347 = mux(_T_3346, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3349 = mux(_T_3348, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3350 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3351 = mux(_T_3350, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3352 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3353 = mux(_T_3352, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] node _T_3354 = cat(_T_3353, _T_3351) @[Cat.scala 29:58] node _T_3355 = cat(_T_3354, _T_3349) @[Cat.scala 29:58] node _T_3356 = cat(_T_3355, _T_3347) @[Cat.scala 29:58] - buf_unsign_in <= _T_3356 @[el2_lsu_bus_buffer.scala 452:19] - node _T_3357 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:62] + buf_unsign_in <= _T_3356 @[el2_lsu_bus_buffer.scala 453:19] + node _T_3357 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:62] node _T_3358 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3359 = mux(_T_3357, ibuf_sz, _T_3358) @[el2_lsu_bus_buffer.scala 453:44] - node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3359 = mux(_T_3357, ibuf_sz, _T_3358) @[el2_lsu_bus_buffer.scala 454:44] + node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:62] node _T_3361 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3362 = mux(_T_3360, ibuf_sz, _T_3361) @[el2_lsu_bus_buffer.scala 453:44] - node _T_3363 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3362 = mux(_T_3360, ibuf_sz, _T_3361) @[el2_lsu_bus_buffer.scala 454:44] + node _T_3363 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:62] node _T_3364 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3365 = mux(_T_3363, ibuf_sz, _T_3364) @[el2_lsu_bus_buffer.scala 453:44] - node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3365 = mux(_T_3363, ibuf_sz, _T_3364) @[el2_lsu_bus_buffer.scala 454:44] + node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:62] node _T_3367 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3368 = mux(_T_3366, ibuf_sz, _T_3367) @[el2_lsu_bus_buffer.scala 453:44] - buf_sz_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 453:15] - buf_sz_in[1] <= _T_3362 @[el2_lsu_bus_buffer.scala 453:15] - buf_sz_in[2] <= _T_3365 @[el2_lsu_bus_buffer.scala 453:15] - buf_sz_in[3] <= _T_3368 @[el2_lsu_bus_buffer.scala 453:15] - node _T_3369 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3370 = mux(_T_3369, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3371 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3372 = mux(_T_3371, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3373 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3374 = mux(_T_3373, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3375 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3376 = mux(_T_3375, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3368 = mux(_T_3366, ibuf_sz, _T_3367) @[el2_lsu_bus_buffer.scala 454:44] + buf_sz_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 454:15] + buf_sz_in[1] <= _T_3362 @[el2_lsu_bus_buffer.scala 454:15] + buf_sz_in[2] <= _T_3365 @[el2_lsu_bus_buffer.scala 454:15] + buf_sz_in[3] <= _T_3368 @[el2_lsu_bus_buffer.scala 454:15] + node _T_3369 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:66] + node _T_3370 = mux(_T_3369, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] + node _T_3371 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:66] + node _T_3372 = mux(_T_3371, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] + node _T_3373 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:66] + node _T_3374 = mux(_T_3373, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] + node _T_3375 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:66] + node _T_3376 = mux(_T_3375, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] node _T_3377 = cat(_T_3376, _T_3374) @[Cat.scala 29:58] node _T_3378 = cat(_T_3377, _T_3372) @[Cat.scala 29:58] node _T_3379 = cat(_T_3378, _T_3370) @[Cat.scala 29:58] - buf_write_in <= _T_3379 @[el2_lsu_bus_buffer.scala 454:18] + buf_write_in <= _T_3379 @[el2_lsu_bus_buffer.scala 455:18] node _T_3380 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3380 : @[Conditional.scala 40:58] - node _T_3381 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3382 = mux(_T_3381, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[0] <= _T_3382 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3383 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3384 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3385 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3387 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3388 = and(_T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3390 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3392 = or(_T_3388, _T_3391) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3393 = and(_T_3383, _T_3392) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3394 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3395 = and(ibuf_drain_vld, _T_3394) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3396 = or(_T_3393, _T_3395) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[0] <= _T_3396 @[el2_lsu_bus_buffer.scala 460:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 461:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3397 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3398 = and(ibuf_drain_vld, _T_3397) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3399 = bits(_T_3398, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3400 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3401 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3402 = mux(_T_3399, _T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[0] <= _T_3402 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3381 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] + node _T_3382 = mux(_T_3381, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] + buf_nxtstate[0] <= _T_3382 @[el2_lsu_bus_buffer.scala 460:25] + node _T_3383 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] + node _T_3384 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3385 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] + node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 461:95] + node _T_3387 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] + node _T_3388 = and(_T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 461:112] + node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] + node _T_3390 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] + node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 461:161] + node _T_3392 = or(_T_3388, _T_3391) @[el2_lsu_bus_buffer.scala 461:132] + node _T_3393 = and(_T_3383, _T_3392) @[el2_lsu_bus_buffer.scala 461:63] + node _T_3394 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] + node _T_3395 = and(ibuf_drain_vld, _T_3394) @[el2_lsu_bus_buffer.scala 461:201] + node _T_3396 = or(_T_3393, _T_3395) @[el2_lsu_bus_buffer.scala 461:183] + buf_state_en[0] <= _T_3396 @[el2_lsu_bus_buffer.scala 461:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 462:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 463:24] + node _T_3397 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] + node _T_3398 = and(ibuf_drain_vld, _T_3397) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3399 = bits(_T_3398, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] + node _T_3400 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] + node _T_3401 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] + node _T_3402 = mux(_T_3399, _T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 464:30] + buf_data_in[0] <= _T_3402 @[el2_lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3403 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3403 : @[Conditional.scala 39:67] - node _T_3404 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3405 = mux(_T_3404, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[0] <= _T_3405 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3406 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[0] <= _T_3406 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3404 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] + node _T_3405 = mux(_T_3404, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] + buf_nxtstate[0] <= _T_3405 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3406 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] + buf_state_en[0] <= _T_3406 @[el2_lsu_bus_buffer.scala 468:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3407 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3407 : @[Conditional.scala 39:67] - node _T_3408 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3409 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3410 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3412 = mux(_T_3411, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3413 = mux(_T_3408, UInt<3>("h00"), _T_3412) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[0] <= _T_3413 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3414 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3415 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3416 = and(obuf_merge, _T_3415) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3417 = or(_T_3414, _T_3416) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3418 = and(_T_3417, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3419 = and(_T_3418, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[0] <= _T_3419 @[el2_lsu_bus_buffer.scala 471:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3420 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_3421 = or(_T_3420, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[0] <= _T_3421 @[el2_lsu_bus_buffer.scala 473:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_3422 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 475:56] - node _T_3423 = eq(_T_3422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_3424 = and(buf_state_en[0], _T_3423) @[el2_lsu_bus_buffer.scala 475:44] - node _T_3425 = and(_T_3424, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3426 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_3427 = and(_T_3425, _T_3426) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[0] <= _T_3427 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3428 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[0] <= _T_3428 @[el2_lsu_bus_buffer.scala 476:28] - node _T_3429 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_3430 = and(_T_3429, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_3431 = and(_T_3430, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[0] <= _T_3431 @[el2_lsu_bus_buffer.scala 477:24] - node _T_3432 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3433 = and(_T_3432, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_3434 = and(_T_3433, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[0] <= _T_3434 @[el2_lsu_bus_buffer.scala 478:25] - node _T_3435 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3436 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_3437 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3438 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_3439 = mux(_T_3436, _T_3437, _T_3438) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3440 = mux(buf_error_en[0], _T_3435, _T_3439) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[0] <= _T_3440 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3408 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] + node _T_3409 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] + node _T_3410 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] + node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3412 = mux(_T_3411, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] + node _T_3413 = mux(_T_3408, UInt<3>("h00"), _T_3412) @[el2_lsu_bus_buffer.scala 471:31] + buf_nxtstate[0] <= _T_3413 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3414 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3415 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:104] + node _T_3416 = and(obuf_merge, _T_3415) @[el2_lsu_bus_buffer.scala 472:91] + node _T_3417 = or(_T_3414, _T_3416) @[el2_lsu_bus_buffer.scala 472:77] + node _T_3418 = and(_T_3417, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] + node _T_3419 = and(_T_3418, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] + buf_cmd_state_bus_en[0] <= _T_3419 @[el2_lsu_bus_buffer.scala 472:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 473:29] + node _T_3420 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] + node _T_3421 = or(_T_3420, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] + buf_state_en[0] <= _T_3421 @[el2_lsu_bus_buffer.scala 474:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] + node _T_3422 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 476:56] + node _T_3423 = eq(_T_3422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] + node _T_3424 = and(buf_state_en[0], _T_3423) @[el2_lsu_bus_buffer.scala 476:44] + node _T_3425 = and(_T_3424, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3426 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] + node _T_3427 = and(_T_3425, _T_3426) @[el2_lsu_bus_buffer.scala 476:74] + buf_ldfwd_en[0] <= _T_3427 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3428 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] + buf_ldfwdtag_in[0] <= _T_3428 @[el2_lsu_bus_buffer.scala 477:28] + node _T_3429 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3430 = and(_T_3429, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] + node _T_3431 = and(_T_3430, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] + buf_data_en[0] <= _T_3431 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3432 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3433 = and(_T_3432, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] + node _T_3434 = and(_T_3433, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] + buf_error_en[0] <= _T_3434 @[el2_lsu_bus_buffer.scala 479:25] + node _T_3435 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] + node _T_3436 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] + node _T_3437 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] + node _T_3438 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] + node _T_3439 = mux(_T_3436, _T_3437, _T_3438) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3440 = mux(buf_error_en[0], _T_3435, _T_3439) @[el2_lsu_bus_buffer.scala 480:30] + buf_data_in[0] <= _T_3440 @[el2_lsu_bus_buffer.scala 480:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3441 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3441 : @[Conditional.scala 39:67] - node _T_3442 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3443 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_3444 = eq(_T_3443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_3445 = and(_T_3442, _T_3444) @[el2_lsu_bus_buffer.scala 482:71] - node _T_3446 = or(io.dec_tlu_force_halt, _T_3445) @[el2_lsu_bus_buffer.scala 482:55] - node _T_3447 = bits(_T_3446, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_3448 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_3449 = and(buf_dual[0], _T_3448) @[el2_lsu_bus_buffer.scala 483:28] - node _T_3450 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:57] - node _T_3451 = eq(_T_3450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3452 = and(_T_3449, _T_3451) @[el2_lsu_bus_buffer.scala 483:45] - node _T_3453 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3455 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 484:27] - node _T_3456 = or(_T_3455, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_3457 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_3458 = and(buf_dual[0], _T_3457) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3459 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:97] - node _T_3460 = eq(_T_3459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_3461 = and(_T_3458, _T_3460) @[el2_lsu_bus_buffer.scala 484:85] + node _T_3442 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3443 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] + node _T_3444 = eq(_T_3443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] + node _T_3445 = and(_T_3442, _T_3444) @[el2_lsu_bus_buffer.scala 483:71] + node _T_3446 = or(io.dec_tlu_force_halt, _T_3445) @[el2_lsu_bus_buffer.scala 483:55] + node _T_3447 = bits(_T_3446, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] + node _T_3448 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] + node _T_3449 = and(buf_dual[0], _T_3448) @[el2_lsu_bus_buffer.scala 484:28] + node _T_3450 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:57] + node _T_3451 = eq(_T_3450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3452 = and(_T_3449, _T_3451) @[el2_lsu_bus_buffer.scala 484:45] + node _T_3453 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] + node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 484:61] + node _T_3455 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 485:27] + node _T_3456 = or(_T_3455, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] + node _T_3457 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] + node _T_3458 = and(buf_dual[0], _T_3457) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3459 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 485:97] + node _T_3460 = eq(_T_3459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] + node _T_3461 = and(_T_3458, _T_3460) @[el2_lsu_bus_buffer.scala 485:85] node _T_3462 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_3463 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_3464 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -4422,265 +4422,265 @@ circuit el2_lsu_bus_buffer : node _T_3476 = or(_T_3475, _T_3473) @[Mux.scala 27:72] wire _T_3477 : UInt<1> @[Mux.scala 27:72] _T_3477 <= _T_3476 @[Mux.scala 27:72] - node _T_3478 = and(_T_3461, _T_3477) @[el2_lsu_bus_buffer.scala 484:101] - node _T_3479 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 484:138] - node _T_3481 = and(_T_3480, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_3482 = or(_T_3456, _T_3481) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3483 = mux(_T_3482, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_3484 = mux(_T_3454, UInt<3>("h04"), _T_3483) @[el2_lsu_bus_buffer.scala 483:14] - node _T_3485 = mux(_T_3447, UInt<3>("h00"), _T_3484) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3486 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_3487 = and(bus_rsp_write, _T_3486) @[el2_lsu_bus_buffer.scala 485:52] - node _T_3488 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_3489 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 487:23] - node _T_3490 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3491 = and(_T_3489, _T_3490) @[el2_lsu_bus_buffer.scala 487:27] - node _T_3492 = or(_T_3488, _T_3491) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3493 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_3494 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 488:54] - node _T_3495 = not(_T_3494) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3496 = and(_T_3493, _T_3495) @[el2_lsu_bus_buffer.scala 488:42] - node _T_3497 = and(_T_3496, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_3498 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_3499 = and(_T_3497, _T_3498) @[el2_lsu_bus_buffer.scala 488:74] - node _T_3500 = or(_T_3492, _T_3499) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3501 = and(bus_rsp_read, _T_3500) @[el2_lsu_bus_buffer.scala 486:25] - node _T_3502 = or(_T_3487, _T_3501) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[0] <= _T_3502 @[el2_lsu_bus_buffer.scala 485:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 489:29] - node _T_3503 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3504 = or(_T_3503, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[0] <= _T_3504 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3505 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3506 = and(_T_3505, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[0] <= _T_3506 @[el2_lsu_bus_buffer.scala 491:24] - node _T_3507 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_3508 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_3509 = and(bus_rsp_read_error, _T_3508) @[el2_lsu_bus_buffer.scala 492:91] - node _T_3510 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 493:42] - node _T_3511 = and(bus_rsp_read_error, _T_3510) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3512 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_3513 = and(_T_3511, _T_3512) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3514 = or(_T_3509, _T_3513) @[el2_lsu_bus_buffer.scala 492:143] - node _T_3515 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_3516 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_3517 = and(_T_3515, _T_3516) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3518 = or(_T_3514, _T_3517) @[el2_lsu_bus_buffer.scala 493:88] - node _T_3519 = and(_T_3507, _T_3518) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3520 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_3521 = and(buf_state_en[0], _T_3520) @[el2_lsu_bus_buffer.scala 495:48] - node _T_3522 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_3523 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_3524 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_3525 = mux(_T_3522, _T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 495:72] - node _T_3526 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_3527 = mux(_T_3521, _T_3525, _T_3526) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[0] <= _T_3527 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3478 = and(_T_3461, _T_3477) @[el2_lsu_bus_buffer.scala 485:101] + node _T_3479 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] + node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 485:138] + node _T_3481 = and(_T_3480, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] + node _T_3482 = or(_T_3456, _T_3481) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3483 = mux(_T_3482, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] + node _T_3484 = mux(_T_3454, UInt<3>("h04"), _T_3483) @[el2_lsu_bus_buffer.scala 484:14] + node _T_3485 = mux(_T_3447, UInt<3>("h00"), _T_3484) @[el2_lsu_bus_buffer.scala 483:31] + buf_nxtstate[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 483:25] + node _T_3486 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3487 = and(bus_rsp_write, _T_3486) @[el2_lsu_bus_buffer.scala 486:52] + node _T_3488 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 487:46] + node _T_3489 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 488:23] + node _T_3490 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 488:47] + node _T_3491 = and(_T_3489, _T_3490) @[el2_lsu_bus_buffer.scala 488:27] + node _T_3492 = or(_T_3488, _T_3491) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3493 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 489:26] + node _T_3494 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 489:54] + node _T_3495 = not(_T_3494) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3496 = and(_T_3493, _T_3495) @[el2_lsu_bus_buffer.scala 489:42] + node _T_3497 = and(_T_3496, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 489:58] + node _T_3498 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3499 = and(_T_3497, _T_3498) @[el2_lsu_bus_buffer.scala 489:74] + node _T_3500 = or(_T_3492, _T_3499) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3501 = and(bus_rsp_read, _T_3500) @[el2_lsu_bus_buffer.scala 487:25] + node _T_3502 = or(_T_3487, _T_3501) @[el2_lsu_bus_buffer.scala 486:105] + buf_resp_state_bus_en[0] <= _T_3502 @[el2_lsu_bus_buffer.scala 486:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 490:29] + node _T_3503 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3504 = or(_T_3503, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[0] <= _T_3504 @[el2_lsu_bus_buffer.scala 491:25] + node _T_3505 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3506 = and(_T_3505, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] + buf_data_en[0] <= _T_3506 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3507 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3508 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 493:111] + node _T_3509 = and(bus_rsp_read_error, _T_3508) @[el2_lsu_bus_buffer.scala 493:91] + node _T_3510 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3511 = and(bus_rsp_read_error, _T_3510) @[el2_lsu_bus_buffer.scala 494:31] + node _T_3512 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 494:66] + node _T_3513 = and(_T_3511, _T_3512) @[el2_lsu_bus_buffer.scala 494:46] + node _T_3514 = or(_T_3509, _T_3513) @[el2_lsu_bus_buffer.scala 493:143] + node _T_3515 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] + node _T_3516 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3517 = and(_T_3515, _T_3516) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3518 = or(_T_3514, _T_3517) @[el2_lsu_bus_buffer.scala 494:88] + node _T_3519 = and(_T_3507, _T_3518) @[el2_lsu_bus_buffer.scala 493:68] + buf_error_en[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3520 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] + node _T_3521 = and(buf_state_en[0], _T_3520) @[el2_lsu_bus_buffer.scala 496:48] + node _T_3522 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] + node _T_3523 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] + node _T_3524 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] + node _T_3525 = mux(_T_3522, _T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 496:72] + node _T_3526 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] + node _T_3527 = mux(_T_3521, _T_3525, _T_3526) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[0] <= _T_3527 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3528 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3528 : @[Conditional.scala 39:67] - node _T_3529 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_3530 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 498:86] - node _T_3531 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3532 = bits(_T_3531, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3533 = or(_T_3530, _T_3532) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3534 = or(_T_3533, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_3535 = mux(_T_3534, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_3536 = mux(_T_3529, UInt<3>("h00"), _T_3535) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[0] <= _T_3536 @[el2_lsu_bus_buffer.scala 498:25] - node _T_3537 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3538 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3539 = bits(_T_3538, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3540 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_3541 = and(_T_3539, _T_3540) @[el2_lsu_bus_buffer.scala 500:38] - node _T_3542 = or(_T_3537, _T_3541) @[el2_lsu_bus_buffer.scala 499:95] - node _T_3543 = and(bus_rsp_read, _T_3542) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[0] <= _T_3543 @[el2_lsu_bus_buffer.scala 499:29] - node _T_3544 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_3545 = or(_T_3544, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3529 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_3530 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 499:86] + node _T_3531 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3532 = bits(_T_3531, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3533 = or(_T_3530, _T_3532) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3534 = or(_T_3533, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] + node _T_3535 = mux(_T_3534, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] + node _T_3536 = mux(_T_3529, UInt<3>("h00"), _T_3535) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[0] <= _T_3536 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3537 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3538 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3539 = bits(_T_3538, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3540 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 501:58] + node _T_3541 = and(_T_3539, _T_3540) @[el2_lsu_bus_buffer.scala 501:38] + node _T_3542 = or(_T_3537, _T_3541) @[el2_lsu_bus_buffer.scala 500:95] + node _T_3543 = and(bus_rsp_read, _T_3542) @[el2_lsu_bus_buffer.scala 500:45] + buf_state_bus_en[0] <= _T_3543 @[el2_lsu_bus_buffer.scala 500:29] + node _T_3544 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] + node _T_3545 = or(_T_3544, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] + buf_state_en[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3546 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3546 : @[Conditional.scala 39:67] - node _T_3547 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3548 = mux(_T_3547, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[0] <= _T_3548 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3549 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_3550 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_3551 = and(buf_dual[0], _T_3550) @[el2_lsu_bus_buffer.scala 505:80] - node _T_3552 = or(_T_3549, _T_3551) @[el2_lsu_bus_buffer.scala 505:65] - node _T_3553 = or(_T_3552, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[0] <= _T_3553 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3547 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3548 = mux(_T_3547, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[0] <= _T_3548 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3549 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 506:37] + node _T_3550 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] + node _T_3551 = and(buf_dual[0], _T_3550) @[el2_lsu_bus_buffer.scala 506:80] + node _T_3552 = or(_T_3549, _T_3551) @[el2_lsu_bus_buffer.scala 506:65] + node _T_3553 = or(_T_3552, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] + buf_state_en[0] <= _T_3553 @[el2_lsu_bus_buffer.scala 506:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3554 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3554 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 512:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 513:25] skip @[Conditional.scala 39:67] - node _T_3555 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + node _T_3555 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] reg _T_3556 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3555 : @[Reg.scala 28:19] _T_3556 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_3557 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_3557 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_3558 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_3558 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3559 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + buf_state[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 516:18] + reg _T_3557 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] + _T_3557 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 517:60] + buf_ageQ[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 517:17] + reg _T_3558 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] + _T_3558 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 518:63] + buf_rspageQ[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3559 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] reg _T_3560 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3559 : @[Reg.scala 28:19] _T_3560 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3560 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3561 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 519:74] - node _T_3562 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + buf_dualtag[0] <= _T_3560 @[el2_lsu_bus_buffer.scala 519:20] + node _T_3561 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:74] + node _T_3562 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] reg _T_3563 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3562 : @[Reg.scala 28:19] _T_3563 <= _T_3561 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 519:17] - node _T_3564 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:78] - node _T_3565 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + buf_dual[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 520:17] + node _T_3564 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:78] + node _T_3565 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] reg _T_3566 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3565 : @[Reg.scala 28:19] _T_3566 <= _T_3564 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 520:19] - node _T_3567 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:80] - node _T_3568 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + buf_samedw[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 521:19] + node _T_3567 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 522:80] + node _T_3568 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] reg _T_3569 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3568 : @[Reg.scala 28:19] _T_3569 <= _T_3567 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3570 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 522:78] - node _T_3571 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + buf_nomerge[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 522:20] + node _T_3570 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 523:78] + node _T_3571 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] reg _T_3572 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3571 : @[Reg.scala 28:19] _T_3572 <= _T_3570 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 522:19] + buf_dualhi[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 523:19] node _T_3573 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3573 : @[Conditional.scala 40:58] - node _T_3574 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3575 = mux(_T_3574, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[1] <= _T_3575 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3576 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3577 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3578 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3580 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3581 = and(_T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3582 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3583 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3584 = and(_T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3585 = or(_T_3581, _T_3584) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3586 = and(_T_3576, _T_3585) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3587 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3588 = and(ibuf_drain_vld, _T_3587) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3589 = or(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[1] <= _T_3589 @[el2_lsu_bus_buffer.scala 460:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 461:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3590 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3591 = and(ibuf_drain_vld, _T_3590) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3592 = bits(_T_3591, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3593 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3594 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3595 = mux(_T_3592, _T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[1] <= _T_3595 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3574 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] + node _T_3575 = mux(_T_3574, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] + buf_nxtstate[1] <= _T_3575 @[el2_lsu_bus_buffer.scala 460:25] + node _T_3576 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] + node _T_3577 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3578 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] + node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 461:95] + node _T_3580 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] + node _T_3581 = and(_T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 461:112] + node _T_3582 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] + node _T_3583 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] + node _T_3584 = and(_T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 461:161] + node _T_3585 = or(_T_3581, _T_3584) @[el2_lsu_bus_buffer.scala 461:132] + node _T_3586 = and(_T_3576, _T_3585) @[el2_lsu_bus_buffer.scala 461:63] + node _T_3587 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] + node _T_3588 = and(ibuf_drain_vld, _T_3587) @[el2_lsu_bus_buffer.scala 461:201] + node _T_3589 = or(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 461:183] + buf_state_en[1] <= _T_3589 @[el2_lsu_bus_buffer.scala 461:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 462:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 463:24] + node _T_3590 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] + node _T_3591 = and(ibuf_drain_vld, _T_3590) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3592 = bits(_T_3591, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] + node _T_3593 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] + node _T_3594 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] + node _T_3595 = mux(_T_3592, _T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 464:30] + buf_data_in[1] <= _T_3595 @[el2_lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3596 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3596 : @[Conditional.scala 39:67] - node _T_3597 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3598 = mux(_T_3597, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[1] <= _T_3598 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3599 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[1] <= _T_3599 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3597 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] + node _T_3598 = mux(_T_3597, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] + buf_nxtstate[1] <= _T_3598 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3599 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] + buf_state_en[1] <= _T_3599 @[el2_lsu_bus_buffer.scala 468:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3600 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3600 : @[Conditional.scala 39:67] - node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3602 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3603 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3604 = and(_T_3602, _T_3603) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3605 = mux(_T_3604, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3606 = mux(_T_3601, UInt<3>("h00"), _T_3605) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[1] <= _T_3606 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3607 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3608 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3609 = and(obuf_merge, _T_3608) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3610 = or(_T_3607, _T_3609) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3611 = and(_T_3610, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3612 = and(_T_3611, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[1] <= _T_3612 @[el2_lsu_bus_buffer.scala 471:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3613 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_3614 = or(_T_3613, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[1] <= _T_3614 @[el2_lsu_bus_buffer.scala 473:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_3615 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 475:56] - node _T_3616 = eq(_T_3615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_3617 = and(buf_state_en[1], _T_3616) @[el2_lsu_bus_buffer.scala 475:44] - node _T_3618 = and(_T_3617, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_3620 = and(_T_3618, _T_3619) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[1] <= _T_3620 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3621 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[1] <= _T_3621 @[el2_lsu_bus_buffer.scala 476:28] - node _T_3622 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_3623 = and(_T_3622, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_3624 = and(_T_3623, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[1] <= _T_3624 @[el2_lsu_bus_buffer.scala 477:24] - node _T_3625 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3626 = and(_T_3625, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_3627 = and(_T_3626, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[1] <= _T_3627 @[el2_lsu_bus_buffer.scala 478:25] - node _T_3628 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3629 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_3630 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3631 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_3632 = mux(_T_3629, _T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3633 = mux(buf_error_en[1], _T_3628, _T_3632) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[1] <= _T_3633 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] + node _T_3602 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] + node _T_3603 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] + node _T_3604 = and(_T_3602, _T_3603) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3605 = mux(_T_3604, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] + node _T_3606 = mux(_T_3601, UInt<3>("h00"), _T_3605) @[el2_lsu_bus_buffer.scala 471:31] + buf_nxtstate[1] <= _T_3606 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3607 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3608 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 472:104] + node _T_3609 = and(obuf_merge, _T_3608) @[el2_lsu_bus_buffer.scala 472:91] + node _T_3610 = or(_T_3607, _T_3609) @[el2_lsu_bus_buffer.scala 472:77] + node _T_3611 = and(_T_3610, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] + node _T_3612 = and(_T_3611, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] + buf_cmd_state_bus_en[1] <= _T_3612 @[el2_lsu_bus_buffer.scala 472:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 473:29] + node _T_3613 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] + node _T_3614 = or(_T_3613, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] + buf_state_en[1] <= _T_3614 @[el2_lsu_bus_buffer.scala 474:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] + node _T_3615 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 476:56] + node _T_3616 = eq(_T_3615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] + node _T_3617 = and(buf_state_en[1], _T_3616) @[el2_lsu_bus_buffer.scala 476:44] + node _T_3618 = and(_T_3617, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] + node _T_3620 = and(_T_3618, _T_3619) @[el2_lsu_bus_buffer.scala 476:74] + buf_ldfwd_en[1] <= _T_3620 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3621 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] + buf_ldfwdtag_in[1] <= _T_3621 @[el2_lsu_bus_buffer.scala 477:28] + node _T_3622 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3623 = and(_T_3622, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] + node _T_3624 = and(_T_3623, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] + buf_data_en[1] <= _T_3624 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3625 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3626 = and(_T_3625, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] + node _T_3627 = and(_T_3626, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] + buf_error_en[1] <= _T_3627 @[el2_lsu_bus_buffer.scala 479:25] + node _T_3628 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] + node _T_3629 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] + node _T_3630 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] + node _T_3631 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] + node _T_3632 = mux(_T_3629, _T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3633 = mux(buf_error_en[1], _T_3628, _T_3632) @[el2_lsu_bus_buffer.scala 480:30] + buf_data_in[1] <= _T_3633 @[el2_lsu_bus_buffer.scala 480:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3634 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3634 : @[Conditional.scala 39:67] - node _T_3635 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3636 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_3637 = eq(_T_3636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_3638 = and(_T_3635, _T_3637) @[el2_lsu_bus_buffer.scala 482:71] - node _T_3639 = or(io.dec_tlu_force_halt, _T_3638) @[el2_lsu_bus_buffer.scala 482:55] - node _T_3640 = bits(_T_3639, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_3641 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_3642 = and(buf_dual[1], _T_3641) @[el2_lsu_bus_buffer.scala 483:28] - node _T_3643 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:57] - node _T_3644 = eq(_T_3643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3645 = and(_T_3642, _T_3644) @[el2_lsu_bus_buffer.scala 483:45] - node _T_3646 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_3647 = and(_T_3645, _T_3646) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3648 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 484:27] - node _T_3649 = or(_T_3648, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_3650 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_3651 = and(buf_dual[1], _T_3650) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3652 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:97] - node _T_3653 = eq(_T_3652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_3654 = and(_T_3651, _T_3653) @[el2_lsu_bus_buffer.scala 484:85] + node _T_3635 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3636 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] + node _T_3637 = eq(_T_3636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] + node _T_3638 = and(_T_3635, _T_3637) @[el2_lsu_bus_buffer.scala 483:71] + node _T_3639 = or(io.dec_tlu_force_halt, _T_3638) @[el2_lsu_bus_buffer.scala 483:55] + node _T_3640 = bits(_T_3639, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] + node _T_3641 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] + node _T_3642 = and(buf_dual[1], _T_3641) @[el2_lsu_bus_buffer.scala 484:28] + node _T_3643 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:57] + node _T_3644 = eq(_T_3643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3645 = and(_T_3642, _T_3644) @[el2_lsu_bus_buffer.scala 484:45] + node _T_3646 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] + node _T_3647 = and(_T_3645, _T_3646) @[el2_lsu_bus_buffer.scala 484:61] + node _T_3648 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 485:27] + node _T_3649 = or(_T_3648, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] + node _T_3650 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] + node _T_3651 = and(buf_dual[1], _T_3650) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3652 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 485:97] + node _T_3653 = eq(_T_3652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] + node _T_3654 = and(_T_3651, _T_3653) @[el2_lsu_bus_buffer.scala 485:85] node _T_3655 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_3656 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_3657 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -4698,265 +4698,265 @@ circuit el2_lsu_bus_buffer : node _T_3669 = or(_T_3668, _T_3666) @[Mux.scala 27:72] wire _T_3670 : UInt<1> @[Mux.scala 27:72] _T_3670 <= _T_3669 @[Mux.scala 27:72] - node _T_3671 = and(_T_3654, _T_3670) @[el2_lsu_bus_buffer.scala 484:101] - node _T_3672 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 484:138] - node _T_3674 = and(_T_3673, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_3675 = or(_T_3649, _T_3674) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_3677 = mux(_T_3647, UInt<3>("h04"), _T_3676) @[el2_lsu_bus_buffer.scala 483:14] - node _T_3678 = mux(_T_3640, UInt<3>("h00"), _T_3677) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_3680 = and(bus_rsp_write, _T_3679) @[el2_lsu_bus_buffer.scala 485:52] - node _T_3681 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_3682 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 487:23] - node _T_3683 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3684 = and(_T_3682, _T_3683) @[el2_lsu_bus_buffer.scala 487:27] - node _T_3685 = or(_T_3681, _T_3684) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3686 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_3687 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 488:54] - node _T_3688 = not(_T_3687) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 488:42] - node _T_3690 = and(_T_3689, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_3691 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_3692 = and(_T_3690, _T_3691) @[el2_lsu_bus_buffer.scala 488:74] - node _T_3693 = or(_T_3685, _T_3692) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3694 = and(bus_rsp_read, _T_3693) @[el2_lsu_bus_buffer.scala 486:25] - node _T_3695 = or(_T_3680, _T_3694) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[1] <= _T_3695 @[el2_lsu_bus_buffer.scala 485:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 489:29] - node _T_3696 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[1] <= _T_3697 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3698 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3699 = and(_T_3698, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[1] <= _T_3699 @[el2_lsu_bus_buffer.scala 491:24] - node _T_3700 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_3701 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 492:91] - node _T_3703 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 493:42] - node _T_3704 = and(bus_rsp_read_error, _T_3703) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3705 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_3706 = and(_T_3704, _T_3705) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3707 = or(_T_3702, _T_3706) @[el2_lsu_bus_buffer.scala 492:143] - node _T_3708 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_3709 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3711 = or(_T_3707, _T_3710) @[el2_lsu_bus_buffer.scala 493:88] - node _T_3712 = and(_T_3700, _T_3711) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[1] <= _T_3712 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3713 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_3714 = and(buf_state_en[1], _T_3713) @[el2_lsu_bus_buffer.scala 495:48] - node _T_3715 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_3716 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_3718 = mux(_T_3715, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 495:72] - node _T_3719 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_3720 = mux(_T_3714, _T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[1] <= _T_3720 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3671 = and(_T_3654, _T_3670) @[el2_lsu_bus_buffer.scala 485:101] + node _T_3672 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] + node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 485:138] + node _T_3674 = and(_T_3673, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] + node _T_3675 = or(_T_3649, _T_3674) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] + node _T_3677 = mux(_T_3647, UInt<3>("h04"), _T_3676) @[el2_lsu_bus_buffer.scala 484:14] + node _T_3678 = mux(_T_3640, UInt<3>("h00"), _T_3677) @[el2_lsu_bus_buffer.scala 483:31] + buf_nxtstate[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 483:25] + node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3680 = and(bus_rsp_write, _T_3679) @[el2_lsu_bus_buffer.scala 486:52] + node _T_3681 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 487:46] + node _T_3682 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 488:23] + node _T_3683 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 488:47] + node _T_3684 = and(_T_3682, _T_3683) @[el2_lsu_bus_buffer.scala 488:27] + node _T_3685 = or(_T_3681, _T_3684) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3686 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 489:26] + node _T_3687 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 489:54] + node _T_3688 = not(_T_3687) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 489:42] + node _T_3690 = and(_T_3689, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 489:58] + node _T_3691 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3692 = and(_T_3690, _T_3691) @[el2_lsu_bus_buffer.scala 489:74] + node _T_3693 = or(_T_3685, _T_3692) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3694 = and(bus_rsp_read, _T_3693) @[el2_lsu_bus_buffer.scala 487:25] + node _T_3695 = or(_T_3680, _T_3694) @[el2_lsu_bus_buffer.scala 486:105] + buf_resp_state_bus_en[1] <= _T_3695 @[el2_lsu_bus_buffer.scala 486:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 490:29] + node _T_3696 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[1] <= _T_3697 @[el2_lsu_bus_buffer.scala 491:25] + node _T_3698 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3699 = and(_T_3698, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] + buf_data_en[1] <= _T_3699 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3700 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3701 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:111] + node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 493:91] + node _T_3703 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3704 = and(bus_rsp_read_error, _T_3703) @[el2_lsu_bus_buffer.scala 494:31] + node _T_3705 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 494:66] + node _T_3706 = and(_T_3704, _T_3705) @[el2_lsu_bus_buffer.scala 494:46] + node _T_3707 = or(_T_3702, _T_3706) @[el2_lsu_bus_buffer.scala 493:143] + node _T_3708 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] + node _T_3709 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3711 = or(_T_3707, _T_3710) @[el2_lsu_bus_buffer.scala 494:88] + node _T_3712 = and(_T_3700, _T_3711) @[el2_lsu_bus_buffer.scala 493:68] + buf_error_en[1] <= _T_3712 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3713 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] + node _T_3714 = and(buf_state_en[1], _T_3713) @[el2_lsu_bus_buffer.scala 496:48] + node _T_3715 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] + node _T_3716 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] + node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] + node _T_3718 = mux(_T_3715, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 496:72] + node _T_3719 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] + node _T_3720 = mux(_T_3714, _T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[1] <= _T_3720 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3721 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3721 : @[Conditional.scala 39:67] - node _T_3722 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_3723 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 498:86] - node _T_3724 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3725 = bits(_T_3724, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3726 = or(_T_3723, _T_3725) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3727 = or(_T_3726, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_3728 = mux(_T_3727, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_3729 = mux(_T_3722, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[1] <= _T_3729 @[el2_lsu_bus_buffer.scala 498:25] - node _T_3730 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3731 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3732 = bits(_T_3731, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3733 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_3734 = and(_T_3732, _T_3733) @[el2_lsu_bus_buffer.scala 500:38] - node _T_3735 = or(_T_3730, _T_3734) @[el2_lsu_bus_buffer.scala 499:95] - node _T_3736 = and(bus_rsp_read, _T_3735) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[1] <= _T_3736 @[el2_lsu_bus_buffer.scala 499:29] - node _T_3737 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_3738 = or(_T_3737, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3722 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_3723 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 499:86] + node _T_3724 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3725 = bits(_T_3724, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3726 = or(_T_3723, _T_3725) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3727 = or(_T_3726, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] + node _T_3728 = mux(_T_3727, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] + node _T_3729 = mux(_T_3722, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[1] <= _T_3729 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3730 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3731 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3732 = bits(_T_3731, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3733 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 501:58] + node _T_3734 = and(_T_3732, _T_3733) @[el2_lsu_bus_buffer.scala 501:38] + node _T_3735 = or(_T_3730, _T_3734) @[el2_lsu_bus_buffer.scala 500:95] + node _T_3736 = and(bus_rsp_read, _T_3735) @[el2_lsu_bus_buffer.scala 500:45] + buf_state_bus_en[1] <= _T_3736 @[el2_lsu_bus_buffer.scala 500:29] + node _T_3737 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] + node _T_3738 = or(_T_3737, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] + buf_state_en[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3739 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3739 : @[Conditional.scala 39:67] - node _T_3740 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3741 = mux(_T_3740, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[1] <= _T_3741 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3742 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_3743 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_3744 = and(buf_dual[1], _T_3743) @[el2_lsu_bus_buffer.scala 505:80] - node _T_3745 = or(_T_3742, _T_3744) @[el2_lsu_bus_buffer.scala 505:65] - node _T_3746 = or(_T_3745, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[1] <= _T_3746 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3740 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3741 = mux(_T_3740, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[1] <= _T_3741 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3742 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 506:37] + node _T_3743 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] + node _T_3744 = and(buf_dual[1], _T_3743) @[el2_lsu_bus_buffer.scala 506:80] + node _T_3745 = or(_T_3742, _T_3744) @[el2_lsu_bus_buffer.scala 506:65] + node _T_3746 = or(_T_3745, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] + buf_state_en[1] <= _T_3746 @[el2_lsu_bus_buffer.scala 506:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3747 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3747 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 512:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 513:25] skip @[Conditional.scala 39:67] - node _T_3748 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + node _T_3748 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] reg _T_3749 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3748 : @[Reg.scala 28:19] _T_3749 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_3750 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_3750 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_3751 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3752 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + buf_state[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 516:18] + reg _T_3750 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] + _T_3750 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 517:60] + buf_ageQ[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 517:17] + reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] + _T_3751 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 518:63] + buf_rspageQ[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3752 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] reg _T_3753 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3752 : @[Reg.scala 28:19] _T_3753 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3753 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3754 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 519:74] - node _T_3755 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + buf_dualtag[1] <= _T_3753 @[el2_lsu_bus_buffer.scala 519:20] + node _T_3754 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:74] + node _T_3755 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] reg _T_3756 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3755 : @[Reg.scala 28:19] _T_3756 <= _T_3754 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 519:17] - node _T_3757 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:78] - node _T_3758 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + buf_dual[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 520:17] + node _T_3757 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:78] + node _T_3758 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] reg _T_3759 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3758 : @[Reg.scala 28:19] _T_3759 <= _T_3757 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 520:19] - node _T_3760 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:80] - node _T_3761 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + buf_samedw[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 521:19] + node _T_3760 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 522:80] + node _T_3761 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] reg _T_3762 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3761 : @[Reg.scala 28:19] _T_3762 <= _T_3760 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3763 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 522:78] - node _T_3764 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + buf_nomerge[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 522:20] + node _T_3763 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 523:78] + node _T_3764 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] reg _T_3765 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3764 : @[Reg.scala 28:19] _T_3765 <= _T_3763 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 522:19] + buf_dualhi[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 523:19] node _T_3766 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3766 : @[Conditional.scala 40:58] - node _T_3767 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3768 = mux(_T_3767, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[2] <= _T_3768 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3769 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3770 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3771 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3773 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3774 = and(_T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3775 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3776 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3777 = and(_T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3778 = or(_T_3774, _T_3777) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3779 = and(_T_3769, _T_3778) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3780 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3781 = and(ibuf_drain_vld, _T_3780) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3782 = or(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[2] <= _T_3782 @[el2_lsu_bus_buffer.scala 460:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 461:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3783 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3784 = and(ibuf_drain_vld, _T_3783) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3785 = bits(_T_3784, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3786 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3787 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3788 = mux(_T_3785, _T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[2] <= _T_3788 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3767 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] + node _T_3768 = mux(_T_3767, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] + buf_nxtstate[2] <= _T_3768 @[el2_lsu_bus_buffer.scala 460:25] + node _T_3769 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] + node _T_3770 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3771 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] + node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 461:95] + node _T_3773 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] + node _T_3774 = and(_T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 461:112] + node _T_3775 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] + node _T_3776 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] + node _T_3777 = and(_T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 461:161] + node _T_3778 = or(_T_3774, _T_3777) @[el2_lsu_bus_buffer.scala 461:132] + node _T_3779 = and(_T_3769, _T_3778) @[el2_lsu_bus_buffer.scala 461:63] + node _T_3780 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] + node _T_3781 = and(ibuf_drain_vld, _T_3780) @[el2_lsu_bus_buffer.scala 461:201] + node _T_3782 = or(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 461:183] + buf_state_en[2] <= _T_3782 @[el2_lsu_bus_buffer.scala 461:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 462:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 463:24] + node _T_3783 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] + node _T_3784 = and(ibuf_drain_vld, _T_3783) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3785 = bits(_T_3784, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] + node _T_3786 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] + node _T_3787 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] + node _T_3788 = mux(_T_3785, _T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 464:30] + buf_data_in[2] <= _T_3788 @[el2_lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3789 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3789 : @[Conditional.scala 39:67] - node _T_3790 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3791 = mux(_T_3790, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[2] <= _T_3791 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3792 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[2] <= _T_3792 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3790 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] + node _T_3791 = mux(_T_3790, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] + buf_nxtstate[2] <= _T_3791 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3792 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] + buf_state_en[2] <= _T_3792 @[el2_lsu_bus_buffer.scala 468:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3793 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3793 : @[Conditional.scala 39:67] - node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3795 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3796 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3797 = and(_T_3795, _T_3796) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3798 = mux(_T_3797, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3799 = mux(_T_3794, UInt<3>("h00"), _T_3798) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[2] <= _T_3799 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3800 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3801 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3802 = and(obuf_merge, _T_3801) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3803 = or(_T_3800, _T_3802) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3804 = and(_T_3803, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3805 = and(_T_3804, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[2] <= _T_3805 @[el2_lsu_bus_buffer.scala 471:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3806 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_3807 = or(_T_3806, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[2] <= _T_3807 @[el2_lsu_bus_buffer.scala 473:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_3808 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 475:56] - node _T_3809 = eq(_T_3808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_3810 = and(buf_state_en[2], _T_3809) @[el2_lsu_bus_buffer.scala 475:44] - node _T_3811 = and(_T_3810, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3812 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_3813 = and(_T_3811, _T_3812) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[2] <= _T_3813 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3814 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[2] <= _T_3814 @[el2_lsu_bus_buffer.scala 476:28] - node _T_3815 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_3816 = and(_T_3815, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_3817 = and(_T_3816, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[2] <= _T_3817 @[el2_lsu_bus_buffer.scala 477:24] - node _T_3818 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3819 = and(_T_3818, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_3820 = and(_T_3819, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[2] <= _T_3820 @[el2_lsu_bus_buffer.scala 478:25] - node _T_3821 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3822 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_3823 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3824 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_3825 = mux(_T_3822, _T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3826 = mux(buf_error_en[2], _T_3821, _T_3825) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[2] <= _T_3826 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] + node _T_3795 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] + node _T_3796 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] + node _T_3797 = and(_T_3795, _T_3796) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3798 = mux(_T_3797, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] + node _T_3799 = mux(_T_3794, UInt<3>("h00"), _T_3798) @[el2_lsu_bus_buffer.scala 471:31] + buf_nxtstate[2] <= _T_3799 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3800 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3801 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:104] + node _T_3802 = and(obuf_merge, _T_3801) @[el2_lsu_bus_buffer.scala 472:91] + node _T_3803 = or(_T_3800, _T_3802) @[el2_lsu_bus_buffer.scala 472:77] + node _T_3804 = and(_T_3803, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] + node _T_3805 = and(_T_3804, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] + buf_cmd_state_bus_en[2] <= _T_3805 @[el2_lsu_bus_buffer.scala 472:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 473:29] + node _T_3806 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] + node _T_3807 = or(_T_3806, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] + buf_state_en[2] <= _T_3807 @[el2_lsu_bus_buffer.scala 474:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] + node _T_3808 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 476:56] + node _T_3809 = eq(_T_3808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] + node _T_3810 = and(buf_state_en[2], _T_3809) @[el2_lsu_bus_buffer.scala 476:44] + node _T_3811 = and(_T_3810, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3812 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] + node _T_3813 = and(_T_3811, _T_3812) @[el2_lsu_bus_buffer.scala 476:74] + buf_ldfwd_en[2] <= _T_3813 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3814 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] + buf_ldfwdtag_in[2] <= _T_3814 @[el2_lsu_bus_buffer.scala 477:28] + node _T_3815 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3816 = and(_T_3815, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] + node _T_3817 = and(_T_3816, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] + buf_data_en[2] <= _T_3817 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3818 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3819 = and(_T_3818, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] + node _T_3820 = and(_T_3819, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] + buf_error_en[2] <= _T_3820 @[el2_lsu_bus_buffer.scala 479:25] + node _T_3821 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] + node _T_3822 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] + node _T_3823 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] + node _T_3824 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] + node _T_3825 = mux(_T_3822, _T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3826 = mux(buf_error_en[2], _T_3821, _T_3825) @[el2_lsu_bus_buffer.scala 480:30] + buf_data_in[2] <= _T_3826 @[el2_lsu_bus_buffer.scala 480:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3827 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3827 : @[Conditional.scala 39:67] - node _T_3828 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3829 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_3830 = eq(_T_3829, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_3831 = and(_T_3828, _T_3830) @[el2_lsu_bus_buffer.scala 482:71] - node _T_3832 = or(io.dec_tlu_force_halt, _T_3831) @[el2_lsu_bus_buffer.scala 482:55] - node _T_3833 = bits(_T_3832, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_3834 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_3835 = and(buf_dual[2], _T_3834) @[el2_lsu_bus_buffer.scala 483:28] - node _T_3836 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:57] - node _T_3837 = eq(_T_3836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3838 = and(_T_3835, _T_3837) @[el2_lsu_bus_buffer.scala 483:45] - node _T_3839 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_3840 = and(_T_3838, _T_3839) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3841 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 484:27] - node _T_3842 = or(_T_3841, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_3843 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_3844 = and(buf_dual[2], _T_3843) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3845 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:97] - node _T_3846 = eq(_T_3845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_3847 = and(_T_3844, _T_3846) @[el2_lsu_bus_buffer.scala 484:85] + node _T_3828 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3829 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] + node _T_3830 = eq(_T_3829, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] + node _T_3831 = and(_T_3828, _T_3830) @[el2_lsu_bus_buffer.scala 483:71] + node _T_3832 = or(io.dec_tlu_force_halt, _T_3831) @[el2_lsu_bus_buffer.scala 483:55] + node _T_3833 = bits(_T_3832, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] + node _T_3834 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] + node _T_3835 = and(buf_dual[2], _T_3834) @[el2_lsu_bus_buffer.scala 484:28] + node _T_3836 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:57] + node _T_3837 = eq(_T_3836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3838 = and(_T_3835, _T_3837) @[el2_lsu_bus_buffer.scala 484:45] + node _T_3839 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] + node _T_3840 = and(_T_3838, _T_3839) @[el2_lsu_bus_buffer.scala 484:61] + node _T_3841 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 485:27] + node _T_3842 = or(_T_3841, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] + node _T_3843 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] + node _T_3844 = and(buf_dual[2], _T_3843) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3845 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 485:97] + node _T_3846 = eq(_T_3845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] + node _T_3847 = and(_T_3844, _T_3846) @[el2_lsu_bus_buffer.scala 485:85] node _T_3848 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_3849 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_3850 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -4974,265 +4974,265 @@ circuit el2_lsu_bus_buffer : node _T_3862 = or(_T_3861, _T_3859) @[Mux.scala 27:72] wire _T_3863 : UInt<1> @[Mux.scala 27:72] _T_3863 <= _T_3862 @[Mux.scala 27:72] - node _T_3864 = and(_T_3847, _T_3863) @[el2_lsu_bus_buffer.scala 484:101] - node _T_3865 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 484:138] - node _T_3867 = and(_T_3866, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_3868 = or(_T_3842, _T_3867) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3869 = mux(_T_3868, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_3870 = mux(_T_3840, UInt<3>("h04"), _T_3869) @[el2_lsu_bus_buffer.scala 483:14] - node _T_3871 = mux(_T_3833, UInt<3>("h00"), _T_3870) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_3873 = and(bus_rsp_write, _T_3872) @[el2_lsu_bus_buffer.scala 485:52] - node _T_3874 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_3875 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 487:23] - node _T_3876 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3877 = and(_T_3875, _T_3876) @[el2_lsu_bus_buffer.scala 487:27] - node _T_3878 = or(_T_3874, _T_3877) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3879 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_3880 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 488:54] - node _T_3881 = not(_T_3880) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 488:42] - node _T_3883 = and(_T_3882, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_3884 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_3885 = and(_T_3883, _T_3884) @[el2_lsu_bus_buffer.scala 488:74] - node _T_3886 = or(_T_3878, _T_3885) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3887 = and(bus_rsp_read, _T_3886) @[el2_lsu_bus_buffer.scala 486:25] - node _T_3888 = or(_T_3873, _T_3887) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[2] <= _T_3888 @[el2_lsu_bus_buffer.scala 485:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 489:29] - node _T_3889 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[2] <= _T_3890 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3891 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3892 = and(_T_3891, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[2] <= _T_3892 @[el2_lsu_bus_buffer.scala 491:24] - node _T_3893 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_3894 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 492:91] - node _T_3896 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 493:42] - node _T_3897 = and(bus_rsp_read_error, _T_3896) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3898 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_3899 = and(_T_3897, _T_3898) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3900 = or(_T_3895, _T_3899) @[el2_lsu_bus_buffer.scala 492:143] - node _T_3901 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_3902 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3904 = or(_T_3900, _T_3903) @[el2_lsu_bus_buffer.scala 493:88] - node _T_3905 = and(_T_3893, _T_3904) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[2] <= _T_3905 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3906 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_3907 = and(buf_state_en[2], _T_3906) @[el2_lsu_bus_buffer.scala 495:48] - node _T_3908 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_3909 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_3911 = mux(_T_3908, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 495:72] - node _T_3912 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_3913 = mux(_T_3907, _T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[2] <= _T_3913 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3864 = and(_T_3847, _T_3863) @[el2_lsu_bus_buffer.scala 485:101] + node _T_3865 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] + node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 485:138] + node _T_3867 = and(_T_3866, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] + node _T_3868 = or(_T_3842, _T_3867) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3869 = mux(_T_3868, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] + node _T_3870 = mux(_T_3840, UInt<3>("h04"), _T_3869) @[el2_lsu_bus_buffer.scala 484:14] + node _T_3871 = mux(_T_3833, UInt<3>("h00"), _T_3870) @[el2_lsu_bus_buffer.scala 483:31] + buf_nxtstate[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 483:25] + node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3873 = and(bus_rsp_write, _T_3872) @[el2_lsu_bus_buffer.scala 486:52] + node _T_3874 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 487:46] + node _T_3875 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 488:23] + node _T_3876 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 488:47] + node _T_3877 = and(_T_3875, _T_3876) @[el2_lsu_bus_buffer.scala 488:27] + node _T_3878 = or(_T_3874, _T_3877) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3879 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 489:26] + node _T_3880 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 489:54] + node _T_3881 = not(_T_3880) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 489:42] + node _T_3883 = and(_T_3882, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 489:58] + node _T_3884 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3885 = and(_T_3883, _T_3884) @[el2_lsu_bus_buffer.scala 489:74] + node _T_3886 = or(_T_3878, _T_3885) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3887 = and(bus_rsp_read, _T_3886) @[el2_lsu_bus_buffer.scala 487:25] + node _T_3888 = or(_T_3873, _T_3887) @[el2_lsu_bus_buffer.scala 486:105] + buf_resp_state_bus_en[2] <= _T_3888 @[el2_lsu_bus_buffer.scala 486:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 490:29] + node _T_3889 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[2] <= _T_3890 @[el2_lsu_bus_buffer.scala 491:25] + node _T_3891 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3892 = and(_T_3891, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] + buf_data_en[2] <= _T_3892 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3893 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3894 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 493:111] + node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 493:91] + node _T_3896 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3897 = and(bus_rsp_read_error, _T_3896) @[el2_lsu_bus_buffer.scala 494:31] + node _T_3898 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 494:66] + node _T_3899 = and(_T_3897, _T_3898) @[el2_lsu_bus_buffer.scala 494:46] + node _T_3900 = or(_T_3895, _T_3899) @[el2_lsu_bus_buffer.scala 493:143] + node _T_3901 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] + node _T_3902 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3904 = or(_T_3900, _T_3903) @[el2_lsu_bus_buffer.scala 494:88] + node _T_3905 = and(_T_3893, _T_3904) @[el2_lsu_bus_buffer.scala 493:68] + buf_error_en[2] <= _T_3905 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3906 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] + node _T_3907 = and(buf_state_en[2], _T_3906) @[el2_lsu_bus_buffer.scala 496:48] + node _T_3908 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] + node _T_3909 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] + node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] + node _T_3911 = mux(_T_3908, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 496:72] + node _T_3912 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] + node _T_3913 = mux(_T_3907, _T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[2] <= _T_3913 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3914 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_3914 : @[Conditional.scala 39:67] - node _T_3915 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_3916 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 498:86] - node _T_3917 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3918 = bits(_T_3917, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3919 = or(_T_3916, _T_3918) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3920 = or(_T_3919, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_3921 = mux(_T_3920, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_3922 = mux(_T_3915, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[2] <= _T_3922 @[el2_lsu_bus_buffer.scala 498:25] - node _T_3923 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3924 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3925 = bits(_T_3924, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3926 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_3927 = and(_T_3925, _T_3926) @[el2_lsu_bus_buffer.scala 500:38] - node _T_3928 = or(_T_3923, _T_3927) @[el2_lsu_bus_buffer.scala 499:95] - node _T_3929 = and(bus_rsp_read, _T_3928) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[2] <= _T_3929 @[el2_lsu_bus_buffer.scala 499:29] - node _T_3930 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_3931 = or(_T_3930, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3915 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_3916 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 499:86] + node _T_3917 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3918 = bits(_T_3917, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3919 = or(_T_3916, _T_3918) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3920 = or(_T_3919, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] + node _T_3921 = mux(_T_3920, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] + node _T_3922 = mux(_T_3915, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[2] <= _T_3922 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3923 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3924 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3925 = bits(_T_3924, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3926 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 501:58] + node _T_3927 = and(_T_3925, _T_3926) @[el2_lsu_bus_buffer.scala 501:38] + node _T_3928 = or(_T_3923, _T_3927) @[el2_lsu_bus_buffer.scala 500:95] + node _T_3929 = and(bus_rsp_read, _T_3928) @[el2_lsu_bus_buffer.scala 500:45] + buf_state_bus_en[2] <= _T_3929 @[el2_lsu_bus_buffer.scala 500:29] + node _T_3930 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] + node _T_3931 = or(_T_3930, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] + buf_state_en[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3932 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_3932 : @[Conditional.scala 39:67] - node _T_3933 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3934 = mux(_T_3933, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[2] <= _T_3934 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3935 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_3936 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_3937 = and(buf_dual[2], _T_3936) @[el2_lsu_bus_buffer.scala 505:80] - node _T_3938 = or(_T_3935, _T_3937) @[el2_lsu_bus_buffer.scala 505:65] - node _T_3939 = or(_T_3938, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[2] <= _T_3939 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3933 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3934 = mux(_T_3933, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[2] <= _T_3934 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3935 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 506:37] + node _T_3936 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] + node _T_3937 = and(buf_dual[2], _T_3936) @[el2_lsu_bus_buffer.scala 506:80] + node _T_3938 = or(_T_3935, _T_3937) @[el2_lsu_bus_buffer.scala 506:65] + node _T_3939 = or(_T_3938, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] + buf_state_en[2] <= _T_3939 @[el2_lsu_bus_buffer.scala 506:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3940 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_3940 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 512:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 513:25] skip @[Conditional.scala 39:67] - node _T_3941 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + node _T_3941 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] reg _T_3942 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3941 : @[Reg.scala 28:19] _T_3942 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_3943 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_3943 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_3944 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3945 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + buf_state[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 516:18] + reg _T_3943 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] + _T_3943 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 517:60] + buf_ageQ[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 517:17] + reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] + _T_3944 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 518:63] + buf_rspageQ[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3945 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] reg _T_3946 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3945 : @[Reg.scala 28:19] _T_3946 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_3946 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3947 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 519:74] - node _T_3948 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + buf_dualtag[2] <= _T_3946 @[el2_lsu_bus_buffer.scala 519:20] + node _T_3947 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:74] + node _T_3948 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] reg _T_3949 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3948 : @[Reg.scala 28:19] _T_3949 <= _T_3947 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 519:17] - node _T_3950 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:78] - node _T_3951 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + buf_dual[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 520:17] + node _T_3950 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:78] + node _T_3951 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] reg _T_3952 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3951 : @[Reg.scala 28:19] _T_3952 <= _T_3950 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 520:19] - node _T_3953 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:80] - node _T_3954 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + buf_samedw[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 521:19] + node _T_3953 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 522:80] + node _T_3954 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] reg _T_3955 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3954 : @[Reg.scala 28:19] _T_3955 <= _T_3953 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3956 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 522:78] - node _T_3957 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + buf_nomerge[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 522:20] + node _T_3956 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 523:78] + node _T_3957 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] reg _T_3958 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3957 : @[Reg.scala 28:19] _T_3958 <= _T_3956 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 522:19] + buf_dualhi[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 523:19] node _T_3959 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_3959 : @[Conditional.scala 40:58] - node _T_3960 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3961 = mux(_T_3960, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[3] <= _T_3961 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3962 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3963 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3964 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3966 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3967 = and(_T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3968 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3969 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3970 = and(_T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3971 = or(_T_3967, _T_3970) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3972 = and(_T_3962, _T_3971) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3973 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3974 = and(ibuf_drain_vld, _T_3973) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3975 = or(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[3] <= _T_3975 @[el2_lsu_bus_buffer.scala 460:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 461:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3976 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3977 = and(ibuf_drain_vld, _T_3976) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3978 = bits(_T_3977, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3979 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3980 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3981 = mux(_T_3978, _T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[3] <= _T_3981 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3960 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] + node _T_3961 = mux(_T_3960, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] + buf_nxtstate[3] <= _T_3961 @[el2_lsu_bus_buffer.scala 460:25] + node _T_3962 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] + node _T_3963 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3964 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] + node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 461:95] + node _T_3966 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] + node _T_3967 = and(_T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 461:112] + node _T_3968 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] + node _T_3969 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] + node _T_3970 = and(_T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 461:161] + node _T_3971 = or(_T_3967, _T_3970) @[el2_lsu_bus_buffer.scala 461:132] + node _T_3972 = and(_T_3962, _T_3971) @[el2_lsu_bus_buffer.scala 461:63] + node _T_3973 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] + node _T_3974 = and(ibuf_drain_vld, _T_3973) @[el2_lsu_bus_buffer.scala 461:201] + node _T_3975 = or(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 461:183] + buf_state_en[3] <= _T_3975 @[el2_lsu_bus_buffer.scala 461:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 462:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 463:24] + node _T_3976 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] + node _T_3977 = and(ibuf_drain_vld, _T_3976) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3978 = bits(_T_3977, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] + node _T_3979 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] + node _T_3980 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] + node _T_3981 = mux(_T_3978, _T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 464:30] + buf_data_in[3] <= _T_3981 @[el2_lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3982 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_3982 : @[Conditional.scala 39:67] - node _T_3983 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3984 = mux(_T_3983, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[3] <= _T_3984 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3985 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[3] <= _T_3985 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3983 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] + node _T_3984 = mux(_T_3983, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] + buf_nxtstate[3] <= _T_3984 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3985 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] + buf_state_en[3] <= _T_3985 @[el2_lsu_bus_buffer.scala 468:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3986 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_3986 : @[Conditional.scala 39:67] - node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3988 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3989 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3990 = and(_T_3988, _T_3989) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3991 = mux(_T_3990, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3992 = mux(_T_3987, UInt<3>("h00"), _T_3991) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[3] <= _T_3992 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3993 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3994 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3995 = and(obuf_merge, _T_3994) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3996 = or(_T_3993, _T_3995) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3997 = and(_T_3996, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3998 = and(_T_3997, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[3] <= _T_3998 @[el2_lsu_bus_buffer.scala 471:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3999 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_4000 = or(_T_3999, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[3] <= _T_4000 @[el2_lsu_bus_buffer.scala 473:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_4001 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 475:56] - node _T_4002 = eq(_T_4001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_4003 = and(buf_state_en[3], _T_4002) @[el2_lsu_bus_buffer.scala 475:44] - node _T_4004 = and(_T_4003, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_4005 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_4006 = and(_T_4004, _T_4005) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[3] <= _T_4006 @[el2_lsu_bus_buffer.scala 475:25] - node _T_4007 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[3] <= _T_4007 @[el2_lsu_bus_buffer.scala 476:28] - node _T_4008 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_4009 = and(_T_4008, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_4010 = and(_T_4009, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[3] <= _T_4010 @[el2_lsu_bus_buffer.scala 477:24] - node _T_4011 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_4012 = and(_T_4011, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_4013 = and(_T_4012, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[3] <= _T_4013 @[el2_lsu_bus_buffer.scala 478:25] - node _T_4014 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_4015 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_4016 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_4017 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_4018 = mux(_T_4015, _T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 479:73] - node _T_4019 = mux(buf_error_en[3], _T_4014, _T_4018) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[3] <= _T_4019 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] + node _T_3988 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] + node _T_3989 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] + node _T_3990 = and(_T_3988, _T_3989) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3991 = mux(_T_3990, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] + node _T_3992 = mux(_T_3987, UInt<3>("h00"), _T_3991) @[el2_lsu_bus_buffer.scala 471:31] + buf_nxtstate[3] <= _T_3992 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3993 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3994 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 472:104] + node _T_3995 = and(obuf_merge, _T_3994) @[el2_lsu_bus_buffer.scala 472:91] + node _T_3996 = or(_T_3993, _T_3995) @[el2_lsu_bus_buffer.scala 472:77] + node _T_3997 = and(_T_3996, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] + node _T_3998 = and(_T_3997, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] + buf_cmd_state_bus_en[3] <= _T_3998 @[el2_lsu_bus_buffer.scala 472:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 473:29] + node _T_3999 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] + node _T_4000 = or(_T_3999, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] + buf_state_en[3] <= _T_4000 @[el2_lsu_bus_buffer.scala 474:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] + node _T_4001 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 476:56] + node _T_4002 = eq(_T_4001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] + node _T_4003 = and(buf_state_en[3], _T_4002) @[el2_lsu_bus_buffer.scala 476:44] + node _T_4004 = and(_T_4003, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] + node _T_4005 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] + node _T_4006 = and(_T_4004, _T_4005) @[el2_lsu_bus_buffer.scala 476:74] + buf_ldfwd_en[3] <= _T_4006 @[el2_lsu_bus_buffer.scala 476:25] + node _T_4007 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] + buf_ldfwdtag_in[3] <= _T_4007 @[el2_lsu_bus_buffer.scala 477:28] + node _T_4008 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] + node _T_4009 = and(_T_4008, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] + node _T_4010 = and(_T_4009, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] + buf_data_en[3] <= _T_4010 @[el2_lsu_bus_buffer.scala 478:24] + node _T_4011 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] + node _T_4012 = and(_T_4011, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] + node _T_4013 = and(_T_4012, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] + buf_error_en[3] <= _T_4013 @[el2_lsu_bus_buffer.scala 479:25] + node _T_4014 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] + node _T_4015 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] + node _T_4016 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] + node _T_4017 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] + node _T_4018 = mux(_T_4015, _T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 480:73] + node _T_4019 = mux(buf_error_en[3], _T_4014, _T_4018) @[el2_lsu_bus_buffer.scala 480:30] + buf_data_in[3] <= _T_4019 @[el2_lsu_bus_buffer.scala 480:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4020 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4020 : @[Conditional.scala 39:67] - node _T_4021 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] - node _T_4022 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_4023 = eq(_T_4022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_4024 = and(_T_4021, _T_4023) @[el2_lsu_bus_buffer.scala 482:71] - node _T_4025 = or(io.dec_tlu_force_halt, _T_4024) @[el2_lsu_bus_buffer.scala 482:55] - node _T_4026 = bits(_T_4025, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_4027 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_4028 = and(buf_dual[3], _T_4027) @[el2_lsu_bus_buffer.scala 483:28] - node _T_4029 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:57] - node _T_4030 = eq(_T_4029, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_4031 = and(_T_4028, _T_4030) @[el2_lsu_bus_buffer.scala 483:45] - node _T_4032 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_4033 = and(_T_4031, _T_4032) @[el2_lsu_bus_buffer.scala 483:61] - node _T_4034 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 484:27] - node _T_4035 = or(_T_4034, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_4036 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_4037 = and(buf_dual[3], _T_4036) @[el2_lsu_bus_buffer.scala 484:68] - node _T_4038 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:97] - node _T_4039 = eq(_T_4038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_4040 = and(_T_4037, _T_4039) @[el2_lsu_bus_buffer.scala 484:85] + node _T_4021 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] + node _T_4022 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] + node _T_4023 = eq(_T_4022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] + node _T_4024 = and(_T_4021, _T_4023) @[el2_lsu_bus_buffer.scala 483:71] + node _T_4025 = or(io.dec_tlu_force_halt, _T_4024) @[el2_lsu_bus_buffer.scala 483:55] + node _T_4026 = bits(_T_4025, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] + node _T_4027 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] + node _T_4028 = and(buf_dual[3], _T_4027) @[el2_lsu_bus_buffer.scala 484:28] + node _T_4029 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:57] + node _T_4030 = eq(_T_4029, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] + node _T_4031 = and(_T_4028, _T_4030) @[el2_lsu_bus_buffer.scala 484:45] + node _T_4032 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] + node _T_4033 = and(_T_4031, _T_4032) @[el2_lsu_bus_buffer.scala 484:61] + node _T_4034 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 485:27] + node _T_4035 = or(_T_4034, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] + node _T_4036 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] + node _T_4037 = and(buf_dual[3], _T_4036) @[el2_lsu_bus_buffer.scala 485:68] + node _T_4038 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 485:97] + node _T_4039 = eq(_T_4038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] + node _T_4040 = and(_T_4037, _T_4039) @[el2_lsu_bus_buffer.scala 485:85] node _T_4041 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_4042 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_4043 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -5250,172 +5250,172 @@ circuit el2_lsu_bus_buffer : node _T_4055 = or(_T_4054, _T_4052) @[Mux.scala 27:72] wire _T_4056 : UInt<1> @[Mux.scala 27:72] _T_4056 <= _T_4055 @[Mux.scala 27:72] - node _T_4057 = and(_T_4040, _T_4056) @[el2_lsu_bus_buffer.scala 484:101] - node _T_4058 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 484:138] - node _T_4060 = and(_T_4059, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_4061 = or(_T_4035, _T_4060) @[el2_lsu_bus_buffer.scala 484:53] - node _T_4062 = mux(_T_4061, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_4063 = mux(_T_4033, UInt<3>("h04"), _T_4062) @[el2_lsu_bus_buffer.scala 483:14] - node _T_4064 = mux(_T_4026, UInt<3>("h00"), _T_4063) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 482:25] - node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_4066 = and(bus_rsp_write, _T_4065) @[el2_lsu_bus_buffer.scala 485:52] - node _T_4067 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_4068 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 487:23] - node _T_4069 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_4070 = and(_T_4068, _T_4069) @[el2_lsu_bus_buffer.scala 487:27] - node _T_4071 = or(_T_4067, _T_4070) @[el2_lsu_bus_buffer.scala 486:77] - node _T_4072 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_4073 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 488:54] - node _T_4074 = not(_T_4073) @[el2_lsu_bus_buffer.scala 488:44] - node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 488:42] - node _T_4076 = and(_T_4075, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_4077 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_4078 = and(_T_4076, _T_4077) @[el2_lsu_bus_buffer.scala 488:74] - node _T_4079 = or(_T_4071, _T_4078) @[el2_lsu_bus_buffer.scala 487:71] - node _T_4080 = and(bus_rsp_read, _T_4079) @[el2_lsu_bus_buffer.scala 486:25] - node _T_4081 = or(_T_4066, _T_4080) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[3] <= _T_4081 @[el2_lsu_bus_buffer.scala 485:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 489:29] - node _T_4082 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[3] <= _T_4083 @[el2_lsu_bus_buffer.scala 490:25] - node _T_4084 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_4085 = and(_T_4084, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[3] <= _T_4085 @[el2_lsu_bus_buffer.scala 491:24] - node _T_4086 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_4087 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 492:91] - node _T_4089 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 493:42] - node _T_4090 = and(bus_rsp_read_error, _T_4089) @[el2_lsu_bus_buffer.scala 493:31] - node _T_4091 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_4092 = and(_T_4090, _T_4091) @[el2_lsu_bus_buffer.scala 493:46] - node _T_4093 = or(_T_4088, _T_4092) @[el2_lsu_bus_buffer.scala 492:143] - node _T_4094 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_4095 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 494:53] - node _T_4097 = or(_T_4093, _T_4096) @[el2_lsu_bus_buffer.scala 493:88] - node _T_4098 = and(_T_4086, _T_4097) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[3] <= _T_4098 @[el2_lsu_bus_buffer.scala 492:25] - node _T_4099 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_4100 = and(buf_state_en[3], _T_4099) @[el2_lsu_bus_buffer.scala 495:48] - node _T_4101 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_4102 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_4104 = mux(_T_4101, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 495:72] - node _T_4105 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_4106 = mux(_T_4100, _T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[3] <= _T_4106 @[el2_lsu_bus_buffer.scala 495:24] + node _T_4057 = and(_T_4040, _T_4056) @[el2_lsu_bus_buffer.scala 485:101] + node _T_4058 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] + node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 485:138] + node _T_4060 = and(_T_4059, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] + node _T_4061 = or(_T_4035, _T_4060) @[el2_lsu_bus_buffer.scala 485:53] + node _T_4062 = mux(_T_4061, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] + node _T_4063 = mux(_T_4033, UInt<3>("h04"), _T_4062) @[el2_lsu_bus_buffer.scala 484:14] + node _T_4064 = mux(_T_4026, UInt<3>("h00"), _T_4063) @[el2_lsu_bus_buffer.scala 483:31] + buf_nxtstate[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 483:25] + node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:73] + node _T_4066 = and(bus_rsp_write, _T_4065) @[el2_lsu_bus_buffer.scala 486:52] + node _T_4067 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 487:46] + node _T_4068 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 488:23] + node _T_4069 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 488:47] + node _T_4070 = and(_T_4068, _T_4069) @[el2_lsu_bus_buffer.scala 488:27] + node _T_4071 = or(_T_4067, _T_4070) @[el2_lsu_bus_buffer.scala 487:77] + node _T_4072 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 489:26] + node _T_4073 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 489:54] + node _T_4074 = not(_T_4073) @[el2_lsu_bus_buffer.scala 489:44] + node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 489:42] + node _T_4076 = and(_T_4075, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 489:58] + node _T_4077 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 489:94] + node _T_4078 = and(_T_4076, _T_4077) @[el2_lsu_bus_buffer.scala 489:74] + node _T_4079 = or(_T_4071, _T_4078) @[el2_lsu_bus_buffer.scala 488:71] + node _T_4080 = and(bus_rsp_read, _T_4079) @[el2_lsu_bus_buffer.scala 487:25] + node _T_4081 = or(_T_4066, _T_4080) @[el2_lsu_bus_buffer.scala 486:105] + buf_resp_state_bus_en[3] <= _T_4081 @[el2_lsu_bus_buffer.scala 486:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 490:29] + node _T_4082 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[3] <= _T_4083 @[el2_lsu_bus_buffer.scala 491:25] + node _T_4084 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] + node _T_4085 = and(_T_4084, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] + buf_data_en[3] <= _T_4085 @[el2_lsu_bus_buffer.scala 492:24] + node _T_4086 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_4087 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 493:111] + node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 493:91] + node _T_4089 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:42] + node _T_4090 = and(bus_rsp_read_error, _T_4089) @[el2_lsu_bus_buffer.scala 494:31] + node _T_4091 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 494:66] + node _T_4092 = and(_T_4090, _T_4091) @[el2_lsu_bus_buffer.scala 494:46] + node _T_4093 = or(_T_4088, _T_4092) @[el2_lsu_bus_buffer.scala 493:143] + node _T_4094 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] + node _T_4095 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 495:74] + node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 495:53] + node _T_4097 = or(_T_4093, _T_4096) @[el2_lsu_bus_buffer.scala 494:88] + node _T_4098 = and(_T_4086, _T_4097) @[el2_lsu_bus_buffer.scala 493:68] + buf_error_en[3] <= _T_4098 @[el2_lsu_bus_buffer.scala 493:25] + node _T_4099 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] + node _T_4100 = and(buf_state_en[3], _T_4099) @[el2_lsu_bus_buffer.scala 496:48] + node _T_4101 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] + node _T_4102 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] + node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] + node _T_4104 = mux(_T_4101, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 496:72] + node _T_4105 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] + node _T_4106 = mux(_T_4100, _T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[3] <= _T_4106 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4107 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4107 : @[Conditional.scala 39:67] - node _T_4108 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_4109 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 498:86] - node _T_4110 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_4111 = bits(_T_4110, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_4112 = or(_T_4109, _T_4111) @[el2_lsu_bus_buffer.scala 498:90] - node _T_4113 = or(_T_4112, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_4114 = mux(_T_4113, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_4115 = mux(_T_4108, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[3] <= _T_4115 @[el2_lsu_bus_buffer.scala 498:25] - node _T_4116 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_4117 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_4118 = bits(_T_4117, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_4119 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_4120 = and(_T_4118, _T_4119) @[el2_lsu_bus_buffer.scala 500:38] - node _T_4121 = or(_T_4116, _T_4120) @[el2_lsu_bus_buffer.scala 499:95] - node _T_4122 = and(bus_rsp_read, _T_4121) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[3] <= _T_4122 @[el2_lsu_bus_buffer.scala 499:29] - node _T_4123 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_4124 = or(_T_4123, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 501:25] + node _T_4108 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_4109 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 499:86] + node _T_4110 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:101] + node _T_4111 = bits(_T_4110, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] + node _T_4112 = or(_T_4109, _T_4111) @[el2_lsu_bus_buffer.scala 499:90] + node _T_4113 = or(_T_4112, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] + node _T_4114 = mux(_T_4113, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] + node _T_4115 = mux(_T_4108, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[3] <= _T_4115 @[el2_lsu_bus_buffer.scala 499:25] + node _T_4116 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_4117 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 501:21] + node _T_4118 = bits(_T_4117, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] + node _T_4119 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 501:58] + node _T_4120 = and(_T_4118, _T_4119) @[el2_lsu_bus_buffer.scala 501:38] + node _T_4121 = or(_T_4116, _T_4120) @[el2_lsu_bus_buffer.scala 500:95] + node _T_4122 = and(bus_rsp_read, _T_4121) @[el2_lsu_bus_buffer.scala 500:45] + buf_state_bus_en[3] <= _T_4122 @[el2_lsu_bus_buffer.scala 500:29] + node _T_4123 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] + node _T_4124 = or(_T_4123, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] + buf_state_en[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4125 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4125 : @[Conditional.scala 39:67] - node _T_4126 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_4127 = mux(_T_4126, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[3] <= _T_4127 @[el2_lsu_bus_buffer.scala 504:25] - node _T_4128 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_4129 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_4130 = and(buf_dual[3], _T_4129) @[el2_lsu_bus_buffer.scala 505:80] - node _T_4131 = or(_T_4128, _T_4130) @[el2_lsu_bus_buffer.scala 505:65] - node _T_4132 = or(_T_4131, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[3] <= _T_4132 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4126 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_4127 = mux(_T_4126, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[3] <= _T_4127 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4128 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 506:37] + node _T_4129 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] + node _T_4130 = and(buf_dual[3], _T_4129) @[el2_lsu_bus_buffer.scala 506:80] + node _T_4131 = or(_T_4128, _T_4130) @[el2_lsu_bus_buffer.scala 506:65] + node _T_4132 = or(_T_4131, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] + buf_state_en[3] <= _T_4132 @[el2_lsu_bus_buffer.scala 506:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4133 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4133 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 512:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 513:25] skip @[Conditional.scala 39:67] - node _T_4134 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + node _T_4134 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] reg _T_4135 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4134 : @[Reg.scala 28:19] _T_4135 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_4136 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_4136 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_4137 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 517:20] - node _T_4138 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + buf_state[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 516:18] + reg _T_4136 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] + _T_4136 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 517:60] + buf_ageQ[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 517:17] + reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] + _T_4137 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 518:63] + buf_rspageQ[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 518:20] + node _T_4138 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] reg _T_4139 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4138 : @[Reg.scala 28:19] _T_4139 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4139 @[el2_lsu_bus_buffer.scala 518:20] - node _T_4140 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 519:74] - node _T_4141 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + buf_dualtag[3] <= _T_4139 @[el2_lsu_bus_buffer.scala 519:20] + node _T_4140 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:74] + node _T_4141 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] reg _T_4142 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4141 : @[Reg.scala 28:19] _T_4142 <= _T_4140 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 519:17] - node _T_4143 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:78] - node _T_4144 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + buf_dual[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 520:17] + node _T_4143 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:78] + node _T_4144 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] reg _T_4145 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4144 : @[Reg.scala 28:19] _T_4145 <= _T_4143 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 520:19] - node _T_4146 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:80] - node _T_4147 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + buf_samedw[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 521:19] + node _T_4146 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 522:80] + node _T_4147 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] reg _T_4148 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4147 : @[Reg.scala 28:19] _T_4148 <= _T_4146 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 521:20] - node _T_4149 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 522:78] - node _T_4150 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + buf_nomerge[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 522:20] + node _T_4149 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 523:78] + node _T_4150 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] reg _T_4151 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4150 : @[Reg.scala 28:19] _T_4151 <= _T_4149 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 522:19] - node _T_4152 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + buf_dualhi[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 523:19] + node _T_4152 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] reg _T_4153 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4152 : @[Reg.scala 28:19] _T_4153 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4154 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + node _T_4154 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] reg _T_4155 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4154 : @[Reg.scala 28:19] _T_4155 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4156 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + node _T_4156 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] reg _T_4157 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4156 : @[Reg.scala 28:19] _T_4157 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4158 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + node _T_4158 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] reg _T_4159 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4158 : @[Reg.scala 28:19] _T_4159 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5423,51 +5423,51 @@ circuit el2_lsu_bus_buffer : node _T_4160 = cat(_T_4159, _T_4157) @[Cat.scala 29:58] node _T_4161 = cat(_T_4160, _T_4155) @[Cat.scala 29:58] node _T_4162 = cat(_T_4161, _T_4153) @[Cat.scala 29:58] - buf_ldfwd <= _T_4162 @[el2_lsu_bus_buffer.scala 525:15] - node _T_4163 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + buf_ldfwd <= _T_4162 @[el2_lsu_bus_buffer.scala 526:15] + node _T_4163 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] reg _T_4164 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4163 : @[Reg.scala 28:19] _T_4164 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4165 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + node _T_4165 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] reg _T_4166 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4165 : @[Reg.scala 28:19] _T_4166 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4167 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + node _T_4167 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] reg _T_4168 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4167 : @[Reg.scala 28:19] _T_4168 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4169 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + node _T_4169 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] reg _T_4170 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4169 : @[Reg.scala 28:19] _T_4170 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4164 @[el2_lsu_bus_buffer.scala 526:18] - buf_ldfwdtag[1] <= _T_4166 @[el2_lsu_bus_buffer.scala 526:18] - buf_ldfwdtag[2] <= _T_4168 @[el2_lsu_bus_buffer.scala 526:18] - buf_ldfwdtag[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 526:18] - node _T_4171 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4172 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + buf_ldfwdtag[0] <= _T_4164 @[el2_lsu_bus_buffer.scala 527:18] + buf_ldfwdtag[1] <= _T_4166 @[el2_lsu_bus_buffer.scala 527:18] + buf_ldfwdtag[2] <= _T_4168 @[el2_lsu_bus_buffer.scala 527:18] + buf_ldfwdtag[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 527:18] + node _T_4171 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:107] + node _T_4172 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] reg _T_4173 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4172 : @[Reg.scala 28:19] _T_4173 <= _T_4171 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4174 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4175 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + node _T_4174 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:107] + node _T_4175 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] reg _T_4176 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4175 : @[Reg.scala 28:19] _T_4176 <= _T_4174 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4177 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4178 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + node _T_4177 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:107] + node _T_4178 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] reg _T_4179 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4178 : @[Reg.scala 28:19] _T_4179 <= _T_4177 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4180 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4181 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + node _T_4180 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:107] + node _T_4181 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] reg _T_4182 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4181 : @[Reg.scala 28:19] _T_4182 <= _T_4180 @[Reg.scala 28:23] @@ -5475,27 +5475,27 @@ circuit el2_lsu_bus_buffer : node _T_4183 = cat(_T_4182, _T_4179) @[Cat.scala 29:58] node _T_4184 = cat(_T_4183, _T_4176) @[Cat.scala 29:58] node _T_4185 = cat(_T_4184, _T_4173) @[Cat.scala 29:58] - buf_sideeffect <= _T_4185 @[el2_lsu_bus_buffer.scala 527:20] - node _T_4186 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4187 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + buf_sideeffect <= _T_4185 @[el2_lsu_bus_buffer.scala 528:20] + node _T_4186 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:99] + node _T_4187 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] reg _T_4188 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4187 : @[Reg.scala 28:19] _T_4188 <= _T_4186 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4189 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4190 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + node _T_4189 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:99] + node _T_4190 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] reg _T_4191 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4190 : @[Reg.scala 28:19] _T_4191 <= _T_4189 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4192 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4193 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + node _T_4192 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:99] + node _T_4193 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] reg _T_4194 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4193 : @[Reg.scala 28:19] _T_4194 <= _T_4192 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4195 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4196 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + node _T_4195 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:99] + node _T_4196 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] reg _T_4197 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4196 : @[Reg.scala 28:19] _T_4197 <= _T_4195 @[Reg.scala 28:23] @@ -5503,27 +5503,27 @@ circuit el2_lsu_bus_buffer : node _T_4198 = cat(_T_4197, _T_4194) @[Cat.scala 29:58] node _T_4199 = cat(_T_4198, _T_4191) @[Cat.scala 29:58] node _T_4200 = cat(_T_4199, _T_4188) @[Cat.scala 29:58] - buf_unsign <= _T_4200 @[el2_lsu_bus_buffer.scala 528:16] - node _T_4201 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4202 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] + buf_unsign <= _T_4200 @[el2_lsu_bus_buffer.scala 529:16] + node _T_4201 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 530:97] + node _T_4202 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] reg _T_4203 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4202 : @[Reg.scala 28:19] _T_4203 <= _T_4201 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4204 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4205 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] + node _T_4204 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 530:97] + node _T_4205 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] reg _T_4206 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4205 : @[Reg.scala 28:19] _T_4206 <= _T_4204 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4207 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4208 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] + node _T_4207 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 530:97] + node _T_4208 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] reg _T_4209 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4208 : @[Reg.scala 28:19] _T_4209 <= _T_4207 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4210 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4211 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] + node _T_4210 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 530:97] + node _T_4211 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4211 : @[Reg.scala 28:19] _T_4212 <= _T_4210 @[Reg.scala 28:23] @@ -5531,32 +5531,32 @@ circuit el2_lsu_bus_buffer : node _T_4213 = cat(_T_4212, _T_4209) @[Cat.scala 29:58] node _T_4214 = cat(_T_4213, _T_4206) @[Cat.scala 29:58] node _T_4215 = cat(_T_4214, _T_4203) @[Cat.scala 29:58] - buf_write <= _T_4215 @[el2_lsu_bus_buffer.scala 529:15] - node _T_4216 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + buf_write <= _T_4215 @[el2_lsu_bus_buffer.scala 530:15] + node _T_4216 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] reg _T_4217 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4216 : @[Reg.scala 28:19] _T_4217 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4218 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + node _T_4218 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] reg _T_4219 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4218 : @[Reg.scala 28:19] _T_4219 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4220 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + node _T_4220 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] _T_4221 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + node _T_4222 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4222 : @[Reg.scala 28:19] _T_4223 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4217 @[el2_lsu_bus_buffer.scala 530:12] - buf_sz[1] <= _T_4219 @[el2_lsu_bus_buffer.scala 530:12] - buf_sz[2] <= _T_4221 @[el2_lsu_bus_buffer.scala 530:12] - buf_sz[3] <= _T_4223 @[el2_lsu_bus_buffer.scala 530:12] - node _T_4224 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + buf_sz[0] <= _T_4217 @[el2_lsu_bus_buffer.scala 531:12] + buf_sz[1] <= _T_4219 @[el2_lsu_bus_buffer.scala 531:12] + buf_sz[2] <= _T_4221 @[el2_lsu_bus_buffer.scala 531:12] + buf_sz[3] <= _T_4223 @[el2_lsu_bus_buffer.scala 531:12] + node _T_4224 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5565,7 +5565,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4225 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4225 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4226 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + node _T_4226 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5574,7 +5574,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4227 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4227 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4228 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + node _T_4228 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5583,7 +5583,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4229 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4229 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4230 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + node _T_4230 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5592,34 +5592,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4231 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4231 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4225 @[el2_lsu_bus_buffer.scala 531:14] - buf_addr[1] <= _T_4227 @[el2_lsu_bus_buffer.scala 531:14] - buf_addr[2] <= _T_4229 @[el2_lsu_bus_buffer.scala 531:14] - buf_addr[3] <= _T_4231 @[el2_lsu_bus_buffer.scala 531:14] - node _T_4232 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + buf_addr[0] <= _T_4225 @[el2_lsu_bus_buffer.scala 532:14] + buf_addr[1] <= _T_4227 @[el2_lsu_bus_buffer.scala 532:14] + buf_addr[2] <= _T_4229 @[el2_lsu_bus_buffer.scala 532:14] + buf_addr[3] <= _T_4231 @[el2_lsu_bus_buffer.scala 532:14] + node _T_4232 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] reg _T_4233 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + node _T_4234 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] reg _T_4235 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4234 : @[Reg.scala 28:19] _T_4235 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4236 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + node _T_4236 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] reg _T_4237 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4236 : @[Reg.scala 28:19] _T_4237 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] reg _T_4239 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4238 : @[Reg.scala 28:19] _T_4239 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4233 @[el2_lsu_bus_buffer.scala 532:16] - buf_byteen[1] <= _T_4235 @[el2_lsu_bus_buffer.scala 532:16] - buf_byteen[2] <= _T_4237 @[el2_lsu_bus_buffer.scala 532:16] - buf_byteen[3] <= _T_4239 @[el2_lsu_bus_buffer.scala 532:16] + buf_byteen[0] <= _T_4233 @[el2_lsu_bus_buffer.scala 533:16] + buf_byteen[1] <= _T_4235 @[el2_lsu_bus_buffer.scala 533:16] + buf_byteen[2] <= _T_4237 @[el2_lsu_bus_buffer.scala 533:16] + buf_byteen[3] <= _T_4239 @[el2_lsu_bus_buffer.scala 533:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5652,183 +5652,183 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4243 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4243 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4240 @[el2_lsu_bus_buffer.scala 533:14] - buf_data[1] <= _T_4241 @[el2_lsu_bus_buffer.scala 533:14] - buf_data[2] <= _T_4242 @[el2_lsu_bus_buffer.scala 533:14] - buf_data[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 533:14] - node _T_4244 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4245 = mux(buf_error_en[0], UInt<1>("h01"), _T_4244) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4246 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 534:128] - node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4248 <= _T_4247 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4249 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4250 = mux(buf_error_en[1], UInt<1>("h01"), _T_4249) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4251 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 534:128] - node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4253 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4253 <= _T_4252 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4254 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4255 = mux(buf_error_en[2], UInt<1>("h01"), _T_4254) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4256 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 534:128] - node _T_4257 = and(_T_4255, _T_4256) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4258 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4258 <= _T_4257 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4259 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4260 = mux(buf_error_en[3], UInt<1>("h01"), _T_4259) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4261 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 534:128] - node _T_4262 = and(_T_4260, _T_4261) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4263 <= _T_4262 @[el2_lsu_bus_buffer.scala 534:82] + buf_data[0] <= _T_4240 @[el2_lsu_bus_buffer.scala 534:14] + buf_data[1] <= _T_4241 @[el2_lsu_bus_buffer.scala 534:14] + buf_data[2] <= _T_4242 @[el2_lsu_bus_buffer.scala 534:14] + buf_data[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 534:14] + node _T_4244 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 535:121] + node _T_4245 = mux(buf_error_en[0], UInt<1>("h01"), _T_4244) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4246 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] + node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 535:126] + reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] + _T_4248 <= _T_4247 @[el2_lsu_bus_buffer.scala 535:82] + node _T_4249 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 535:121] + node _T_4250 = mux(buf_error_en[1], UInt<1>("h01"), _T_4249) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4251 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] + node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 535:126] + reg _T_4253 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] + _T_4253 <= _T_4252 @[el2_lsu_bus_buffer.scala 535:82] + node _T_4254 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 535:121] + node _T_4255 = mux(buf_error_en[2], UInt<1>("h01"), _T_4254) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4256 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] + node _T_4257 = and(_T_4255, _T_4256) @[el2_lsu_bus_buffer.scala 535:126] + reg _T_4258 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] + _T_4258 <= _T_4257 @[el2_lsu_bus_buffer.scala 535:82] + node _T_4259 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 535:121] + node _T_4260 = mux(buf_error_en[3], UInt<1>("h01"), _T_4259) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4261 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] + node _T_4262 = and(_T_4260, _T_4261) @[el2_lsu_bus_buffer.scala 535:126] + reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] + _T_4263 <= _T_4262 @[el2_lsu_bus_buffer.scala 535:82] node _T_4264 = cat(_T_4263, _T_4258) @[Cat.scala 29:58] node _T_4265 = cat(_T_4264, _T_4253) @[Cat.scala 29:58] node _T_4266 = cat(_T_4265, _T_4248) @[Cat.scala 29:58] - buf_error <= _T_4266 @[el2_lsu_bus_buffer.scala 534:15] - node _T_4267 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4268 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4269 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4270 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4271 = add(_T_4270, _T_4269) @[el2_lsu_bus_buffer.scala 536:96] - node _T_4272 = add(_T_4271, _T_4268) @[el2_lsu_bus_buffer.scala 536:96] - node buf_numvld_any = add(_T_4272, _T_4267) @[el2_lsu_bus_buffer.scala 536:96] - node _T_4273 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4274 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4275 = eq(_T_4274, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4276 = and(_T_4273, _T_4275) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4277 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4278 = and(_T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4279 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4280 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4281 = eq(_T_4280, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4282 = and(_T_4279, _T_4281) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4283 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4284 = and(_T_4282, _T_4283) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4285 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4286 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4287 = eq(_T_4286, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4288 = and(_T_4285, _T_4287) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4289 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4290 = and(_T_4288, _T_4289) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4291 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4292 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4293 = eq(_T_4292, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4294 = and(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4295 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4296 = and(_T_4294, _T_4295) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4297 = add(_T_4296, _T_4290) @[el2_lsu_bus_buffer.scala 537:142] - node _T_4298 = add(_T_4297, _T_4284) @[el2_lsu_bus_buffer.scala 537:142] - node _T_4299 = add(_T_4298, _T_4278) @[el2_lsu_bus_buffer.scala 537:142] - buf_numvld_wrcmd_any <= _T_4299 @[el2_lsu_bus_buffer.scala 537:24] - node _T_4300 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4301 = eq(_T_4300, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4302 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4303 = and(_T_4301, _T_4302) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4304 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4305 = eq(_T_4304, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4306 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4307 = and(_T_4305, _T_4306) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4308 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4309 = eq(_T_4308, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4310 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4311 = and(_T_4309, _T_4310) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4312 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4313 = eq(_T_4312, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4314 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4315 = and(_T_4313, _T_4314) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4316 = add(_T_4315, _T_4311) @[el2_lsu_bus_buffer.scala 538:126] - node _T_4317 = add(_T_4316, _T_4307) @[el2_lsu_bus_buffer.scala 538:126] - node _T_4318 = add(_T_4317, _T_4303) @[el2_lsu_bus_buffer.scala 538:126] - buf_numvld_cmd_any <= _T_4318 @[el2_lsu_bus_buffer.scala 538:22] - node _T_4319 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4320 = eq(_T_4319, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4321 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4322 = eq(_T_4321, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4323 = or(_T_4320, _T_4322) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4324 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4325 = and(_T_4323, _T_4324) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4326 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4327 = eq(_T_4326, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4328 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4329 = eq(_T_4328, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4330 = or(_T_4327, _T_4329) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4333 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4334 = eq(_T_4333, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4335 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4336 = eq(_T_4335, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4337 = or(_T_4334, _T_4336) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4338 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4340 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4341 = eq(_T_4340, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4342 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4343 = eq(_T_4342, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4344 = or(_T_4341, _T_4343) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4345 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4346 = and(_T_4344, _T_4345) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4347 = add(_T_4346, _T_4339) @[el2_lsu_bus_buffer.scala 539:153] - node _T_4348 = add(_T_4347, _T_4332) @[el2_lsu_bus_buffer.scala 539:153] - node _T_4349 = add(_T_4348, _T_4325) @[el2_lsu_bus_buffer.scala 539:153] - buf_numvld_pend_any <= _T_4349 @[el2_lsu_bus_buffer.scala 539:23] - node _T_4350 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4351 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4352 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4353 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4354 = or(_T_4353, _T_4352) @[el2_lsu_bus_buffer.scala 540:93] - node _T_4355 = or(_T_4354, _T_4351) @[el2_lsu_bus_buffer.scala 540:93] - node _T_4356 = or(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 540:93] - any_done_wait_state <= _T_4356 @[el2_lsu_bus_buffer.scala 540:23] - node _T_4357 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 541:53] - io.lsu_bus_buffer_pend_any <= _T_4357 @[el2_lsu_bus_buffer.scala 541:30] - node _T_4358 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 542:52] - node _T_4359 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:92] - node _T_4360 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:119] - node _T_4361 = mux(_T_4358, _T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 542:36] - io.lsu_bus_buffer_full_any <= _T_4361 @[el2_lsu_bus_buffer.scala 542:30] - node _T_4362 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4363 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4364 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4365 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4366 = or(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 543:65] - node _T_4367 = or(_T_4366, _T_4364) @[el2_lsu_bus_buffer.scala 543:65] - node _T_4368 = or(_T_4367, _T_4365) @[el2_lsu_bus_buffer.scala 543:65] - node _T_4369 = eq(_T_4368, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:34] - node _T_4370 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:72] - node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 543:70] - node _T_4372 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4373 = and(_T_4371, _T_4372) @[el2_lsu_bus_buffer.scala 543:84] - io.lsu_bus_buffer_empty_any <= _T_4373 @[el2_lsu_bus_buffer.scala 543:31] - node _T_4374 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 545:51] - node _T_4375 = and(_T_4374, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 545:72] - node _T_4376 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:94] - node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 545:92] - node _T_4378 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:111] - node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 545:109] - io.lsu_nonblock_load_valid_m <= _T_4379 @[el2_lsu_bus_buffer.scala 545:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 546:30] + buf_error <= _T_4266 @[el2_lsu_bus_buffer.scala 535:15] + node _T_4267 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4268 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4269 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4270 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4271 = add(_T_4270, _T_4269) @[el2_lsu_bus_buffer.scala 537:96] + node _T_4272 = add(_T_4271, _T_4268) @[el2_lsu_bus_buffer.scala 537:96] + node buf_numvld_any = add(_T_4272, _T_4267) @[el2_lsu_bus_buffer.scala 537:96] + node _T_4273 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4274 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:76] + node _T_4275 = eq(_T_4274, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] + node _T_4276 = and(_T_4273, _T_4275) @[el2_lsu_bus_buffer.scala 538:64] + node _T_4277 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] + node _T_4278 = and(_T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 538:89] + node _T_4279 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4280 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:76] + node _T_4281 = eq(_T_4280, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] + node _T_4282 = and(_T_4279, _T_4281) @[el2_lsu_bus_buffer.scala 538:64] + node _T_4283 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] + node _T_4284 = and(_T_4282, _T_4283) @[el2_lsu_bus_buffer.scala 538:89] + node _T_4285 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4286 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:76] + node _T_4287 = eq(_T_4286, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] + node _T_4288 = and(_T_4285, _T_4287) @[el2_lsu_bus_buffer.scala 538:64] + node _T_4289 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] + node _T_4290 = and(_T_4288, _T_4289) @[el2_lsu_bus_buffer.scala 538:89] + node _T_4291 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4292 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:76] + node _T_4293 = eq(_T_4292, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] + node _T_4294 = and(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 538:64] + node _T_4295 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] + node _T_4296 = and(_T_4294, _T_4295) @[el2_lsu_bus_buffer.scala 538:89] + node _T_4297 = add(_T_4296, _T_4290) @[el2_lsu_bus_buffer.scala 538:142] + node _T_4298 = add(_T_4297, _T_4284) @[el2_lsu_bus_buffer.scala 538:142] + node _T_4299 = add(_T_4298, _T_4278) @[el2_lsu_bus_buffer.scala 538:142] + buf_numvld_wrcmd_any <= _T_4299 @[el2_lsu_bus_buffer.scala 538:24] + node _T_4300 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4301 = eq(_T_4300, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] + node _T_4302 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] + node _T_4303 = and(_T_4301, _T_4302) @[el2_lsu_bus_buffer.scala 539:73] + node _T_4304 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4305 = eq(_T_4304, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] + node _T_4306 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] + node _T_4307 = and(_T_4305, _T_4306) @[el2_lsu_bus_buffer.scala 539:73] + node _T_4308 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4309 = eq(_T_4308, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] + node _T_4310 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] + node _T_4311 = and(_T_4309, _T_4310) @[el2_lsu_bus_buffer.scala 539:73] + node _T_4312 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4313 = eq(_T_4312, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] + node _T_4314 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] + node _T_4315 = and(_T_4313, _T_4314) @[el2_lsu_bus_buffer.scala 539:73] + node _T_4316 = add(_T_4315, _T_4311) @[el2_lsu_bus_buffer.scala 539:126] + node _T_4317 = add(_T_4316, _T_4307) @[el2_lsu_bus_buffer.scala 539:126] + node _T_4318 = add(_T_4317, _T_4303) @[el2_lsu_bus_buffer.scala 539:126] + buf_numvld_cmd_any <= _T_4318 @[el2_lsu_bus_buffer.scala 539:22] + node _T_4319 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4320 = eq(_T_4319, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] + node _T_4321 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 540:85] + node _T_4322 = eq(_T_4321, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] + node _T_4323 = or(_T_4320, _T_4322) @[el2_lsu_bus_buffer.scala 540:74] + node _T_4324 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4325 = and(_T_4323, _T_4324) @[el2_lsu_bus_buffer.scala 540:100] + node _T_4326 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4327 = eq(_T_4326, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] + node _T_4328 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 540:85] + node _T_4329 = eq(_T_4328, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] + node _T_4330 = or(_T_4327, _T_4329) @[el2_lsu_bus_buffer.scala 540:74] + node _T_4331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 540:100] + node _T_4333 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4334 = eq(_T_4333, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] + node _T_4335 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 540:85] + node _T_4336 = eq(_T_4335, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] + node _T_4337 = or(_T_4334, _T_4336) @[el2_lsu_bus_buffer.scala 540:74] + node _T_4338 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 540:100] + node _T_4340 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4341 = eq(_T_4340, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] + node _T_4342 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 540:85] + node _T_4343 = eq(_T_4342, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] + node _T_4344 = or(_T_4341, _T_4343) @[el2_lsu_bus_buffer.scala 540:74] + node _T_4345 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4346 = and(_T_4344, _T_4345) @[el2_lsu_bus_buffer.scala 540:100] + node _T_4347 = add(_T_4346, _T_4339) @[el2_lsu_bus_buffer.scala 540:153] + node _T_4348 = add(_T_4347, _T_4332) @[el2_lsu_bus_buffer.scala 540:153] + node _T_4349 = add(_T_4348, _T_4325) @[el2_lsu_bus_buffer.scala 540:153] + buf_numvld_pend_any <= _T_4349 @[el2_lsu_bus_buffer.scala 540:23] + node _T_4350 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] + node _T_4351 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] + node _T_4352 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] + node _T_4353 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] + node _T_4354 = or(_T_4353, _T_4352) @[el2_lsu_bus_buffer.scala 541:93] + node _T_4355 = or(_T_4354, _T_4351) @[el2_lsu_bus_buffer.scala 541:93] + node _T_4356 = or(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 541:93] + any_done_wait_state <= _T_4356 @[el2_lsu_bus_buffer.scala 541:23] + node _T_4357 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 542:53] + io.lsu_bus_buffer_pend_any <= _T_4357 @[el2_lsu_bus_buffer.scala 542:30] + node _T_4358 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4359 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 543:92] + node _T_4360 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 543:119] + node _T_4361 = mux(_T_4358, _T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 543:36] + io.lsu_bus_buffer_full_any <= _T_4361 @[el2_lsu_bus_buffer.scala 543:30] + node _T_4362 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 544:52] + node _T_4363 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 544:52] + node _T_4364 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 544:52] + node _T_4365 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 544:52] + node _T_4366 = or(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 544:65] + node _T_4367 = or(_T_4366, _T_4364) @[el2_lsu_bus_buffer.scala 544:65] + node _T_4368 = or(_T_4367, _T_4365) @[el2_lsu_bus_buffer.scala 544:65] + node _T_4369 = eq(_T_4368, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:34] + node _T_4370 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:72] + node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 544:70] + node _T_4372 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:86] + node _T_4373 = and(_T_4371, _T_4372) @[el2_lsu_bus_buffer.scala 544:84] + io.lsu_bus_buffer_empty_any <= _T_4373 @[el2_lsu_bus_buffer.scala 544:31] + node _T_4374 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 546:51] + node _T_4375 = and(_T_4374, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 546:72] + node _T_4376 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:94] + node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 546:92] + node _T_4378 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:111] + node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 546:109] + io.lsu_nonblock_load_valid_m <= _T_4379 @[el2_lsu_bus_buffer.scala 546:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 547:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4380 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:61] - node _T_4381 = and(lsu_nonblock_load_valid_r, _T_4380) @[el2_lsu_bus_buffer.scala 548:59] - io.lsu_nonblock_load_inv_r <= _T_4381 @[el2_lsu_bus_buffer.scala 548:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 549:34] - node _T_4382 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4383 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4384 = and(UInt<1>("h01"), _T_4383) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4385 = eq(_T_4384, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4386 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4387 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4388 = and(UInt<1>("h01"), _T_4387) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4389 = eq(_T_4388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4390 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4391 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4392 = and(UInt<1>("h01"), _T_4391) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4393 = eq(_T_4392, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4394 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4395 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4396 = and(UInt<1>("h01"), _T_4395) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4397 = eq(_T_4396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] + node _T_4380 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:61] + node _T_4381 = and(lsu_nonblock_load_valid_r, _T_4380) @[el2_lsu_bus_buffer.scala 549:59] + io.lsu_nonblock_load_inv_r <= _T_4381 @[el2_lsu_bus_buffer.scala 549:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 550:34] + node _T_4382 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4383 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:127] + node _T_4384 = and(UInt<1>("h01"), _T_4383) @[el2_lsu_bus_buffer.scala 551:116] + node _T_4385 = eq(_T_4384, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] + node _T_4386 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4387 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:127] + node _T_4388 = and(UInt<1>("h01"), _T_4387) @[el2_lsu_bus_buffer.scala 551:116] + node _T_4389 = eq(_T_4388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] + node _T_4390 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4391 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:127] + node _T_4392 = and(UInt<1>("h01"), _T_4391) @[el2_lsu_bus_buffer.scala 551:116] + node _T_4393 = eq(_T_4392, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] + node _T_4394 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4395 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:127] + node _T_4396 = and(UInt<1>("h01"), _T_4395) @[el2_lsu_bus_buffer.scala 551:116] + node _T_4397 = eq(_T_4396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] node _T_4398 = mux(_T_4382, _T_4385, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4399 = mux(_T_4386, _T_4389, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4400 = mux(_T_4390, _T_4393, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5838,26 +5838,26 @@ circuit el2_lsu_bus_buffer : node _T_4404 = or(_T_4403, _T_4401) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4404 @[Mux.scala 27:72] - node _T_4405 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4406 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4407 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4408 = eq(_T_4407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4409 = and(_T_4406, _T_4408) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4410 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4411 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4412 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4413 = eq(_T_4412, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4414 = and(_T_4411, _T_4413) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4415 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4416 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4417 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4418 = eq(_T_4417, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4419 = and(_T_4416, _T_4418) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4420 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4421 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4422 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4423 = eq(_T_4422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4424 = and(_T_4421, _T_4423) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4405 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] + node _T_4406 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 552:104] + node _T_4407 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:120] + node _T_4408 = eq(_T_4407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] + node _T_4409 = and(_T_4406, _T_4408) @[el2_lsu_bus_buffer.scala 552:108] + node _T_4410 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] + node _T_4411 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 552:104] + node _T_4412 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:120] + node _T_4413 = eq(_T_4412, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] + node _T_4414 = and(_T_4411, _T_4413) @[el2_lsu_bus_buffer.scala 552:108] + node _T_4415 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] + node _T_4416 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 552:104] + node _T_4417 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:120] + node _T_4418 = eq(_T_4417, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] + node _T_4419 = and(_T_4416, _T_4418) @[el2_lsu_bus_buffer.scala 552:108] + node _T_4420 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] + node _T_4421 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 552:104] + node _T_4422 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:120] + node _T_4423 = eq(_T_4422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] + node _T_4424 = and(_T_4421, _T_4423) @[el2_lsu_bus_buffer.scala 552:108] node _T_4425 = mux(_T_4405, _T_4409, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4426 = mux(_T_4410, _T_4414, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4427 = mux(_T_4415, _T_4419, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5867,39 +5867,39 @@ circuit el2_lsu_bus_buffer : node _T_4431 = or(_T_4430, _T_4428) @[Mux.scala 27:72] wire _T_4432 : UInt<1> @[Mux.scala 27:72] _T_4432 <= _T_4431 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4432 @[el2_lsu_bus_buffer.scala 551:35] - node _T_4433 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4434 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4435 = eq(_T_4434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4436 = and(_T_4433, _T_4435) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4437 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4438 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4439 = or(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4440 = and(_T_4436, _T_4439) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4441 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4442 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4443 = eq(_T_4442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4444 = and(_T_4441, _T_4443) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4445 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4446 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4447 = or(_T_4445, _T_4446) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4448 = and(_T_4444, _T_4447) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4449 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4450 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4451 = eq(_T_4450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4452 = and(_T_4449, _T_4451) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4453 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4454 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4455 = or(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4456 = and(_T_4452, _T_4455) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4457 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4458 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4461 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4462 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4463 = or(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4464 = and(_T_4460, _T_4463) @[el2_lsu_bus_buffer.scala 552:106] + io.lsu_nonblock_load_data_error <= _T_4432 @[el2_lsu_bus_buffer.scala 552:35] + node _T_4433 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4434 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:102] + node _T_4435 = eq(_T_4434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] + node _T_4436 = and(_T_4433, _T_4435) @[el2_lsu_bus_buffer.scala 553:90] + node _T_4437 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] + node _T_4438 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] + node _T_4439 = or(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 553:122] + node _T_4440 = and(_T_4436, _T_4439) @[el2_lsu_bus_buffer.scala 553:106] + node _T_4441 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4442 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:102] + node _T_4443 = eq(_T_4442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] + node _T_4444 = and(_T_4441, _T_4443) @[el2_lsu_bus_buffer.scala 553:90] + node _T_4445 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] + node _T_4446 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] + node _T_4447 = or(_T_4445, _T_4446) @[el2_lsu_bus_buffer.scala 553:122] + node _T_4448 = and(_T_4444, _T_4447) @[el2_lsu_bus_buffer.scala 553:106] + node _T_4449 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4450 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:102] + node _T_4451 = eq(_T_4450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] + node _T_4452 = and(_T_4449, _T_4451) @[el2_lsu_bus_buffer.scala 553:90] + node _T_4453 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] + node _T_4454 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] + node _T_4455 = or(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 553:122] + node _T_4456 = and(_T_4452, _T_4455) @[el2_lsu_bus_buffer.scala 553:106] + node _T_4457 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4458 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:102] + node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] + node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 553:90] + node _T_4461 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] + node _T_4462 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] + node _T_4463 = or(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 553:122] + node _T_4464 = and(_T_4460, _T_4463) @[el2_lsu_bus_buffer.scala 553:106] node _T_4465 = mux(_T_4440, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4466 = mux(_T_4448, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4467 = mux(_T_4456, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -5909,39 +5909,39 @@ circuit el2_lsu_bus_buffer : node _T_4471 = or(_T_4470, _T_4468) @[Mux.scala 27:72] wire _T_4472 : UInt<2> @[Mux.scala 27:72] _T_4472 <= _T_4471 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4472 @[el2_lsu_bus_buffer.scala 552:33] - node _T_4473 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4474 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4475 = eq(_T_4474, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4476 = and(_T_4473, _T_4475) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4477 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4478 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4479 = or(_T_4477, _T_4478) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4480 = and(_T_4476, _T_4479) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4481 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4482 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4483 = eq(_T_4482, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4484 = and(_T_4481, _T_4483) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4485 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4486 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4487 = or(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4488 = and(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4489 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4490 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4491 = eq(_T_4490, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4492 = and(_T_4489, _T_4491) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4493 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4494 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4495 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4496 = and(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4497 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4498 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4499 = eq(_T_4498, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4500 = and(_T_4497, _T_4499) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4501 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4502 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4503 = or(_T_4501, _T_4502) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4504 = and(_T_4500, _T_4503) @[el2_lsu_bus_buffer.scala 553:105] + io.lsu_nonblock_load_data_tag <= _T_4472 @[el2_lsu_bus_buffer.scala 553:33] + node _T_4473 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4474 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4475 = eq(_T_4474, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4476 = and(_T_4473, _T_4475) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4477 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] + node _T_4478 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] + node _T_4479 = or(_T_4477, _T_4478) @[el2_lsu_bus_buffer.scala 554:121] + node _T_4480 = and(_T_4476, _T_4479) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4481 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4482 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4483 = eq(_T_4482, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4484 = and(_T_4481, _T_4483) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4485 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] + node _T_4486 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] + node _T_4487 = or(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 554:121] + node _T_4488 = and(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4489 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4490 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4491 = eq(_T_4490, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4492 = and(_T_4489, _T_4491) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4493 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] + node _T_4494 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] + node _T_4495 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 554:121] + node _T_4496 = and(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4497 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4498 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4499 = eq(_T_4498, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4500 = and(_T_4497, _T_4499) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4501 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] + node _T_4502 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] + node _T_4503 = or(_T_4501, _T_4502) @[el2_lsu_bus_buffer.scala 554:121] + node _T_4504 = and(_T_4500, _T_4503) @[el2_lsu_bus_buffer.scala 554:105] node _T_4505 = mux(_T_4480, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4506 = mux(_T_4488, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4507 = mux(_T_4496, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -5951,30 +5951,30 @@ circuit el2_lsu_bus_buffer : node _T_4511 = or(_T_4510, _T_4508) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4511 @[Mux.scala 27:72] - node _T_4512 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4513 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4515 = and(_T_4512, _T_4514) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4516 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4517 = and(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4518 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4519 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4521 = and(_T_4518, _T_4520) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4522 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4524 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4525 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4528 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4530 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4531 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4534 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4535 = and(_T_4533, _T_4534) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4512 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4513 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 555:101] + node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] + node _T_4515 = and(_T_4512, _T_4514) @[el2_lsu_bus_buffer.scala 555:89] + node _T_4516 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 555:120] + node _T_4517 = and(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 555:105] + node _T_4518 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4519 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 555:101] + node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] + node _T_4521 = and(_T_4518, _T_4520) @[el2_lsu_bus_buffer.scala 555:89] + node _T_4522 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 555:120] + node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 555:105] + node _T_4524 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4525 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 555:101] + node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] + node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 555:89] + node _T_4528 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 555:120] + node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 555:105] + node _T_4530 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4531 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 555:101] + node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] + node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 555:89] + node _T_4534 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 555:120] + node _T_4535 = and(_T_4533, _T_4534) @[el2_lsu_bus_buffer.scala 555:105] node _T_4536 = mux(_T_4517, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4537 = mux(_T_4523, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4538 = mux(_T_4529, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6048,36 +6048,36 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4597 @[Mux.scala 27:72] node _T_4598 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4599 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 559:121] - node lsu_nonblock_data_unalgn = dshr(_T_4598, _T_4599) @[el2_lsu_bus_buffer.scala 559:92] - node _T_4600 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:69] - node _T_4601 = and(lsu_nonblock_load_data_ready, _T_4600) @[el2_lsu_bus_buffer.scala 560:67] - io.lsu_nonblock_load_data_valid <= _T_4601 @[el2_lsu_bus_buffer.scala 560:35] - node _T_4602 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:81] - node _T_4603 = and(lsu_nonblock_unsign, _T_4602) @[el2_lsu_bus_buffer.scala 561:63] - node _T_4604 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 561:131] + node _T_4599 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 560:121] + node lsu_nonblock_data_unalgn = dshr(_T_4598, _T_4599) @[el2_lsu_bus_buffer.scala 560:92] + node _T_4600 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:69] + node _T_4601 = and(lsu_nonblock_load_data_ready, _T_4600) @[el2_lsu_bus_buffer.scala 561:67] + io.lsu_nonblock_load_data_valid <= _T_4601 @[el2_lsu_bus_buffer.scala 561:35] + node _T_4602 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:81] + node _T_4603 = and(lsu_nonblock_unsign, _T_4602) @[el2_lsu_bus_buffer.scala 562:63] + node _T_4604 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 562:131] node _T_4605 = cat(UInt<24>("h00"), _T_4604) @[Cat.scala 29:58] - node _T_4606 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 562:45] - node _T_4607 = and(lsu_nonblock_unsign, _T_4606) @[el2_lsu_bus_buffer.scala 562:26] - node _T_4608 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 562:95] + node _T_4606 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 563:45] + node _T_4607 = and(lsu_nonblock_unsign, _T_4606) @[el2_lsu_bus_buffer.scala 563:26] + node _T_4608 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 563:95] node _T_4609 = cat(UInt<16>("h00"), _T_4608) @[Cat.scala 29:58] - node _T_4610 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:6] - node _T_4611 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:45] - node _T_4612 = and(_T_4610, _T_4611) @[el2_lsu_bus_buffer.scala 563:27] - node _T_4613 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 563:93] + node _T_4610 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:6] + node _T_4611 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:45] + node _T_4612 = and(_T_4610, _T_4611) @[el2_lsu_bus_buffer.scala 564:27] + node _T_4613 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 564:93] node _T_4614 = bits(_T_4613, 0, 0) @[Bitwise.scala 72:15] node _T_4615 = mux(_T_4614, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4616 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 563:123] + node _T_4616 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 564:123] node _T_4617 = cat(_T_4615, _T_4616) @[Cat.scala 29:58] - node _T_4618 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:6] - node _T_4619 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 564:45] - node _T_4620 = and(_T_4618, _T_4619) @[el2_lsu_bus_buffer.scala 564:27] - node _T_4621 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 564:93] + node _T_4618 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:6] + node _T_4619 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 565:45] + node _T_4620 = and(_T_4618, _T_4619) @[el2_lsu_bus_buffer.scala 565:27] + node _T_4621 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 565:93] node _T_4622 = bits(_T_4621, 0, 0) @[Bitwise.scala 72:15] node _T_4623 = mux(_T_4622, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4624 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 564:124] + node _T_4624 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 565:124] node _T_4625 = cat(_T_4623, _T_4624) @[Cat.scala 29:58] - node _T_4626 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 565:21] + node _T_4626 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 566:21] node _T_4627 = mux(_T_4603, _T_4605, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4628 = mux(_T_4607, _T_4609, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4629 = mux(_T_4612, _T_4617, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6089,75 +6089,75 @@ circuit el2_lsu_bus_buffer : node _T_4635 = or(_T_4634, _T_4631) @[Mux.scala 27:72] wire _T_4636 : UInt<64> @[Mux.scala 27:72] _T_4636 <= _T_4635 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4636 @[el2_lsu_bus_buffer.scala 561:29] - node _T_4637 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:62] - node _T_4638 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 566:89] - node _T_4639 = and(_T_4637, _T_4638) @[el2_lsu_bus_buffer.scala 566:73] - node _T_4640 = and(_T_4639, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:93] - node _T_4641 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:62] - node _T_4642 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 566:89] - node _T_4643 = and(_T_4641, _T_4642) @[el2_lsu_bus_buffer.scala 566:73] - node _T_4644 = and(_T_4643, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:93] - node _T_4645 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:62] - node _T_4646 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 566:89] - node _T_4647 = and(_T_4645, _T_4646) @[el2_lsu_bus_buffer.scala 566:73] - node _T_4648 = and(_T_4647, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:93] - node _T_4649 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:62] - node _T_4650 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 566:89] - node _T_4651 = and(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 566:73] - node _T_4652 = and(_T_4651, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:93] - node _T_4653 = or(_T_4640, _T_4644) @[el2_lsu_bus_buffer.scala 566:141] - node _T_4654 = or(_T_4653, _T_4648) @[el2_lsu_bus_buffer.scala 566:141] - node _T_4655 = or(_T_4654, _T_4652) @[el2_lsu_bus_buffer.scala 566:141] - bus_sideeffect_pend <= _T_4655 @[el2_lsu_bus_buffer.scala 566:23] - node _T_4656 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4657 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4658 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4659 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4660 = eq(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4661 = and(_T_4657, _T_4660) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4662 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4663 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4664 = and(obuf_merge, _T_4663) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4665 = or(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4667 = and(_T_4661, _T_4666) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4668 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4669 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4670 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4671 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4672 = eq(_T_4670, _T_4671) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4673 = and(_T_4669, _T_4672) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4674 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4675 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4676 = and(obuf_merge, _T_4675) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4677 = or(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4678 = eq(_T_4677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4679 = and(_T_4673, _T_4678) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4680 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4681 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4682 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4683 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4684 = eq(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4685 = and(_T_4681, _T_4684) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4686 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4687 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4688 = and(obuf_merge, _T_4687) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4689 = or(_T_4686, _T_4688) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4690 = eq(_T_4689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4691 = and(_T_4685, _T_4690) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4692 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4693 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4694 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4695 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4696 = eq(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4697 = and(_T_4693, _T_4696) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4698 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4699 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4700 = and(obuf_merge, _T_4699) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4701 = or(_T_4698, _T_4700) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4702 = eq(_T_4701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4703 = and(_T_4697, _T_4702) @[el2_lsu_bus_buffer.scala 568:78] + io.lsu_nonblock_load_data <= _T_4636 @[el2_lsu_bus_buffer.scala 562:29] + node _T_4637 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] + node _T_4638 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 567:89] + node _T_4639 = and(_T_4637, _T_4638) @[el2_lsu_bus_buffer.scala 567:73] + node _T_4640 = and(_T_4639, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] + node _T_4641 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] + node _T_4642 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 567:89] + node _T_4643 = and(_T_4641, _T_4642) @[el2_lsu_bus_buffer.scala 567:73] + node _T_4644 = and(_T_4643, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] + node _T_4645 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] + node _T_4646 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 567:89] + node _T_4647 = and(_T_4645, _T_4646) @[el2_lsu_bus_buffer.scala 567:73] + node _T_4648 = and(_T_4647, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] + node _T_4649 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] + node _T_4650 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 567:89] + node _T_4651 = and(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 567:73] + node _T_4652 = and(_T_4651, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] + node _T_4653 = or(_T_4640, _T_4644) @[el2_lsu_bus_buffer.scala 567:141] + node _T_4654 = or(_T_4653, _T_4648) @[el2_lsu_bus_buffer.scala 567:141] + node _T_4655 = or(_T_4654, _T_4652) @[el2_lsu_bus_buffer.scala 567:141] + bus_sideeffect_pend <= _T_4655 @[el2_lsu_bus_buffer.scala 567:23] + node _T_4656 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] + node _T_4657 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] + node _T_4658 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] + node _T_4659 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] + node _T_4660 = eq(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 569:56] + node _T_4661 = and(_T_4657, _T_4660) @[el2_lsu_bus_buffer.scala 569:38] + node _T_4662 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:92] + node _T_4663 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:126] + node _T_4664 = and(obuf_merge, _T_4663) @[el2_lsu_bus_buffer.scala 569:114] + node _T_4665 = or(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 569:100] + node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4667 = and(_T_4661, _T_4666) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4668 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] + node _T_4669 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] + node _T_4670 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] + node _T_4671 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] + node _T_4672 = eq(_T_4670, _T_4671) @[el2_lsu_bus_buffer.scala 569:56] + node _T_4673 = and(_T_4669, _T_4672) @[el2_lsu_bus_buffer.scala 569:38] + node _T_4674 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:92] + node _T_4675 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:126] + node _T_4676 = and(obuf_merge, _T_4675) @[el2_lsu_bus_buffer.scala 569:114] + node _T_4677 = or(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 569:100] + node _T_4678 = eq(_T_4677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4679 = and(_T_4673, _T_4678) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4680 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] + node _T_4681 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] + node _T_4682 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] + node _T_4683 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] + node _T_4684 = eq(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 569:56] + node _T_4685 = and(_T_4681, _T_4684) @[el2_lsu_bus_buffer.scala 569:38] + node _T_4686 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:92] + node _T_4687 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:126] + node _T_4688 = and(obuf_merge, _T_4687) @[el2_lsu_bus_buffer.scala 569:114] + node _T_4689 = or(_T_4686, _T_4688) @[el2_lsu_bus_buffer.scala 569:100] + node _T_4690 = eq(_T_4689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4691 = and(_T_4685, _T_4690) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4692 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] + node _T_4693 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] + node _T_4694 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] + node _T_4695 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] + node _T_4696 = eq(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 569:56] + node _T_4697 = and(_T_4693, _T_4696) @[el2_lsu_bus_buffer.scala 569:38] + node _T_4698 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 569:92] + node _T_4699 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 569:126] + node _T_4700 = and(obuf_merge, _T_4699) @[el2_lsu_bus_buffer.scala 569:114] + node _T_4701 = or(_T_4698, _T_4700) @[el2_lsu_bus_buffer.scala 569:100] + node _T_4702 = eq(_T_4701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4703 = and(_T_4697, _T_4702) @[el2_lsu_bus_buffer.scala 569:78] node _T_4704 = mux(_T_4656, _T_4667, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4705 = mux(_T_4668, _T_4679, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4706 = mux(_T_4680, _T_4691, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6167,117 +6167,117 @@ circuit el2_lsu_bus_buffer : node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] wire _T_4711 : UInt<1> @[Mux.scala 27:72] _T_4711 <= _T_4710 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4711 @[el2_lsu_bus_buffer.scala 567:26] - node _T_4712 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 570:54] - node _T_4713 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:75] - node _T_4714 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:150] - node _T_4715 = mux(_T_4712, _T_4713, _T_4714) @[el2_lsu_bus_buffer.scala 570:39] - node _T_4716 = mux(obuf_write, _T_4715, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 570:23] - bus_cmd_ready <= _T_4716 @[el2_lsu_bus_buffer.scala 570:17] - node _T_4717 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:39] - bus_wcmd_sent <= _T_4717 @[el2_lsu_bus_buffer.scala 571:17] - node _T_4718 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 572:39] - bus_wdata_sent <= _T_4718 @[el2_lsu_bus_buffer.scala 572:18] - node _T_4719 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 573:35] - node _T_4720 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 573:70] - node _T_4721 = and(_T_4719, _T_4720) @[el2_lsu_bus_buffer.scala 573:52] - node _T_4722 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 573:111] - node _T_4723 = or(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 573:89] - bus_cmd_sent <= _T_4723 @[el2_lsu_bus_buffer.scala 573:16] - node _T_4724 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 574:37] - bus_rsp_read <= _T_4724 @[el2_lsu_bus_buffer.scala 574:16] - node _T_4725 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 575:38] - bus_rsp_write <= _T_4725 @[el2_lsu_bus_buffer.scala 575:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 576:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 577:21] - node _T_4726 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:60] - node _T_4727 = and(bus_rsp_write, _T_4726) @[el2_lsu_bus_buffer.scala 578:40] - bus_rsp_write_error <= _T_4727 @[el2_lsu_bus_buffer.scala 578:23] - node _T_4728 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:58] - node _T_4729 = and(bus_rsp_read, _T_4728) @[el2_lsu_bus_buffer.scala 579:38] - bus_rsp_read_error <= _T_4729 @[el2_lsu_bus_buffer.scala 579:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 580:17] - node _T_4730 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 583:36] - node _T_4731 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:51] - node _T_4732 = and(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 583:49] - node _T_4733 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:68] - node _T_4734 = and(_T_4732, _T_4733) @[el2_lsu_bus_buffer.scala 583:66] - io.lsu_axi_awvalid <= _T_4734 @[el2_lsu_bus_buffer.scala 583:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 584:19] - node _T_4735 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 585:69] + bus_addr_match_pending <= _T_4711 @[el2_lsu_bus_buffer.scala 568:26] + node _T_4712 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 571:54] + node _T_4713 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:75] + node _T_4714 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:150] + node _T_4715 = mux(_T_4712, _T_4713, _T_4714) @[el2_lsu_bus_buffer.scala 571:39] + node _T_4716 = mux(obuf_write, _T_4715, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 571:23] + bus_cmd_ready <= _T_4716 @[el2_lsu_bus_buffer.scala 571:17] + node _T_4717 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 572:39] + bus_wcmd_sent <= _T_4717 @[el2_lsu_bus_buffer.scala 572:17] + node _T_4718 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 573:39] + bus_wdata_sent <= _T_4718 @[el2_lsu_bus_buffer.scala 573:18] + node _T_4719 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 574:35] + node _T_4720 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4721 = and(_T_4719, _T_4720) @[el2_lsu_bus_buffer.scala 574:52] + node _T_4722 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 574:111] + node _T_4723 = or(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 574:89] + bus_cmd_sent <= _T_4723 @[el2_lsu_bus_buffer.scala 574:16] + node _T_4724 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 575:37] + bus_rsp_read <= _T_4724 @[el2_lsu_bus_buffer.scala 575:16] + node _T_4725 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 576:38] + bus_rsp_write <= _T_4725 @[el2_lsu_bus_buffer.scala 576:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 577:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 578:21] + node _T_4726 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:60] + node _T_4727 = and(bus_rsp_write, _T_4726) @[el2_lsu_bus_buffer.scala 579:40] + bus_rsp_write_error <= _T_4727 @[el2_lsu_bus_buffer.scala 579:23] + node _T_4728 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:58] + node _T_4729 = and(bus_rsp_read, _T_4728) @[el2_lsu_bus_buffer.scala 580:38] + bus_rsp_read_error <= _T_4729 @[el2_lsu_bus_buffer.scala 580:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 581:17] + node _T_4730 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 584:36] + node _T_4731 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:51] + node _T_4732 = and(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 584:49] + node _T_4733 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:68] + node _T_4734 = and(_T_4732, _T_4733) @[el2_lsu_bus_buffer.scala 584:66] + io.lsu_axi_awvalid <= _T_4734 @[el2_lsu_bus_buffer.scala 584:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 585:19] + node _T_4735 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:69] node _T_4736 = cat(_T_4735, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4737 = mux(obuf_sideeffect, obuf_addr, _T_4736) @[el2_lsu_bus_buffer.scala 585:27] - io.lsu_axi_awaddr <= _T_4737 @[el2_lsu_bus_buffer.scala 585:21] + node _T_4737 = mux(obuf_sideeffect, obuf_addr, _T_4736) @[el2_lsu_bus_buffer.scala 586:27] + io.lsu_axi_awaddr <= _T_4737 @[el2_lsu_bus_buffer.scala 586:21] node _T_4738 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4739 = mux(obuf_sideeffect, _T_4738, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 586:27] - io.lsu_axi_awsize <= _T_4739 @[el2_lsu_bus_buffer.scala 586:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 587:21] - node _T_4740 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 588:28] - io.lsu_axi_awcache <= _T_4740 @[el2_lsu_bus_buffer.scala 588:22] - node _T_4741 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 589:35] - io.lsu_axi_awregion <= _T_4741 @[el2_lsu_bus_buffer.scala 589:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 590:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 591:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 592:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:21] - node _T_4742 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 595:35] - node _T_4743 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:50] - node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 595:48] - node _T_4745 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:68] - node _T_4746 = and(_T_4744, _T_4745) @[el2_lsu_bus_buffer.scala 595:66] - io.lsu_axi_wvalid <= _T_4746 @[el2_lsu_bus_buffer.scala 595:21] + node _T_4739 = mux(obuf_sideeffect, _T_4738, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 587:27] + io.lsu_axi_awsize <= _T_4739 @[el2_lsu_bus_buffer.scala 587:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 588:21] + node _T_4740 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 589:28] + io.lsu_axi_awcache <= _T_4740 @[el2_lsu_bus_buffer.scala 589:22] + node _T_4741 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 590:35] + io.lsu_axi_awregion <= _T_4741 @[el2_lsu_bus_buffer.scala 590:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 591:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 592:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:21] + node _T_4742 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 596:35] + node _T_4743 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:50] + node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 596:48] + node _T_4745 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:68] + node _T_4746 = and(_T_4744, _T_4745) @[el2_lsu_bus_buffer.scala 596:66] + io.lsu_axi_wvalid <= _T_4746 @[el2_lsu_bus_buffer.scala 596:21] node _T_4747 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4748 = mux(_T_4747, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4749 = and(obuf_byteen, _T_4748) @[el2_lsu_bus_buffer.scala 596:35] - io.lsu_axi_wstrb <= _T_4749 @[el2_lsu_bus_buffer.scala 596:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 597:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 598:20] - node _T_4750 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:38] - node _T_4751 = and(obuf_valid, _T_4750) @[el2_lsu_bus_buffer.scala 600:36] - node _T_4752 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:52] - node _T_4753 = and(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 600:50] - node _T_4754 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:67] - node _T_4755 = and(_T_4753, _T_4754) @[el2_lsu_bus_buffer.scala 600:65] - io.lsu_axi_arvalid <= _T_4755 @[el2_lsu_bus_buffer.scala 600:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 601:19] - node _T_4756 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 602:69] + node _T_4749 = and(obuf_byteen, _T_4748) @[el2_lsu_bus_buffer.scala 597:35] + io.lsu_axi_wstrb <= _T_4749 @[el2_lsu_bus_buffer.scala 597:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 598:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 599:20] + node _T_4750 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:38] + node _T_4751 = and(obuf_valid, _T_4750) @[el2_lsu_bus_buffer.scala 601:36] + node _T_4752 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:52] + node _T_4753 = and(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 601:50] + node _T_4754 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:67] + node _T_4755 = and(_T_4753, _T_4754) @[el2_lsu_bus_buffer.scala 601:65] + io.lsu_axi_arvalid <= _T_4755 @[el2_lsu_bus_buffer.scala 601:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 602:19] + node _T_4756 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:69] node _T_4757 = cat(_T_4756, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4758 = mux(obuf_sideeffect, obuf_addr, _T_4757) @[el2_lsu_bus_buffer.scala 602:27] - io.lsu_axi_araddr <= _T_4758 @[el2_lsu_bus_buffer.scala 602:21] + node _T_4758 = mux(obuf_sideeffect, obuf_addr, _T_4757) @[el2_lsu_bus_buffer.scala 603:27] + io.lsu_axi_araddr <= _T_4758 @[el2_lsu_bus_buffer.scala 603:21] node _T_4759 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4760 = mux(obuf_sideeffect, _T_4759, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:27] - io.lsu_axi_arsize <= _T_4760 @[el2_lsu_bus_buffer.scala 603:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 604:21] - node _T_4761 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 605:28] - io.lsu_axi_arcache <= _T_4761 @[el2_lsu_bus_buffer.scala 605:22] - node _T_4762 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 606:35] - io.lsu_axi_arregion <= _T_4762 @[el2_lsu_bus_buffer.scala 606:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 607:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 608:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 609:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 611:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 612:21] - node _T_4763 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4764 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4765 = and(io.lsu_bus_clk_en_q, _T_4764) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4766 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4768 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4769 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4770 = and(io.lsu_bus_clk_en_q, _T_4769) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4771 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4773 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4774 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4775 = and(io.lsu_bus_clk_en_q, _T_4774) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4776 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4778 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4779 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4780 = and(io.lsu_bus_clk_en_q, _T_4779) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4781 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 613:129] + node _T_4760 = mux(obuf_sideeffect, _T_4759, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:27] + io.lsu_axi_arsize <= _T_4760 @[el2_lsu_bus_buffer.scala 604:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:21] + node _T_4761 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 606:28] + io.lsu_axi_arcache <= _T_4761 @[el2_lsu_bus_buffer.scala 606:22] + node _T_4762 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 607:35] + io.lsu_axi_arregion <= _T_4762 @[el2_lsu_bus_buffer.scala 607:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 609:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 612:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 613:21] + node _T_4763 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] + node _T_4764 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 614:125] + node _T_4765 = and(io.lsu_bus_clk_en_q, _T_4764) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4766 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 614:140] + node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 614:129] + node _T_4768 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] + node _T_4769 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 614:125] + node _T_4770 = and(io.lsu_bus_clk_en_q, _T_4769) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4771 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 614:140] + node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 614:129] + node _T_4773 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] + node _T_4774 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 614:125] + node _T_4775 = and(io.lsu_bus_clk_en_q, _T_4774) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4776 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 614:140] + node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 614:129] + node _T_4778 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] + node _T_4779 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 614:125] + node _T_4780 = and(io.lsu_bus_clk_en_q, _T_4779) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4781 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 614:140] + node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 614:129] node _T_4783 = mux(_T_4763, _T_4767, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4784 = mux(_T_4768, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4785 = mux(_T_4773, _T_4777, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6287,25 +6287,25 @@ circuit el2_lsu_bus_buffer : node _T_4789 = or(_T_4788, _T_4786) @[Mux.scala 27:72] wire _T_4790 : UInt<1> @[Mux.scala 27:72] _T_4790 <= _T_4789 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4790 @[el2_lsu_bus_buffer.scala 613:36] - node _T_4791 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] - node _T_4792 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 614:109] - node _T_4793 = and(_T_4791, _T_4792) @[el2_lsu_bus_buffer.scala 614:98] - node _T_4794 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 614:124] - node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 614:113] - node _T_4796 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] - node _T_4797 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 614:109] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 614:98] - node _T_4799 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 614:124] - node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 614:113] + io.lsu_imprecise_error_store_any <= _T_4790 @[el2_lsu_bus_buffer.scala 614:36] + node _T_4791 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 615:87] + node _T_4792 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 615:109] + node _T_4793 = and(_T_4791, _T_4792) @[el2_lsu_bus_buffer.scala 615:98] + node _T_4794 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 615:124] + node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 615:113] + node _T_4796 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 615:87] + node _T_4797 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 615:109] + node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 615:98] + node _T_4799 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 615:124] + node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 615:113] node _T_4801 = mux(_T_4795, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4802 = mux(_T_4800, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4803 = or(_T_4801, _T_4802) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4803 @[Mux.scala 27:72] - node _T_4804 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:72] - node _T_4805 = and(io.lsu_nonblock_load_data_error, _T_4804) @[el2_lsu_bus_buffer.scala 616:70] - io.lsu_imprecise_error_load_any <= _T_4805 @[el2_lsu_bus_buffer.scala 616:35] + node _T_4804 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:72] + node _T_4805 = and(io.lsu_nonblock_load_data_error, _T_4804) @[el2_lsu_bus_buffer.scala 617:70] + io.lsu_imprecise_error_load_any <= _T_4805 @[el2_lsu_bus_buffer.scala 617:35] node _T_4806 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] node _T_4807 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] node _T_4808 = mux(_T_4806, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6326,44 +6326,44 @@ circuit el2_lsu_bus_buffer : node _T_4822 = or(_T_4821, _T_4819) @[Mux.scala 27:72] wire _T_4823 : UInt<32> @[Mux.scala 27:72] _T_4823 <= _T_4822 @[Mux.scala 27:72] - node _T_4824 = mux(io.lsu_imprecise_error_store_any, _T_4811, _T_4823) @[el2_lsu_bus_buffer.scala 617:41] - io.lsu_imprecise_error_addr_any <= _T_4824 @[el2_lsu_bus_buffer.scala 617:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 618:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 620:23] - node _T_4825 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 623:46] - node _T_4826 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 623:89] - node _T_4827 = or(_T_4825, _T_4826) @[el2_lsu_bus_buffer.scala 623:68] - node _T_4828 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 623:132] - node _T_4829 = or(_T_4827, _T_4828) @[el2_lsu_bus_buffer.scala 623:110] - io.lsu_pmu_bus_trxn <= _T_4829 @[el2_lsu_bus_buffer.scala 623:23] - node _T_4830 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 624:48] - node _T_4831 = and(_T_4830, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 624:65] - io.lsu_pmu_bus_misaligned <= _T_4831 @[el2_lsu_bus_buffer.scala 624:29] - node _T_4832 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 625:59] - io.lsu_pmu_bus_error <= _T_4832 @[el2_lsu_bus_buffer.scala 625:24] - node _T_4833 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:48] - node _T_4834 = and(io.lsu_axi_awvalid, _T_4833) @[el2_lsu_bus_buffer.scala 627:46] - node _T_4835 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:92] - node _T_4836 = and(io.lsu_axi_wvalid, _T_4835) @[el2_lsu_bus_buffer.scala 627:90] - node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 627:69] - node _T_4838 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:136] - node _T_4839 = and(io.lsu_axi_arvalid, _T_4838) @[el2_lsu_bus_buffer.scala 627:134] - node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 627:112] - io.lsu_pmu_bus_busy <= _T_4840 @[el2_lsu_bus_buffer.scala 627:23] - reg _T_4841 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 629:49] - _T_4841 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 629:49] - WrPtr0_r <= _T_4841 @[el2_lsu_bus_buffer.scala 629:12] - reg _T_4842 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:49] - _T_4842 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 630:49] - WrPtr1_r <= _T_4842 @[el2_lsu_bus_buffer.scala 630:12] - node _T_4843 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:75] - node _T_4844 = and(io.lsu_busreq_m, _T_4843) @[el2_lsu_bus_buffer.scala 631:73] - node _T_4845 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:89] - node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 631:87] - reg _T_4847 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:56] - _T_4847 <= _T_4846 @[el2_lsu_bus_buffer.scala 631:56] - io.lsu_busreq_r <= _T_4847 @[el2_lsu_bus_buffer.scala 631:19] - reg _T_4848 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 632:66] - _T_4848 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 632:66] - lsu_nonblock_load_valid_r <= _T_4848 @[el2_lsu_bus_buffer.scala 632:29] + node _T_4824 = mux(io.lsu_imprecise_error_store_any, _T_4811, _T_4823) @[el2_lsu_bus_buffer.scala 618:41] + io.lsu_imprecise_error_addr_any <= _T_4824 @[el2_lsu_bus_buffer.scala 618:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 619:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 621:23] + node _T_4825 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 624:46] + node _T_4826 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 624:89] + node _T_4827 = or(_T_4825, _T_4826) @[el2_lsu_bus_buffer.scala 624:68] + node _T_4828 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 624:132] + node _T_4829 = or(_T_4827, _T_4828) @[el2_lsu_bus_buffer.scala 624:110] + io.lsu_pmu_bus_trxn <= _T_4829 @[el2_lsu_bus_buffer.scala 624:23] + node _T_4830 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 625:48] + node _T_4831 = and(_T_4830, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 625:65] + io.lsu_pmu_bus_misaligned <= _T_4831 @[el2_lsu_bus_buffer.scala 625:29] + node _T_4832 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 626:59] + io.lsu_pmu_bus_error <= _T_4832 @[el2_lsu_bus_buffer.scala 626:24] + node _T_4833 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:48] + node _T_4834 = and(io.lsu_axi_awvalid, _T_4833) @[el2_lsu_bus_buffer.scala 628:46] + node _T_4835 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:92] + node _T_4836 = and(io.lsu_axi_wvalid, _T_4835) @[el2_lsu_bus_buffer.scala 628:90] + node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 628:69] + node _T_4838 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:136] + node _T_4839 = and(io.lsu_axi_arvalid, _T_4838) @[el2_lsu_bus_buffer.scala 628:134] + node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 628:112] + io.lsu_pmu_bus_busy <= _T_4840 @[el2_lsu_bus_buffer.scala 628:23] + reg _T_4841 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:49] + _T_4841 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 630:49] + WrPtr0_r <= _T_4841 @[el2_lsu_bus_buffer.scala 630:12] + reg _T_4842 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:49] + _T_4842 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 631:49] + WrPtr1_r <= _T_4842 @[el2_lsu_bus_buffer.scala 631:12] + node _T_4843 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:75] + node _T_4844 = and(io.lsu_busreq_m, _T_4843) @[el2_lsu_bus_buffer.scala 632:73] + node _T_4845 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:89] + node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 632:87] + reg _T_4847 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 632:56] + _T_4847 <= _T_4846 @[el2_lsu_bus_buffer.scala 632:56] + io.lsu_busreq_r <= _T_4847 @[el2_lsu_bus_buffer.scala 632:19] + reg _T_4848 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 633:66] + _T_4848 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 633:66] + lsu_nonblock_load_valid_r <= _T_4848 @[el2_lsu_bus_buffer.scala 633:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 6c3eb4ae..5e50768e 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -352,97 +352,97 @@ module el2_lsu_bus_buffer( wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] reg [2:0] _T_4239; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4239}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4239}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] reg [2:0] _T_4237; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4237}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4237}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] reg [2:0] _T_4235; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4235}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4235}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] reg [2:0] _T_4233; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4233}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4233}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2474 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 517:60] + wire _T_2474 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_3959 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_3982 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_3986 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 381:13] - wire _T_3993 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:48] + wire _T_3993 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 472:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3994 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3995 = obuf_merge & _T_3994; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3996 = _T_3993 | _T_3995; // @[el2_lsu_bus_buffer.scala 471:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3994 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3995 = obuf_merge & _T_3994; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_3996 = _T_3993 | _T_3995; // @[el2_lsu_bus_buffer.scala 472:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 375:54] - wire _T_3997 = _T_3996 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] + wire _T_3997 = _T_3996 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 374:55] - wire _T_3998 = _T_3997 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _T_3998 = _T_3997 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] wire _GEN_280 = _T_3986 & _T_3998; // @[Conditional.scala 39:67] wire _GEN_293 = _T_3982 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2475 = _T_2474 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2476 = buf_ageQ_3[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2470 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2475 = _T_2474 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 430:104] + wire _T_2476 = buf_ageQ_3[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2470 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_3766 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3789 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3793 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3800 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:48] - wire _T_3801 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3802 = obuf_merge & _T_3801; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3803 = _T_3800 | _T_3802; // @[el2_lsu_bus_buffer.scala 471:77] - wire _T_3804 = _T_3803 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] - wire _T_3805 = _T_3804 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _T_3800 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_3801 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3802 = obuf_merge & _T_3801; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_3803 = _T_3800 | _T_3802; // @[el2_lsu_bus_buffer.scala 472:77] + wire _T_3804 = _T_3803 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] + wire _T_3805 = _T_3804 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] wire _GEN_204 = _T_3793 & _T_3805; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3789 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2471 = _T_2470 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2472 = buf_ageQ_3[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2466 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2471 = _T_2470 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 430:104] + wire _T_2472 = buf_ageQ_3[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2466 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_3573 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3596 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3600 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3607 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:48] - wire _T_3608 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3609 = obuf_merge & _T_3608; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3610 = _T_3607 | _T_3609; // @[el2_lsu_bus_buffer.scala 471:77] - wire _T_3611 = _T_3610 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] - wire _T_3612 = _T_3611 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _T_3607 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_3608 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3609 = obuf_merge & _T_3608; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_3610 = _T_3607 | _T_3609; // @[el2_lsu_bus_buffer.scala 472:77] + wire _T_3611 = _T_3610 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] + wire _T_3612 = _T_3611 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] wire _GEN_128 = _T_3600 & _T_3612; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3596 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2467 = _T_2466 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2468 = buf_ageQ_3[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2462 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2467 = _T_2466 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 430:104] + wire _T_2468 = buf_ageQ_3[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2462 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_3380 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3403 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3407 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3414 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:48] - wire _T_3415 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3416 = obuf_merge & _T_3415; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3417 = _T_3414 | _T_3416; // @[el2_lsu_bus_buffer.scala 471:77] - wire _T_3418 = _T_3417 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] - wire _T_3419 = _T_3418 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _T_3414 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_3415 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3416 = obuf_merge & _T_3415; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_3417 = _T_3414 | _T_3416; // @[el2_lsu_bus_buffer.scala 472:77] + wire _T_3418 = _T_3417 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] + wire _T_3419 = _T_3418 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] wire _GEN_52 = _T_3407 & _T_3419; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3403 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2463 = _T_2462 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2464 = buf_ageQ_3[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2463 = _T_2462 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 430:104] + wire _T_2464 = buf_ageQ_3[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] wire [3:0] buf_age_3 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2575 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2577 = _T_2575 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2569 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2571 = _T_2569 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2563 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2565 = _T_2563 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2575 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2577 = _T_2575 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2569 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2571 = _T_2569 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2563 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2565 = _T_2563 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2577,_T_2571,_T_2565}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 196:144] @@ -461,54 +461,54 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2457 = buf_ageQ_2[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2453 = buf_ageQ_2[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2449 = buf_ageQ_2[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2445 = buf_ageQ_2[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 429:78] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 517:60] + wire _T_2457 = buf_ageQ_2[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2453 = buf_ageQ_2[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2449 = buf_ageQ_2[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2445 = buf_ageQ_2[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] wire [3:0] buf_age_2 = {_T_2457,_T_2453,_T_2449,_T_2445}; // @[Cat.scala 29:58] - wire _T_2554 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2556 = _T_2554 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2542 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2544 = _T_2542 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2536 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2538 = _T_2536 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2554 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2556 = _T_2554 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2542 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2544 = _T_2542 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2536 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2538 = _T_2536 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] wire [3:0] buf_age_younger_2 = {_T_2556,1'h0,_T_2544,_T_2538}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2438 = buf_ageQ_1[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2434 = buf_ageQ_1[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2430 = buf_ageQ_1[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2426 = buf_ageQ_1[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 429:78] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 517:60] + wire _T_2438 = buf_ageQ_1[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2434 = buf_ageQ_1[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2430 = buf_ageQ_1[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2426 = buf_ageQ_1[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] wire [3:0] buf_age_1 = {_T_2438,_T_2434,_T_2430,_T_2426}; // @[Cat.scala 29:58] - wire _T_2527 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2529 = _T_2527 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2521 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2523 = _T_2521 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2509 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2511 = _T_2509 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2527 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2529 = _T_2527 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2521 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2523 = _T_2521 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2509 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2511 = _T_2509 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] wire [3:0] buf_age_younger_1 = {_T_2529,_T_2523,1'h0,_T_2511}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2419 = buf_ageQ_0[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2415 = buf_ageQ_0[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2411 = buf_ageQ_0[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2407 = buf_ageQ_0[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 429:78] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 517:60] + wire _T_2419 = buf_ageQ_0[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2415 = buf_ageQ_0[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2411 = buf_ageQ_0[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2407 = buf_ageQ_0[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] wire [3:0] buf_age_0 = {_T_2419,_T_2415,_T_2411,_T_2407}; // @[Cat.scala 29:58] - wire _T_2500 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2502 = _T_2500 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2494 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2496 = _T_2494 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2488 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2490 = _T_2488 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2500 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2502 = _T_2500 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2494 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2496 = _T_2494 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2488 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2490 = _T_2488 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] wire [3:0] buf_age_younger_0 = {_T_2502,_T_2496,_T_2490,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 196:144] @@ -760,22 +760,22 @@ module el2_lsu_bus_buffer( wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4240; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4240}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + wire [31:0] buf_data_0 = {{28'd0}, _T_4240}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4241; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4241}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + wire [31:0] buf_data_1 = {{28'd0}, _T_4241}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4242; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4242}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + wire [31:0] buf_data_2 = {{28'd0}, _T_4242}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4243; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4243}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + wire [31:0] buf_data_3 = {{28'd0}, _T_4243}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 214:123] @@ -928,8 +928,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 247:32] wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 241:34] wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 241:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 630:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 629:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 631:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 630:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 257:77] @@ -970,41 +970,41 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4293 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4294 = buf_write[3] & _T_4293; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4295 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4296 = _T_4294 & _T_4295; // @[el2_lsu_bus_buffer.scala 537:89] - wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4287 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4288 = buf_write[2] & _T_4287; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4289 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4290 = _T_4288 & _T_4289; // @[el2_lsu_bus_buffer.scala 537:89] - wire [1:0] _T_4297 = _T_4296 + _T_4290; // @[el2_lsu_bus_buffer.scala 537:142] - wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4281 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4282 = buf_write[1] & _T_4281; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4283 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4284 = _T_4282 & _T_4283; // @[el2_lsu_bus_buffer.scala 537:89] - wire [1:0] _GEN_368 = {{1'd0}, _T_4284}; // @[el2_lsu_bus_buffer.scala 537:142] - wire [2:0] _T_4298 = _T_4297 + _GEN_368; // @[el2_lsu_bus_buffer.scala 537:142] - wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4275 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4276 = buf_write[0] & _T_4275; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4277 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4278 = _T_4276 & _T_4277; // @[el2_lsu_bus_buffer.scala 537:89] - wire [2:0] _GEN_370 = {{2'd0}, _T_4278}; // @[el2_lsu_bus_buffer.scala 537:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4298 + _GEN_370; // @[el2_lsu_bus_buffer.scala 537:142] + wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4293 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4294 = buf_write[3] & _T_4293; // @[el2_lsu_bus_buffer.scala 538:64] + wire _T_4295 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 538:91] + wire _T_4296 = _T_4294 & _T_4295; // @[el2_lsu_bus_buffer.scala 538:89] + wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4287 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4288 = buf_write[2] & _T_4287; // @[el2_lsu_bus_buffer.scala 538:64] + wire _T_4289 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 538:91] + wire _T_4290 = _T_4288 & _T_4289; // @[el2_lsu_bus_buffer.scala 538:89] + wire [1:0] _T_4297 = _T_4296 + _T_4290; // @[el2_lsu_bus_buffer.scala 538:142] + wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4281 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4282 = buf_write[1] & _T_4281; // @[el2_lsu_bus_buffer.scala 538:64] + wire _T_4283 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 538:91] + wire _T_4284 = _T_4282 & _T_4283; // @[el2_lsu_bus_buffer.scala 538:89] + wire [1:0] _GEN_368 = {{1'd0}, _T_4284}; // @[el2_lsu_bus_buffer.scala 538:142] + wire [2:0] _T_4298 = _T_4297 + _GEN_368; // @[el2_lsu_bus_buffer.scala 538:142] + wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4275 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4276 = buf_write[0] & _T_4275; // @[el2_lsu_bus_buffer.scala 538:64] + wire _T_4277 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 538:91] + wire _T_4278 = _T_4276 & _T_4277; // @[el2_lsu_bus_buffer.scala 538:89] + wire [2:0] _GEN_370 = {{2'd0}, _T_4278}; // @[el2_lsu_bus_buffer.scala 538:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4298 + _GEN_370; // @[el2_lsu_bus_buffer.scala 538:142] wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4315 = _T_4293 & _T_4295; // @[el2_lsu_bus_buffer.scala 538:73] - wire _T_4311 = _T_4287 & _T_4289; // @[el2_lsu_bus_buffer.scala 538:73] - wire [1:0] _T_4316 = _T_4315 + _T_4311; // @[el2_lsu_bus_buffer.scala 538:126] - wire _T_4307 = _T_4281 & _T_4283; // @[el2_lsu_bus_buffer.scala 538:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4307}; // @[el2_lsu_bus_buffer.scala 538:126] - wire [2:0] _T_4317 = _T_4316 + _GEN_374; // @[el2_lsu_bus_buffer.scala 538:126] - wire _T_4303 = _T_4275 & _T_4277; // @[el2_lsu_bus_buffer.scala 538:73] - wire [2:0] _GEN_376 = {{2'd0}, _T_4303}; // @[el2_lsu_bus_buffer.scala 538:126] - wire [3:0] buf_numvld_cmd_any = _T_4317 + _GEN_376; // @[el2_lsu_bus_buffer.scala 538:126] + wire _T_4315 = _T_4293 & _T_4295; // @[el2_lsu_bus_buffer.scala 539:73] + wire _T_4311 = _T_4287 & _T_4289; // @[el2_lsu_bus_buffer.scala 539:73] + wire [1:0] _T_4316 = _T_4315 + _T_4311; // @[el2_lsu_bus_buffer.scala 539:126] + wire _T_4307 = _T_4281 & _T_4283; // @[el2_lsu_bus_buffer.scala 539:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4307}; // @[el2_lsu_bus_buffer.scala 539:126] + wire [2:0] _T_4317 = _T_4316 + _GEN_374; // @[el2_lsu_bus_buffer.scala 539:126] + wire _T_4303 = _T_4275 & _T_4277; // @[el2_lsu_bus_buffer.scala 539:73] + wire [2:0] _GEN_376 = {{2'd0}, _T_4303}; // @[el2_lsu_bus_buffer.scala 539:126] + wire [3:0] buf_numvld_cmd_any = _T_4317 + _GEN_376; // @[el2_lsu_bus_buffer.scala 539:126] wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 293:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] @@ -1034,44 +1034,44 @@ module el2_lsu_bus_buffer( wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 296:79] wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4341 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4344 = _T_4341 | _T_4293; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4346 = _T_4344 & _T_4295; // @[el2_lsu_bus_buffer.scala 539:100] - wire _T_4334 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4337 = _T_4334 | _T_4287; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4339 = _T_4337 & _T_4289; // @[el2_lsu_bus_buffer.scala 539:100] - wire [1:0] _T_4347 = _T_4346 + _T_4339; // @[el2_lsu_bus_buffer.scala 539:153] - wire _T_4327 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4330 = _T_4327 | _T_4281; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4332 = _T_4330 & _T_4283; // @[el2_lsu_bus_buffer.scala 539:100] - wire [1:0] _GEN_383 = {{1'd0}, _T_4332}; // @[el2_lsu_bus_buffer.scala 539:153] - wire [2:0] _T_4348 = _T_4347 + _GEN_383; // @[el2_lsu_bus_buffer.scala 539:153] - wire _T_4320 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4323 = _T_4320 | _T_4275; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4325 = _T_4323 & _T_4277; // @[el2_lsu_bus_buffer.scala 539:100] - wire [2:0] _GEN_386 = {{2'd0}, _T_4325}; // @[el2_lsu_bus_buffer.scala 539:153] - wire [3:0] buf_numvld_pend_any = _T_4348 + _GEN_386; // @[el2_lsu_bus_buffer.scala 539:153] + wire _T_4341 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] + wire _T_4344 = _T_4341 | _T_4293; // @[el2_lsu_bus_buffer.scala 540:74] + wire _T_4346 = _T_4344 & _T_4295; // @[el2_lsu_bus_buffer.scala 540:100] + wire _T_4334 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] + wire _T_4337 = _T_4334 | _T_4287; // @[el2_lsu_bus_buffer.scala 540:74] + wire _T_4339 = _T_4337 & _T_4289; // @[el2_lsu_bus_buffer.scala 540:100] + wire [1:0] _T_4347 = _T_4346 + _T_4339; // @[el2_lsu_bus_buffer.scala 540:153] + wire _T_4327 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] + wire _T_4330 = _T_4327 | _T_4281; // @[el2_lsu_bus_buffer.scala 540:74] + wire _T_4332 = _T_4330 & _T_4283; // @[el2_lsu_bus_buffer.scala 540:100] + wire [1:0] _GEN_383 = {{1'd0}, _T_4332}; // @[el2_lsu_bus_buffer.scala 540:153] + wire [2:0] _T_4348 = _T_4347 + _GEN_383; // @[el2_lsu_bus_buffer.scala 540:153] + wire _T_4320 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] + wire _T_4323 = _T_4320 | _T_4275; // @[el2_lsu_bus_buffer.scala 540:74] + wire _T_4325 = _T_4323 & _T_4277; // @[el2_lsu_bus_buffer.scala 540:100] + wire [2:0] _GEN_386 = {{2'd0}, _T_4325}; // @[el2_lsu_bus_buffer.scala 540:153] + wire [3:0] buf_numvld_pend_any = _T_4348 + _GEN_386; // @[el2_lsu_bus_buffer.scala 540:153] wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 299:31] wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 299:61] wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4637 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:62] - wire _T_4639 = _T_4637 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 566:73] - wire _T_4640 = _T_4639 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:93] - wire _T_4641 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:62] - wire _T_4643 = _T_4641 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 566:73] - wire _T_4644 = _T_4643 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:93] - wire _T_4653 = _T_4640 | _T_4644; // @[el2_lsu_bus_buffer.scala 566:141] - wire _T_4645 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:62] - wire _T_4647 = _T_4645 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 566:73] - wire _T_4648 = _T_4647 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:93] - wire _T_4654 = _T_4653 | _T_4648; // @[el2_lsu_bus_buffer.scala 566:141] - wire _T_4649 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:62] - wire _T_4651 = _T_4649 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 566:73] - wire _T_4652 = _T_4651 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:93] - wire bus_sideeffect_pend = _T_4654 | _T_4652; // @[el2_lsu_bus_buffer.scala 566:141] + wire _T_4637 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] + wire _T_4639 = _T_4637 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 567:73] + wire _T_4640 = _T_4639 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] + wire _T_4641 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] + wire _T_4643 = _T_4641 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 567:73] + wire _T_4644 = _T_4643 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] + wire _T_4653 = _T_4640 | _T_4644; // @[el2_lsu_bus_buffer.scala 567:141] + wire _T_4645 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] + wire _T_4647 = _T_4645 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 567:73] + wire _T_4648 = _T_4647 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] + wire _T_4654 = _T_4653 | _T_4648; // @[el2_lsu_bus_buffer.scala 567:141] + wire _T_4649 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] + wire _T_4651 = _T_4649 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 567:73] + wire _T_4652 = _T_4651 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] + wire bus_sideeffect_pend = _T_4654 | _T_4652; // @[el2_lsu_bus_buffer.scala 567:141] wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 314:52] wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 314:50] @@ -1154,10 +1154,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4712 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 570:54] - wire _T_4713 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:75] - wire _T_4715 = _T_4712 ? _T_4713 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:39] - wire bus_cmd_ready = obuf_write ? _T_4715 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 570:23] + wire _T_4712 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 571:54] + wire _T_4713 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:75] + wire _T_4715 = _T_4712 ? _T_4713 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:39] + wire bus_cmd_ready = obuf_write ? _T_4715 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 571:23] wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 318:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1166,52 +1166,52 @@ module el2_lsu_bus_buffer( wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 318:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4660 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4661 = obuf_valid & _T_4660; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4663 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4664 = obuf_merge & _T_4663; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4665 = _T_3414 | _T_4664; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4666 = ~_T_4665; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4667 = _T_4661 & _T_4666; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4660 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] + wire _T_4661 = obuf_valid & _T_4660; // @[el2_lsu_bus_buffer.scala 569:38] + wire _T_4663 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 569:126] + wire _T_4664 = obuf_merge & _T_4663; // @[el2_lsu_bus_buffer.scala 569:114] + wire _T_4665 = _T_3414 | _T_4664; // @[el2_lsu_bus_buffer.scala 569:100] + wire _T_4666 = ~_T_4665; // @[el2_lsu_bus_buffer.scala 569:80] + wire _T_4667 = _T_4661 & _T_4666; // @[el2_lsu_bus_buffer.scala 569:78] wire _T_4704 = _T_4637 & _T_4667; // @[Mux.scala 27:72] - wire _T_4672 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4673 = obuf_valid & _T_4672; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4675 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4676 = obuf_merge & _T_4675; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4677 = _T_3607 | _T_4676; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4678 = ~_T_4677; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4679 = _T_4673 & _T_4678; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4672 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] + wire _T_4673 = obuf_valid & _T_4672; // @[el2_lsu_bus_buffer.scala 569:38] + wire _T_4675 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 569:126] + wire _T_4676 = obuf_merge & _T_4675; // @[el2_lsu_bus_buffer.scala 569:114] + wire _T_4677 = _T_3607 | _T_4676; // @[el2_lsu_bus_buffer.scala 569:100] + wire _T_4678 = ~_T_4677; // @[el2_lsu_bus_buffer.scala 569:80] + wire _T_4679 = _T_4673 & _T_4678; // @[el2_lsu_bus_buffer.scala 569:78] wire _T_4705 = _T_4641 & _T_4679; // @[Mux.scala 27:72] wire _T_4708 = _T_4704 | _T_4705; // @[Mux.scala 27:72] - wire _T_4684 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4685 = obuf_valid & _T_4684; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4687 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4688 = obuf_merge & _T_4687; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4689 = _T_3800 | _T_4688; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4690 = ~_T_4689; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4691 = _T_4685 & _T_4690; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4684 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] + wire _T_4685 = obuf_valid & _T_4684; // @[el2_lsu_bus_buffer.scala 569:38] + wire _T_4687 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 569:126] + wire _T_4688 = obuf_merge & _T_4687; // @[el2_lsu_bus_buffer.scala 569:114] + wire _T_4689 = _T_3800 | _T_4688; // @[el2_lsu_bus_buffer.scala 569:100] + wire _T_4690 = ~_T_4689; // @[el2_lsu_bus_buffer.scala 569:80] + wire _T_4691 = _T_4685 & _T_4690; // @[el2_lsu_bus_buffer.scala 569:78] wire _T_4706 = _T_4645 & _T_4691; // @[Mux.scala 27:72] wire _T_4709 = _T_4708 | _T_4706; // @[Mux.scala 27:72] - wire _T_4696 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4697 = obuf_valid & _T_4696; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4699 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4700 = obuf_merge & _T_4699; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4701 = _T_3993 | _T_4700; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4702 = ~_T_4701; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4703 = _T_4697 & _T_4702; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4696 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] + wire _T_4697 = obuf_valid & _T_4696; // @[el2_lsu_bus_buffer.scala 569:38] + wire _T_4699 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 569:126] + wire _T_4700 = obuf_merge & _T_4699; // @[el2_lsu_bus_buffer.scala 569:114] + wire _T_4701 = _T_3993 | _T_4700; // @[el2_lsu_bus_buffer.scala 569:100] + wire _T_4702 = ~_T_4701; // @[el2_lsu_bus_buffer.scala 569:80] + wire _T_4703 = _T_4697 & _T_4702; // @[el2_lsu_bus_buffer.scala 569:78] wire _T_4707 = _T_4649 & _T_4703; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4709 | _T_4707; // @[Mux.scala 27:72] wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 318:116] wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:39] - wire _T_4719 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 573:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 572:39] - wire _T_4720 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 573:70] - wire _T_4721 = _T_4719 & _T_4720; // @[el2_lsu_bus_buffer.scala 573:52] - wire _T_4722 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 573:111] - wire bus_cmd_sent = _T_4721 | _T_4722; // @[el2_lsu_bus_buffer.scala 573:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 572:39] + wire _T_4719 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 574:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 573:39] + wire _T_4720 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 574:70] + wire _T_4721 = _T_4719 & _T_4720; // @[el2_lsu_bus_buffer.scala 574:52] + wire _T_4722 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 574:111] + wire bus_cmd_sent = _T_4721 | _T_4722; // @[el2_lsu_bus_buffer.scala 574:89] wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 320:33] wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 320:63] @@ -1249,7 +1249,7 @@ module el2_lsu_bus_buffer( wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 353:20] wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 353:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 379:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 574:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 575:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:55] wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 353:90] wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 353:70] @@ -1397,122 +1397,121 @@ module el2_lsu_bus_buffer( wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:83] wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 395:72] wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 395:70] - wire [1:0] _T_1883 = _T_1882 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1884 = _T_1868 ? 2'h2 : _T_1883; // @[Mux.scala 98:16] - wire [1:0] _T_1885 = _T_1854 ? 2'h1 : _T_1884; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2598 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2599 = buf_rspageQ_0[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2595 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2596 = buf_rspageQ_0[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2592 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2593 = buf_rspageQ_0[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2589 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2590 = buf_rspageQ_0[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 431:89] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 518:63] + wire _T_2598 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] + wire _T_2599 = buf_rspageQ_0[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2595 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] + wire _T_2596 = buf_rspageQ_0[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2592 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] + wire _T_2593 = buf_rspageQ_0[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2589 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] + wire _T_2590 = buf_rspageQ_0[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] wire [3:0] buf_rsp_pickage_0 = {_T_2599,_T_2596,_T_2593,_T_2590}; // @[Cat.scala 29:58] wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 405:65] wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 405:44] wire _T_1961 = _T_1959 & _T_2589; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2614 = buf_rspageQ_1[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2611 = buf_rspageQ_1[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2608 = buf_rspageQ_1[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2605 = buf_rspageQ_1[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 431:89] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 518:63] + wire _T_2614 = buf_rspageQ_1[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2611 = buf_rspageQ_1[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2608 = buf_rspageQ_1[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2605 = buf_rspageQ_1[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] wire [3:0] buf_rsp_pickage_1 = {_T_2614,_T_2611,_T_2608,_T_2605}; // @[Cat.scala 29:58] wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 405:65] wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 405:44] wire _T_1965 = _T_1963 & _T_2592; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2629 = buf_rspageQ_2[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2626 = buf_rspageQ_2[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2623 = buf_rspageQ_2[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2620 = buf_rspageQ_2[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 431:89] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 518:63] + wire _T_2629 = buf_rspageQ_2[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2626 = buf_rspageQ_2[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2623 = buf_rspageQ_2[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2620 = buf_rspageQ_2[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] wire [3:0] buf_rsp_pickage_2 = {_T_2629,_T_2626,_T_2623,_T_2620}; // @[Cat.scala 29:58] wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 405:65] wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 405:44] wire _T_1969 = _T_1967 & _T_2595; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2644 = buf_rspageQ_3[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2641 = buf_rspageQ_3[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2638 = buf_rspageQ_3[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2635 = buf_rspageQ_3[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 431:89] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 518:63] + wire _T_2644 = buf_rspageQ_3[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2641 = buf_rspageQ_3[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2638 = buf_rspageQ_3[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2635 = buf_rspageQ_3[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] wire [3:0] buf_rsp_pickage_3 = {_T_2644,_T_2641,_T_2638,_T_2635}; // @[Cat.scala 29:58] wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 405:65] wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 405:44] wire _T_1973 = _T_1971 & _T_2598; // @[el2_lsu_bus_buffer.scala 405:70] wire [3:0] RspPtrDec = {_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] + wire [1:0] _T_1982 = CmdPtr0Dec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] + wire [1:0] _T_1983 = CmdPtr0Dec[1] ? 2'h1 : _T_1982; // @[Mux.scala 47:69] wire [1:0] _T_1994 = RspPtrDec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] wire [1:0] _T_1995 = RspPtrDec[1] ? 2'h1 : _T_1994; // @[Mux.scala 47:69] wire [1:0] RspPtr = RspPtrDec[0] ? 2'h0 : _T_1995; // @[Mux.scala 47:69] - wire _T_3384 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:77] - wire _T_3385 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_3386 = _T_3384 & _T_3385; // @[el2_lsu_bus_buffer.scala 460:95] - wire _T_3387 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3388 = _T_3386 & _T_3387; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3389 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:144] - wire _T_3390 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3391 = _T_3389 & _T_3390; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3392 = _T_3388 | _T_3391; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3393 = _T_766 & _T_3392; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3394 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3395 = ibuf_drain_vld & _T_3394; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3396 = _T_3393 | _T_3395; // @[el2_lsu_bus_buffer.scala 460:183] - wire _T_3406 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 467:46] + wire _T_3384 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:77] + wire _T_3385 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_3386 = _T_3384 & _T_3385; // @[el2_lsu_bus_buffer.scala 461:95] + wire _T_3387 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] + wire _T_3388 = _T_3386 & _T_3387; // @[el2_lsu_bus_buffer.scala 461:112] + wire _T_3389 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:144] + wire _T_3390 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] + wire _T_3391 = _T_3389 & _T_3390; // @[el2_lsu_bus_buffer.scala 461:161] + wire _T_3392 = _T_3388 | _T_3391; // @[el2_lsu_bus_buffer.scala 461:132] + wire _T_3393 = _T_766 & _T_3392; // @[el2_lsu_bus_buffer.scala 461:63] + wire _T_3394 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] + wire _T_3395 = ibuf_drain_vld & _T_3394; // @[el2_lsu_bus_buffer.scala 461:201] + wire _T_3396 = _T_3393 | _T_3395; // @[el2_lsu_bus_buffer.scala 461:183] + wire _T_3406 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 468:46] wire _T_3441 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_3486 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_3487 = bus_rsp_write & _T_3486; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_3488 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 486:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 576:38] + wire _T_3486 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3487 = bus_rsp_write & _T_3486; // @[el2_lsu_bus_buffer.scala 486:52] + wire _T_3488 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 487:46] reg _T_4159; // @[Reg.scala 27:20] reg _T_4157; // @[Reg.scala 27:20] reg _T_4155; // @[Reg.scala 27:20] reg _T_4153; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4159,_T_4157,_T_4155,_T_4153}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3490 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3491 = buf_ldfwd[0] & _T_3490; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_3492 = _T_3488 | _T_3491; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3493 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_3496 = _T_3493 & _T_1130; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_3497 = _T_3496 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 488:58] + wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3490 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3491 = buf_ldfwd[0] & _T_3490; // @[el2_lsu_bus_buffer.scala 488:27] + wire _T_3492 = _T_3488 | _T_3491; // @[el2_lsu_bus_buffer.scala 487:77] + wire _T_3493 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 489:26] + wire _T_3496 = _T_3493 & _T_1130; // @[el2_lsu_bus_buffer.scala 489:42] + wire _T_3497 = _T_3496 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 489:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3498 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3499 = _T_3497 & _T_3498; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_3500 = _T_3492 | _T_3499; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_3501 = bus_rsp_read & _T_3500; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_3502 = _T_3487 | _T_3501; // @[el2_lsu_bus_buffer.scala 485:105] + wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3498 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3499 = _T_3497 & _T_3498; // @[el2_lsu_bus_buffer.scala 489:74] + wire _T_3500 = _T_3492 | _T_3499; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3501 = bus_rsp_read & _T_3500; // @[el2_lsu_bus_buffer.scala 487:25] + wire _T_3502 = _T_3487 | _T_3501; // @[el2_lsu_bus_buffer.scala 486:105] wire _GEN_42 = _T_3441 & _T_3502; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3407 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3403 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3528 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3538 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 500:21] + wire [3:0] _T_3538 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 501:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3540 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3541 = _T_3538[0] & _T_3540; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_3542 = _T_3498 | _T_3541; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_3543 = bus_rsp_read & _T_3542; // @[el2_lsu_bus_buffer.scala 499:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 501:58] + wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3540 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3541 = _T_3538[0] & _T_3540; // @[el2_lsu_bus_buffer.scala 501:38] + wire _T_3542 = _T_3498 | _T_3541; // @[el2_lsu_bus_buffer.scala 500:95] + wire _T_3543 = bus_rsp_read & _T_3542; // @[el2_lsu_bus_buffer.scala 500:45] wire _GEN_36 = _T_3528 & _T_3543; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3441 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3407 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3403 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3420 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_3421 = _T_3420 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3420 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] + wire _T_3421 = _T_3420 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] wire _T_3546 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3549 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_3550 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_3551 = buf_dual_0 & _T_3550; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_3552 = _T_3549 | _T_3551; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_3553 = _T_3552 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3549 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 506:37] + wire _T_3550 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] + wire _T_3551 = buf_dual_0 & _T_3550; // @[el2_lsu_bus_buffer.scala 506:80] + wire _T_3552 = _T_3549 | _T_3551; // @[el2_lsu_bus_buffer.scala 506:65] + wire _T_3553 = _T_3552 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] wire _T_3554 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3546 ? _T_3553 : _T_3554; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3528 ? _T_3421 : _GEN_31; // @[Conditional.scala 39:67] @@ -1520,105 +1519,105 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3407 ? _T_3421 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3403 ? _T_3406 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3380 ? _T_3396 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_1997 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_1998 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2001 = _T_2462 & _T_4277; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2002 = _T_1998 | _T_2001; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2003 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 425:23] - wire _T_2005 = _T_2003 & _T_3384; // @[el2_lsu_bus_buffer.scala 425:41] - wire _T_2007 = _T_2005 & _T_1782; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2009 = _T_2007 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2010 = _T_2002 | _T_2009; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2011 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 426:17] - wire _T_2012 = _T_2011 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 426:35] - wire _T_2014 = _T_2012 & _T_1785; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2016 = _T_2014 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2017 = _T_2010 | _T_2016; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2018 = _T_1997 & _T_2017; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2020 = _T_2018 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2023 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2026 = _T_2466 & _T_4283; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2027 = _T_2023 | _T_2026; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2034 = _T_2007 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2035 = _T_2027 | _T_2034; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2041 = _T_2014 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2042 = _T_2035 | _T_2041; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2043 = _T_1997 & _T_2042; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2045 = _T_2043 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2048 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2051 = _T_2470 & _T_4289; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2052 = _T_2048 | _T_2051; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2059 = _T_2007 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2060 = _T_2052 | _T_2059; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2066 = _T_2014 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2067 = _T_2060 | _T_2066; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2068 = _T_1997 & _T_2067; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2070 = _T_2068 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2073 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2076 = _T_2474 & _T_4295; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2077 = _T_2073 | _T_2076; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2084 = _T_2007 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2085 = _T_2077 | _T_2084; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2091 = _T_2014 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2092 = _T_2085 | _T_2091; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2093 = _T_1997 & _T_2092; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2095 = _T_2093 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_1997 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 424:94] + wire _T_1998 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] + wire _T_2001 = _T_2462 & _T_4277; // @[el2_lsu_bus_buffer.scala 425:57] + wire _T_2002 = _T_1998 | _T_2001; // @[el2_lsu_bus_buffer.scala 425:31] + wire _T_2003 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 426:23] + wire _T_2005 = _T_2003 & _T_3384; // @[el2_lsu_bus_buffer.scala 426:41] + wire _T_2007 = _T_2005 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:71] + wire _T_2009 = _T_2007 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2010 = _T_2002 | _T_2009; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2011 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 427:17] + wire _T_2012 = _T_2011 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 427:35] + wire _T_2014 = _T_2012 & _T_1785; // @[el2_lsu_bus_buffer.scala 427:52] + wire _T_2016 = _T_2014 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2017 = _T_2010 | _T_2016; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2018 = _T_1997 & _T_2017; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2020 = _T_2018 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2023 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] + wire _T_2026 = _T_2466 & _T_4283; // @[el2_lsu_bus_buffer.scala 425:57] + wire _T_2027 = _T_2023 | _T_2026; // @[el2_lsu_bus_buffer.scala 425:31] + wire _T_2034 = _T_2007 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2035 = _T_2027 | _T_2034; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2041 = _T_2014 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2042 = _T_2035 | _T_2041; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2043 = _T_1997 & _T_2042; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2045 = _T_2043 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2048 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] + wire _T_2051 = _T_2470 & _T_4289; // @[el2_lsu_bus_buffer.scala 425:57] + wire _T_2052 = _T_2048 | _T_2051; // @[el2_lsu_bus_buffer.scala 425:31] + wire _T_2059 = _T_2007 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2060 = _T_2052 | _T_2059; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2066 = _T_2014 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2067 = _T_2060 | _T_2066; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2068 = _T_1997 & _T_2067; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2070 = _T_2068 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2073 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] + wire _T_2076 = _T_2474 & _T_4295; // @[el2_lsu_bus_buffer.scala 425:57] + wire _T_2077 = _T_2073 | _T_2076; // @[el2_lsu_bus_buffer.scala 425:31] + wire _T_2084 = _T_2007 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2085 = _T_2077 | _T_2084; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2091 = _T_2014 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2092 = _T_2085 | _T_2091; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2093 = _T_1997 & _T_2092; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2095 = _T_2093 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 427:97] wire [2:0] _T_2097 = {_T_2095,_T_2070,_T_2045}; // @[Cat.scala 29:58] - wire _T_3580 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3581 = _T_3386 & _T_3580; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3583 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3584 = _T_3389 & _T_3583; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3585 = _T_3581 | _T_3584; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3586 = _T_766 & _T_3585; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3587 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3588 = ibuf_drain_vld & _T_3587; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3589 = _T_3586 | _T_3588; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3580 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] + wire _T_3581 = _T_3386 & _T_3580; // @[el2_lsu_bus_buffer.scala 461:112] + wire _T_3583 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] + wire _T_3584 = _T_3389 & _T_3583; // @[el2_lsu_bus_buffer.scala 461:161] + wire _T_3585 = _T_3581 | _T_3584; // @[el2_lsu_bus_buffer.scala 461:132] + wire _T_3586 = _T_766 & _T_3585; // @[el2_lsu_bus_buffer.scala 461:63] + wire _T_3587 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] + wire _T_3588 = ibuf_drain_vld & _T_3587; // @[el2_lsu_bus_buffer.scala 461:201] + wire _T_3589 = _T_3586 | _T_3588; // @[el2_lsu_bus_buffer.scala 461:183] wire _T_3634 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3679 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_3680 = bus_rsp_write & _T_3679; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_3681 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 486:46] - wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3683 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3684 = buf_ldfwd[1] & _T_3683; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_3685 = _T_3681 | _T_3684; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3686 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_3688 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 488:44] - wire _T_3689 = _T_3686 & _T_3688; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_3690 = _T_3689 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 488:58] + wire _T_3679 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3680 = bus_rsp_write & _T_3679; // @[el2_lsu_bus_buffer.scala 486:52] + wire _T_3681 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 487:46] + wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3683 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3684 = buf_ldfwd[1] & _T_3683; // @[el2_lsu_bus_buffer.scala 488:27] + wire _T_3685 = _T_3681 | _T_3684; // @[el2_lsu_bus_buffer.scala 487:77] + wire _T_3686 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 489:26] + wire _T_3688 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 489:44] + wire _T_3689 = _T_3686 & _T_3688; // @[el2_lsu_bus_buffer.scala 489:42] + wire _T_3690 = _T_3689 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 489:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3691 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3692 = _T_3690 & _T_3691; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_3693 = _T_3685 | _T_3692; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_3694 = bus_rsp_read & _T_3693; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_3695 = _T_3680 | _T_3694; // @[el2_lsu_bus_buffer.scala 485:105] + wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3691 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3692 = _T_3690 & _T_3691; // @[el2_lsu_bus_buffer.scala 489:74] + wire _T_3693 = _T_3685 | _T_3692; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3694 = bus_rsp_read & _T_3693; // @[el2_lsu_bus_buffer.scala 487:25] + wire _T_3695 = _T_3680 | _T_3694; // @[el2_lsu_bus_buffer.scala 486:105] wire _GEN_118 = _T_3634 & _T_3695; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3600 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3596 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3721 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3731 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 500:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3733 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3734 = _T_3731[0] & _T_3733; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_3735 = _T_3691 | _T_3734; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_3736 = bus_rsp_read & _T_3735; // @[el2_lsu_bus_buffer.scala 499:45] + wire [3:0] _T_3731 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 501:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 501:58] + wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3733 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3734 = _T_3731[0] & _T_3733; // @[el2_lsu_bus_buffer.scala 501:38] + wire _T_3735 = _T_3691 | _T_3734; // @[el2_lsu_bus_buffer.scala 500:95] + wire _T_3736 = bus_rsp_read & _T_3735; // @[el2_lsu_bus_buffer.scala 500:45] wire _GEN_112 = _T_3721 & _T_3736; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3634 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3600 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3596 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3613 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_3614 = _T_3613 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3613 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] + wire _T_3614 = _T_3613 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] wire _T_3739 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3742 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_3743 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_3744 = buf_dual_1 & _T_3743; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_3745 = _T_3742 | _T_3744; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_3746 = _T_3745 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3742 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 506:37] + wire _T_3743 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] + wire _T_3744 = buf_dual_1 & _T_3743; // @[el2_lsu_bus_buffer.scala 506:80] + wire _T_3745 = _T_3742 | _T_3744; // @[el2_lsu_bus_buffer.scala 506:65] + wire _T_3746 = _T_3745 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] wire _T_3747 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3739 ? _T_3746 : _T_3747; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3721 ? _T_3614 : _GEN_107; // @[Conditional.scala 39:67] @@ -1626,89 +1625,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3600 ? _T_3614 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3596 ? _T_3406 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3573 ? _T_3589 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2099 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2109 = _T_2005 & _T_1793; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2111 = _T_2109 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2112 = _T_2002 | _T_2111; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2116 = _T_2012 & _T_1796; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2118 = _T_2116 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2119 = _T_2112 | _T_2118; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2120 = _T_2099 & _T_2119; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2122 = _T_2120 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2136 = _T_2109 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2137 = _T_2027 | _T_2136; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2143 = _T_2116 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2144 = _T_2137 | _T_2143; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2145 = _T_2099 & _T_2144; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2147 = _T_2145 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2161 = _T_2109 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2162 = _T_2052 | _T_2161; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2168 = _T_2116 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2169 = _T_2162 | _T_2168; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2170 = _T_2099 & _T_2169; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2172 = _T_2170 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2186 = _T_2109 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2187 = _T_2077 | _T_2186; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2193 = _T_2116 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2194 = _T_2187 | _T_2193; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2195 = _T_2099 & _T_2194; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2197 = _T_2195 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2099 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 424:94] + wire _T_2109 = _T_2005 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:71] + wire _T_2111 = _T_2109 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2112 = _T_2002 | _T_2111; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2116 = _T_2012 & _T_1796; // @[el2_lsu_bus_buffer.scala 427:52] + wire _T_2118 = _T_2116 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2119 = _T_2112 | _T_2118; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2120 = _T_2099 & _T_2119; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2122 = _T_2120 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2136 = _T_2109 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2137 = _T_2027 | _T_2136; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2143 = _T_2116 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2144 = _T_2137 | _T_2143; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2145 = _T_2099 & _T_2144; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2147 = _T_2145 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2161 = _T_2109 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2162 = _T_2052 | _T_2161; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2168 = _T_2116 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2169 = _T_2162 | _T_2168; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2170 = _T_2099 & _T_2169; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2172 = _T_2170 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2186 = _T_2109 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2187 = _T_2077 | _T_2186; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2193 = _T_2116 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2194 = _T_2187 | _T_2193; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2195 = _T_2099 & _T_2194; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2197 = _T_2195 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 427:97] wire [2:0] _T_2199 = {_T_2197,_T_2172,_T_2147}; // @[Cat.scala 29:58] - wire _T_3773 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3774 = _T_3386 & _T_3773; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3776 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3777 = _T_3389 & _T_3776; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3778 = _T_3774 | _T_3777; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3779 = _T_766 & _T_3778; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3780 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3781 = ibuf_drain_vld & _T_3780; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3782 = _T_3779 | _T_3781; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3773 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] + wire _T_3774 = _T_3386 & _T_3773; // @[el2_lsu_bus_buffer.scala 461:112] + wire _T_3776 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] + wire _T_3777 = _T_3389 & _T_3776; // @[el2_lsu_bus_buffer.scala 461:161] + wire _T_3778 = _T_3774 | _T_3777; // @[el2_lsu_bus_buffer.scala 461:132] + wire _T_3779 = _T_766 & _T_3778; // @[el2_lsu_bus_buffer.scala 461:63] + wire _T_3780 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] + wire _T_3781 = ibuf_drain_vld & _T_3780; // @[el2_lsu_bus_buffer.scala 461:201] + wire _T_3782 = _T_3779 | _T_3781; // @[el2_lsu_bus_buffer.scala 461:183] wire _T_3827 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3872 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_3873 = bus_rsp_write & _T_3872; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_3874 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 486:46] - wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3876 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3877 = buf_ldfwd[2] & _T_3876; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_3878 = _T_3874 | _T_3877; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3879 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_3881 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 488:44] - wire _T_3882 = _T_3879 & _T_3881; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_3883 = _T_3882 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 488:58] + wire _T_3872 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3873 = bus_rsp_write & _T_3872; // @[el2_lsu_bus_buffer.scala 486:52] + wire _T_3874 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 487:46] + wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3876 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3877 = buf_ldfwd[2] & _T_3876; // @[el2_lsu_bus_buffer.scala 488:27] + wire _T_3878 = _T_3874 | _T_3877; // @[el2_lsu_bus_buffer.scala 487:77] + wire _T_3879 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 489:26] + wire _T_3881 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 489:44] + wire _T_3882 = _T_3879 & _T_3881; // @[el2_lsu_bus_buffer.scala 489:42] + wire _T_3883 = _T_3882 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 489:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3884 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3885 = _T_3883 & _T_3884; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_3886 = _T_3878 | _T_3885; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_3887 = bus_rsp_read & _T_3886; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_3888 = _T_3873 | _T_3887; // @[el2_lsu_bus_buffer.scala 485:105] + wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3884 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3885 = _T_3883 & _T_3884; // @[el2_lsu_bus_buffer.scala 489:74] + wire _T_3886 = _T_3878 | _T_3885; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3887 = bus_rsp_read & _T_3886; // @[el2_lsu_bus_buffer.scala 487:25] + wire _T_3888 = _T_3873 | _T_3887; // @[el2_lsu_bus_buffer.scala 486:105] wire _GEN_194 = _T_3827 & _T_3888; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3793 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3789 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_3914 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3924 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 500:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3926 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3927 = _T_3924[0] & _T_3926; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_3928 = _T_3884 | _T_3927; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_3929 = bus_rsp_read & _T_3928; // @[el2_lsu_bus_buffer.scala 499:45] + wire [3:0] _T_3924 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 501:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 501:58] + wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3926 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3927 = _T_3924[0] & _T_3926; // @[el2_lsu_bus_buffer.scala 501:38] + wire _T_3928 = _T_3884 | _T_3927; // @[el2_lsu_bus_buffer.scala 500:95] + wire _T_3929 = bus_rsp_read & _T_3928; // @[el2_lsu_bus_buffer.scala 500:45] wire _GEN_188 = _T_3914 & _T_3929; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3827 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3793 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3789 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3806 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_3807 = _T_3806 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3806 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] + wire _T_3807 = _T_3806 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] wire _T_3932 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3935 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_3936 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_3937 = buf_dual_2 & _T_3936; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_3938 = _T_3935 | _T_3937; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_3939 = _T_3938 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3935 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 506:37] + wire _T_3936 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] + wire _T_3937 = buf_dual_2 & _T_3936; // @[el2_lsu_bus_buffer.scala 506:80] + wire _T_3938 = _T_3935 | _T_3937; // @[el2_lsu_bus_buffer.scala 506:65] + wire _T_3939 = _T_3938 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] wire _T_3940 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_3932 ? _T_3939 : _T_3940; // @[Conditional.scala 39:67] wire _GEN_189 = _T_3914 ? _T_3807 : _GEN_183; // @[Conditional.scala 39:67] @@ -1716,89 +1715,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3793 ? _T_3807 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3789 ? _T_3406 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3766 ? _T_3782 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2201 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2211 = _T_2005 & _T_1804; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2213 = _T_2211 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2214 = _T_2002 | _T_2213; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2218 = _T_2012 & _T_1807; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2220 = _T_2218 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2221 = _T_2214 | _T_2220; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2222 = _T_2201 & _T_2221; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2224 = _T_2222 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2238 = _T_2211 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2239 = _T_2027 | _T_2238; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2245 = _T_2218 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2246 = _T_2239 | _T_2245; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2247 = _T_2201 & _T_2246; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2249 = _T_2247 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2263 = _T_2211 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2264 = _T_2052 | _T_2263; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2270 = _T_2218 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2271 = _T_2264 | _T_2270; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2272 = _T_2201 & _T_2271; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2274 = _T_2272 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2288 = _T_2211 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2289 = _T_2077 | _T_2288; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2295 = _T_2218 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2296 = _T_2289 | _T_2295; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2297 = _T_2201 & _T_2296; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2299 = _T_2297 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2201 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 424:94] + wire _T_2211 = _T_2005 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:71] + wire _T_2213 = _T_2211 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2214 = _T_2002 | _T_2213; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2218 = _T_2012 & _T_1807; // @[el2_lsu_bus_buffer.scala 427:52] + wire _T_2220 = _T_2218 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2221 = _T_2214 | _T_2220; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2222 = _T_2201 & _T_2221; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2224 = _T_2222 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2238 = _T_2211 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2239 = _T_2027 | _T_2238; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2245 = _T_2218 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2246 = _T_2239 | _T_2245; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2247 = _T_2201 & _T_2246; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2249 = _T_2247 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2263 = _T_2211 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2264 = _T_2052 | _T_2263; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2270 = _T_2218 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2271 = _T_2264 | _T_2270; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2272 = _T_2201 & _T_2271; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2274 = _T_2272 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2288 = _T_2211 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2289 = _T_2077 | _T_2288; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2295 = _T_2218 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2296 = _T_2289 | _T_2295; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2297 = _T_2201 & _T_2296; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2299 = _T_2297 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 427:97] wire [2:0] _T_2301 = {_T_2299,_T_2274,_T_2249}; // @[Cat.scala 29:58] - wire _T_3966 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3967 = _T_3386 & _T_3966; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3969 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3970 = _T_3389 & _T_3969; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3971 = _T_3967 | _T_3970; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3972 = _T_766 & _T_3971; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3973 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3974 = ibuf_drain_vld & _T_3973; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3975 = _T_3972 | _T_3974; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3966 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] + wire _T_3967 = _T_3386 & _T_3966; // @[el2_lsu_bus_buffer.scala 461:112] + wire _T_3969 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] + wire _T_3970 = _T_3389 & _T_3969; // @[el2_lsu_bus_buffer.scala 461:161] + wire _T_3971 = _T_3967 | _T_3970; // @[el2_lsu_bus_buffer.scala 461:132] + wire _T_3972 = _T_766 & _T_3971; // @[el2_lsu_bus_buffer.scala 461:63] + wire _T_3973 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] + wire _T_3974 = ibuf_drain_vld & _T_3973; // @[el2_lsu_bus_buffer.scala 461:201] + wire _T_3975 = _T_3972 | _T_3974; // @[el2_lsu_bus_buffer.scala 461:183] wire _T_4020 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4065 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_4066 = bus_rsp_write & _T_4065; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_4067 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 486:46] - wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_4069 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_4070 = buf_ldfwd[3] & _T_4069; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_4071 = _T_4067 | _T_4070; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_4072 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_4074 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 488:44] - wire _T_4075 = _T_4072 & _T_4074; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_4076 = _T_4075 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 488:58] + wire _T_4065 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_4066 = bus_rsp_write & _T_4065; // @[el2_lsu_bus_buffer.scala 486:52] + wire _T_4067 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 487:46] + wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_4069 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_4070 = buf_ldfwd[3] & _T_4069; // @[el2_lsu_bus_buffer.scala 488:27] + wire _T_4071 = _T_4067 | _T_4070; // @[el2_lsu_bus_buffer.scala 487:77] + wire _T_4072 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 489:26] + wire _T_4074 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 489:44] + wire _T_4075 = _T_4072 & _T_4074; // @[el2_lsu_bus_buffer.scala 489:42] + wire _T_4076 = _T_4075 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 489:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_4077 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_4078 = _T_4076 & _T_4077; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_4079 = _T_4071 | _T_4078; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_4080 = bus_rsp_read & _T_4079; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_4081 = _T_4066 | _T_4080; // @[el2_lsu_bus_buffer.scala 485:105] + wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_4077 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_4078 = _T_4076 & _T_4077; // @[el2_lsu_bus_buffer.scala 489:74] + wire _T_4079 = _T_4071 | _T_4078; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_4080 = bus_rsp_read & _T_4079; // @[el2_lsu_bus_buffer.scala 487:25] + wire _T_4081 = _T_4066 | _T_4080; // @[el2_lsu_bus_buffer.scala 486:105] wire _GEN_270 = _T_4020 & _T_4081; // @[Conditional.scala 39:67] wire _GEN_289 = _T_3986 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_3982 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4107 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4117 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 500:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_4119 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_4120 = _T_4117[0] & _T_4119; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_4121 = _T_4077 | _T_4120; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_4122 = bus_rsp_read & _T_4121; // @[el2_lsu_bus_buffer.scala 499:45] + wire [3:0] _T_4117 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 501:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 501:58] + wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_4119 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_4120 = _T_4117[0] & _T_4119; // @[el2_lsu_bus_buffer.scala 501:38] + wire _T_4121 = _T_4077 | _T_4120; // @[el2_lsu_bus_buffer.scala 500:95] + wire _T_4122 = bus_rsp_read & _T_4121; // @[el2_lsu_bus_buffer.scala 500:45] wire _GEN_264 = _T_4107 & _T_4122; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4020 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_3986 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_3982 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_3999 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_4000 = _T_3999 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3999 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] + wire _T_4000 = _T_3999 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] wire _T_4125 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4128 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_4129 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_4130 = buf_dual_3 & _T_4129; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_4131 = _T_4128 | _T_4130; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_4132 = _T_4131 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_4128 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 506:37] + wire _T_4129 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] + wire _T_4130 = buf_dual_3 & _T_4129; // @[el2_lsu_bus_buffer.scala 506:80] + wire _T_4131 = _T_4128 | _T_4130; // @[el2_lsu_bus_buffer.scala 506:65] + wire _T_4132 = _T_4131 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] wire _T_4133 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4125 ? _T_4132 : _T_4133; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4107 ? _T_4000 : _GEN_259; // @[Conditional.scala 39:67] @@ -1806,239 +1805,239 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_3986 ? _T_4000 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_3982 ? _T_3406 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_3959 ? _T_3975 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2303 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2313 = _T_2005 & _T_1815; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2315 = _T_2313 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2316 = _T_2002 | _T_2315; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2320 = _T_2012 & _T_1818; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2322 = _T_2320 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2323 = _T_2316 | _T_2322; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2324 = _T_2303 & _T_2323; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2326 = _T_2324 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2340 = _T_2313 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2341 = _T_2027 | _T_2340; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2347 = _T_2320 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2348 = _T_2341 | _T_2347; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2349 = _T_2303 & _T_2348; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2351 = _T_2349 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2365 = _T_2313 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2366 = _T_2052 | _T_2365; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2372 = _T_2320 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2373 = _T_2366 | _T_2372; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2374 = _T_2303 & _T_2373; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2376 = _T_2374 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2390 = _T_2313 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2391 = _T_2077 | _T_2390; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2397 = _T_2320 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2398 = _T_2391 | _T_2397; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2399 = _T_2303 & _T_2398; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2401 = _T_2399 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2303 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 424:94] + wire _T_2313 = _T_2005 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:71] + wire _T_2315 = _T_2313 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2316 = _T_2002 | _T_2315; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2320 = _T_2012 & _T_1818; // @[el2_lsu_bus_buffer.scala 427:52] + wire _T_2322 = _T_2320 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2323 = _T_2316 | _T_2322; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2324 = _T_2303 & _T_2323; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2326 = _T_2324 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2340 = _T_2313 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2341 = _T_2027 | _T_2340; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2347 = _T_2320 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2348 = _T_2341 | _T_2347; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2349 = _T_2303 & _T_2348; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2351 = _T_2349 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2365 = _T_2313 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2366 = _T_2052 | _T_2365; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2372 = _T_2320 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2373 = _T_2366 | _T_2372; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2374 = _T_2303 & _T_2373; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2376 = _T_2374 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2390 = _T_2313 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2391 = _T_2077 | _T_2390; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2397 = _T_2320 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2398 = _T_2391 | _T_2397; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2399 = _T_2303 & _T_2398; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2401 = _T_2399 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 427:97] wire [2:0] _T_2403 = {_T_2401,_T_2376,_T_2351}; // @[Cat.scala 29:58] - wire _T_2651 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2652 = _T_1779 | _T_2651; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2653 = ~_T_2652; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2661 = _T_2653 | _T_2009; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2668 = _T_2661 | _T_2016; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2669 = _T_1997 & _T_2668; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2673 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2674 = _T_1790 | _T_2673; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2675 = ~_T_2674; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2683 = _T_2675 | _T_2034; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2690 = _T_2683 | _T_2041; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2691 = _T_1997 & _T_2690; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2695 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2696 = _T_1801 | _T_2695; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2697 = ~_T_2696; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2705 = _T_2697 | _T_2059; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2712 = _T_2705 | _T_2066; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2713 = _T_1997 & _T_2712; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2717 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2718 = _T_1812 | _T_2717; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2719 = ~_T_2718; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2727 = _T_2719 | _T_2084; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2734 = _T_2727 | _T_2091; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2735 = _T_1997 & _T_2734; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2651 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] + wire _T_2652 = _T_1779 | _T_2651; // @[el2_lsu_bus_buffer.scala 435:34] + wire _T_2653 = ~_T_2652; // @[el2_lsu_bus_buffer.scala 435:8] + wire _T_2661 = _T_2653 | _T_2009; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2668 = _T_2661 | _T_2016; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2669 = _T_1997 & _T_2668; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2673 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] + wire _T_2674 = _T_1790 | _T_2673; // @[el2_lsu_bus_buffer.scala 435:34] + wire _T_2675 = ~_T_2674; // @[el2_lsu_bus_buffer.scala 435:8] + wire _T_2683 = _T_2675 | _T_2034; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2690 = _T_2683 | _T_2041; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2691 = _T_1997 & _T_2690; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2695 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] + wire _T_2696 = _T_1801 | _T_2695; // @[el2_lsu_bus_buffer.scala 435:34] + wire _T_2697 = ~_T_2696; // @[el2_lsu_bus_buffer.scala 435:8] + wire _T_2705 = _T_2697 | _T_2059; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2712 = _T_2705 | _T_2066; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2713 = _T_1997 & _T_2712; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2717 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] + wire _T_2718 = _T_1812 | _T_2717; // @[el2_lsu_bus_buffer.scala 435:34] + wire _T_2719 = ~_T_2718; // @[el2_lsu_bus_buffer.scala 435:8] + wire _T_2727 = _T_2719 | _T_2084; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2734 = _T_2727 | _T_2091; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2735 = _T_1997 & _T_2734; // @[el2_lsu_bus_buffer.scala 434:114] wire [3:0] buf_rspage_set_0 = {_T_2735,_T_2713,_T_2691,_T_2669}; // @[Cat.scala 29:58] - wire _T_2752 = _T_2653 | _T_2111; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2759 = _T_2752 | _T_2118; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2760 = _T_2099 & _T_2759; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2774 = _T_2675 | _T_2136; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2781 = _T_2774 | _T_2143; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2782 = _T_2099 & _T_2781; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2796 = _T_2697 | _T_2161; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2803 = _T_2796 | _T_2168; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2804 = _T_2099 & _T_2803; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2818 = _T_2719 | _T_2186; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2825 = _T_2818 | _T_2193; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2826 = _T_2099 & _T_2825; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2752 = _T_2653 | _T_2111; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2759 = _T_2752 | _T_2118; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2760 = _T_2099 & _T_2759; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2774 = _T_2675 | _T_2136; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2781 = _T_2774 | _T_2143; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2782 = _T_2099 & _T_2781; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2796 = _T_2697 | _T_2161; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2803 = _T_2796 | _T_2168; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2804 = _T_2099 & _T_2803; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2818 = _T_2719 | _T_2186; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2825 = _T_2818 | _T_2193; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2826 = _T_2099 & _T_2825; // @[el2_lsu_bus_buffer.scala 434:114] wire [3:0] buf_rspage_set_1 = {_T_2826,_T_2804,_T_2782,_T_2760}; // @[Cat.scala 29:58] - wire _T_2843 = _T_2653 | _T_2213; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2850 = _T_2843 | _T_2220; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2851 = _T_2201 & _T_2850; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2865 = _T_2675 | _T_2238; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2872 = _T_2865 | _T_2245; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2873 = _T_2201 & _T_2872; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2887 = _T_2697 | _T_2263; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2894 = _T_2887 | _T_2270; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2895 = _T_2201 & _T_2894; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2909 = _T_2719 | _T_2288; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2916 = _T_2909 | _T_2295; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2917 = _T_2201 & _T_2916; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2843 = _T_2653 | _T_2213; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2850 = _T_2843 | _T_2220; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2851 = _T_2201 & _T_2850; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2865 = _T_2675 | _T_2238; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2872 = _T_2865 | _T_2245; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2873 = _T_2201 & _T_2872; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2887 = _T_2697 | _T_2263; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2894 = _T_2887 | _T_2270; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2895 = _T_2201 & _T_2894; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2909 = _T_2719 | _T_2288; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2916 = _T_2909 | _T_2295; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2917 = _T_2201 & _T_2916; // @[el2_lsu_bus_buffer.scala 434:114] wire [3:0] buf_rspage_set_2 = {_T_2917,_T_2895,_T_2873,_T_2851}; // @[Cat.scala 29:58] - wire _T_2934 = _T_2653 | _T_2315; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2941 = _T_2934 | _T_2322; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2942 = _T_2303 & _T_2941; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2956 = _T_2675 | _T_2340; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2963 = _T_2956 | _T_2347; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2964 = _T_2303 & _T_2963; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2978 = _T_2697 | _T_2365; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2985 = _T_2978 | _T_2372; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2986 = _T_2303 & _T_2985; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_3000 = _T_2719 | _T_2390; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_3007 = _T_3000 | _T_2397; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_3008 = _T_2303 & _T_3007; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2934 = _T_2653 | _T_2315; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2941 = _T_2934 | _T_2322; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2942 = _T_2303 & _T_2941; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2956 = _T_2675 | _T_2340; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2963 = _T_2956 | _T_2347; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2964 = _T_2303 & _T_2963; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2978 = _T_2697 | _T_2365; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2985 = _T_2978 | _T_2372; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2986 = _T_2303 & _T_2985; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_3000 = _T_2719 | _T_2390; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_3007 = _T_3000 | _T_2397; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_3008 = _T_2303 & _T_3007; // @[el2_lsu_bus_buffer.scala 434:114] wire [3:0] buf_rspage_set_3 = {_T_3008,_T_2986,_T_2964,_T_2942}; // @[Cat.scala 29:58] - wire _T_3093 = _T_2717 | _T_1812; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3094 = ~_T_3093; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3095 = buf_rspageQ_0[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3087 = _T_2695 | _T_1801; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3088 = ~_T_3087; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3089 = buf_rspageQ_0[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3081 = _T_2673 | _T_1790; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3082 = ~_T_3081; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3083 = buf_rspageQ_0[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3075 = _T_2651 | _T_1779; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3076 = ~_T_3075; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3077 = buf_rspageQ_0[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3093 = _T_2717 | _T_1812; // @[el2_lsu_bus_buffer.scala 439:112] + wire _T_3094 = ~_T_3093; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_3095 = buf_rspageQ_0[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3087 = _T_2695 | _T_1801; // @[el2_lsu_bus_buffer.scala 439:112] + wire _T_3088 = ~_T_3087; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_3089 = buf_rspageQ_0[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3081 = _T_2673 | _T_1790; // @[el2_lsu_bus_buffer.scala 439:112] + wire _T_3082 = ~_T_3081; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_3083 = buf_rspageQ_0[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3075 = _T_2651 | _T_1779; // @[el2_lsu_bus_buffer.scala 439:112] + wire _T_3076 = ~_T_3075; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_3077 = buf_rspageQ_0[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] wire [3:0] buf_rspage_0 = {_T_3095,_T_3089,_T_3083,_T_3077}; // @[Cat.scala 29:58] - wire _T_3014 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3017 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3020 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3023 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3014 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3017 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3020 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3023 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 438:90] wire [2:0] _T_3025 = {_T_3023,_T_3020,_T_3017}; // @[Cat.scala 29:58] - wire _T_3122 = buf_rspageQ_1[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3116 = buf_rspageQ_1[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3110 = buf_rspageQ_1[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3104 = buf_rspageQ_1[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3122 = buf_rspageQ_1[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3116 = buf_rspageQ_1[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3110 = buf_rspageQ_1[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3104 = buf_rspageQ_1[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] wire [3:0] buf_rspage_1 = {_T_3122,_T_3116,_T_3110,_T_3104}; // @[Cat.scala 29:58] - wire _T_3029 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3032 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3035 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3038 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3029 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3032 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3035 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3038 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 438:90] wire [2:0] _T_3040 = {_T_3038,_T_3035,_T_3032}; // @[Cat.scala 29:58] - wire _T_3149 = buf_rspageQ_2[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3143 = buf_rspageQ_2[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3137 = buf_rspageQ_2[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3131 = buf_rspageQ_2[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3149 = buf_rspageQ_2[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3143 = buf_rspageQ_2[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3137 = buf_rspageQ_2[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3131 = buf_rspageQ_2[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] wire [3:0] buf_rspage_2 = {_T_3149,_T_3143,_T_3137,_T_3131}; // @[Cat.scala 29:58] - wire _T_3044 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3047 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3050 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3053 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3044 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3047 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3050 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3053 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 438:90] wire [2:0] _T_3055 = {_T_3053,_T_3050,_T_3047}; // @[Cat.scala 29:58] - wire _T_3176 = buf_rspageQ_3[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3170 = buf_rspageQ_3[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3164 = buf_rspageQ_3[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3158 = buf_rspageQ_3[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3176 = buf_rspageQ_3[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3170 = buf_rspageQ_3[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3164 = buf_rspageQ_3[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3158 = buf_rspageQ_3[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] wire [3:0] buf_rspage_3 = {_T_3176,_T_3170,_T_3164,_T_3158}; // @[Cat.scala 29:58] - wire _T_3059 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3062 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3065 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3068 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3059 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3062 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3065 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3068 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 438:90] wire [2:0] _T_3070 = {_T_3068,_T_3065,_T_3062}; // @[Cat.scala 29:58] - wire _T_3181 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_3183 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_3185 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_3187 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 443:65] + wire _T_3181 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 444:65] + wire _T_3183 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 444:65] + wire _T_3185 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 444:65] + wire _T_3187 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 444:65] wire [3:0] ibuf_drainvec_vld = {_T_3187,_T_3185,_T_3183,_T_3181}; // @[Cat.scala 29:58] - wire _T_3195 = _T_3389 & _T_1785; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3198 = _T_3195 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3199 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3198; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3204 = _T_3389 & _T_1796; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3207 = _T_3204 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3208 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3207; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3213 = _T_3389 & _T_1807; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3216 = _T_3213 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3217 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3216; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3222 = _T_3389 & _T_1818; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3225 = _T_3222 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3226 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3225; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3252 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire _T_3254 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire _T_3256 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire _T_3258 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] + wire _T_3195 = _T_3389 & _T_1785; // @[el2_lsu_bus_buffer.scala 445:123] + wire [3:0] _T_3198 = _T_3195 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] + wire [3:0] _T_3199 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3198; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_3204 = _T_3389 & _T_1796; // @[el2_lsu_bus_buffer.scala 445:123] + wire [3:0] _T_3207 = _T_3204 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] + wire [3:0] _T_3208 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3207; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_3213 = _T_3389 & _T_1807; // @[el2_lsu_bus_buffer.scala 445:123] + wire [3:0] _T_3216 = _T_3213 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] + wire [3:0] _T_3217 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3216; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_3222 = _T_3389 & _T_1818; // @[el2_lsu_bus_buffer.scala 445:123] + wire [3:0] _T_3225 = _T_3222 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] + wire [3:0] _T_3226 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3225; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_3252 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] + wire _T_3254 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] + wire _T_3256 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] + wire _T_3258 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] wire [3:0] buf_dual_in = {_T_3258,_T_3256,_T_3254,_T_3252}; // @[Cat.scala 29:58] - wire _T_3263 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire _T_3265 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire _T_3267 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire _T_3269 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] + wire _T_3263 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] + wire _T_3265 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] + wire _T_3267 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] + wire _T_3269 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] wire [3:0] buf_samedw_in = {_T_3269,_T_3267,_T_3265,_T_3263}; // @[Cat.scala 29:58] - wire _T_3274 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3275 = ibuf_drainvec_vld[0] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire _T_3278 = ibuf_drainvec_vld[1] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire _T_3281 = ibuf_drainvec_vld[2] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire _T_3284 = ibuf_drainvec_vld[3] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] + wire _T_3274 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 449:86] + wire _T_3275 = ibuf_drainvec_vld[0] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] + wire _T_3278 = ibuf_drainvec_vld[1] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] + wire _T_3281 = ibuf_drainvec_vld[2] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] + wire _T_3284 = ibuf_drainvec_vld[3] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] wire [3:0] buf_nomerge_in = {_T_3284,_T_3281,_T_3278,_T_3275}; // @[Cat.scala 29:58] - wire _T_3292 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3195; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_3297 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3204; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_3302 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3213; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_3307 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3222; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_3292 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3195; // @[el2_lsu_bus_buffer.scala 450:49] + wire _T_3297 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3204; // @[el2_lsu_bus_buffer.scala 450:49] + wire _T_3302 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3213; // @[el2_lsu_bus_buffer.scala 450:49] + wire _T_3307 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3222; // @[el2_lsu_bus_buffer.scala 450:49] wire [3:0] buf_dualhi_in = {_T_3307,_T_3302,_T_3297,_T_3292}; // @[Cat.scala 29:58] - wire _T_3336 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire _T_3338 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire _T_3340 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire _T_3342 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] + wire _T_3336 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] + wire _T_3338 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] + wire _T_3340 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] + wire _T_3342 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] wire [3:0] buf_sideeffect_in = {_T_3342,_T_3340,_T_3338,_T_3336}; // @[Cat.scala 29:58] - wire _T_3347 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_3349 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_3351 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_3353 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_3347 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3349 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3351 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3353 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] wire [3:0] buf_unsign_in = {_T_3353,_T_3351,_T_3349,_T_3347}; // @[Cat.scala 29:58] - wire _T_3370 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3372 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3374 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3376 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3370 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] + wire _T_3372 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] + wire _T_3374 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] + wire _T_3376 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] wire [3:0] buf_write_in = {_T_3376,_T_3374,_T_3372,_T_3370}; // @[Cat.scala 29:58] - wire [31:0] _T_3402 = _T_3395 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_3409 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 470:89] - wire _T_3411 = _T_3409 & _T_1277; // @[el2_lsu_bus_buffer.scala 470:104] - wire _T_3424 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_3425 = _T_3424 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_3427 = _T_3425 & _T_1259; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_3430 = _T_3420 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_3431 = _T_3430 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_4728 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 579:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4728; // @[el2_lsu_bus_buffer.scala 579:38] - wire _T_3434 = _T_3430 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_3439 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_3509 = bus_rsp_read_error & _T_3488; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_3511 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3513 = _T_3511 & _T_3490; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_3514 = _T_3509 | _T_3513; // @[el2_lsu_bus_buffer.scala 492:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4728; // @[el2_lsu_bus_buffer.scala 578:40] - wire _T_3517 = bus_rsp_write_error & _T_3486; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3518 = _T_3514 | _T_3517; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_3519 = _T_3420 & _T_3518; // @[el2_lsu_bus_buffer.scala 492:68] + wire [31:0] _T_3402 = _T_3395 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] + wire _T_3409 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 471:89] + wire _T_3411 = _T_3409 & _T_1277; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3424 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 476:44] + wire _T_3425 = _T_3424 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] + wire _T_3427 = _T_3425 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] + wire _T_3430 = _T_3420 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] + wire _T_3431 = _T_3430 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] + wire _T_4728 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 580:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4728; // @[el2_lsu_bus_buffer.scala 580:38] + wire _T_3434 = _T_3430 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] + wire [31:0] _T_3439 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] + wire _T_3509 = bus_rsp_read_error & _T_3488; // @[el2_lsu_bus_buffer.scala 493:91] + wire _T_3511 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_3513 = _T_3511 & _T_3490; // @[el2_lsu_bus_buffer.scala 494:46] + wire _T_3514 = _T_3509 | _T_3513; // @[el2_lsu_bus_buffer.scala 493:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4728; // @[el2_lsu_bus_buffer.scala 579:40] + wire _T_3517 = bus_rsp_write_error & _T_3486; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3518 = _T_3514 | _T_3517; // @[el2_lsu_bus_buffer.scala 494:88] + wire _T_3519 = _T_3420 & _T_3518; // @[el2_lsu_bus_buffer.scala 493:68] wire _GEN_46 = _T_3441 & _T_3519; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3407 ? _T_3434 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3403 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3380 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3440 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3439; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_3444 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 482:73] - wire _T_3445 = buf_write[0] & _T_3444; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_3446 = io_dec_tlu_force_halt | _T_3445; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_3448 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3449 = buf_dual_0 & _T_3448; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_3452 = _T_3449 & _T_1130; // @[el2_lsu_bus_buffer.scala 483:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3453 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3454 = _T_3452 & _T_3453; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_4354 = _T_2598 | _T_2595; // @[el2_lsu_bus_buffer.scala 540:93] - wire _T_4355 = _T_4354 | _T_2592; // @[el2_lsu_bus_buffer.scala 540:93] - wire any_done_wait_state = _T_4355 | _T_2589; // @[el2_lsu_bus_buffer.scala 540:93] - wire _T_3456 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire [31:0] _T_3440 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3439; // @[el2_lsu_bus_buffer.scala 480:30] + wire _T_3444 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 483:73] + wire _T_3445 = buf_write[0] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] + wire _T_3446 = io_dec_tlu_force_halt | _T_3445; // @[el2_lsu_bus_buffer.scala 483:55] + wire _T_3448 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 484:30] + wire _T_3449 = buf_dual_0 & _T_3448; // @[el2_lsu_bus_buffer.scala 484:28] + wire _T_3452 = _T_3449 & _T_1130; // @[el2_lsu_bus_buffer.scala 484:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3453 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3454 = _T_3452 & _T_3453; // @[el2_lsu_bus_buffer.scala 484:61] + wire _T_4354 = _T_2598 | _T_2595; // @[el2_lsu_bus_buffer.scala 541:93] + wire _T_4355 = _T_4354 | _T_2592; // @[el2_lsu_bus_buffer.scala 541:93] + wire any_done_wait_state = _T_4355 | _T_2589; // @[el2_lsu_bus_buffer.scala 541:93] + wire _T_3456 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] wire _T_3462 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3464 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3466 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2050,18 +2049,18 @@ module el2_lsu_bus_buffer( wire _T_3474 = _T_3470 | _T_3471; // @[Mux.scala 27:72] wire _T_3475 = _T_3474 | _T_3472; // @[Mux.scala 27:72] wire _T_3476 = _T_3475 | _T_3473; // @[Mux.scala 27:72] - wire _T_3478 = _T_3452 & _T_3476; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_3479 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_3480 = _T_3478 & _T_3479; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_3481 = _T_3480 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_3482 = _T_3456 | _T_3481; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3505 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3506 = _T_3505 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_3520 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_3521 = buf_state_en_0 & _T_3520; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_3527 = _T_3521 ? _T_3439 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_3533 = buf_ldfwd[0] | _T_3538[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3534 = _T_3533 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _T_3478 = _T_3452 & _T_3476; // @[el2_lsu_bus_buffer.scala 485:101] + wire _T_3479 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] + wire _T_3480 = _T_3478 & _T_3479; // @[el2_lsu_bus_buffer.scala 485:138] + wire _T_3481 = _T_3480 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] + wire _T_3482 = _T_3456 | _T_3481; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_3505 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] + wire _T_3506 = _T_3505 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] + wire _T_3520 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 496:50] + wire _T_3521 = buf_state_en_0 & _T_3520; // @[el2_lsu_bus_buffer.scala 496:48] + wire [31:0] _T_3527 = _T_3521 ? _T_3439 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] + wire _T_3533 = buf_ldfwd[0] | _T_3538[0]; // @[el2_lsu_bus_buffer.scala 499:90] + wire _T_3534 = _T_3533 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] wire _GEN_29 = _T_3554 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3546 ? 1'h0 : _T_3554; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3546 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2083,37 +2082,37 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_79 = _T_3380 ? _T_3402 : _GEN_72; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3380 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3380 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3595 = _T_3588 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_3617 = buf_state_en_1 & _T_3688; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_3618 = _T_3617 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_3620 = _T_3618 & _T_1259; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_3623 = _T_3613 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_3624 = _T_3623 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_3627 = _T_3623 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_3632 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_3702 = bus_rsp_read_error & _T_3681; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_3704 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3706 = _T_3704 & _T_3683; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_3707 = _T_3702 | _T_3706; // @[el2_lsu_bus_buffer.scala 492:143] - wire _T_3710 = bus_rsp_write_error & _T_3679; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3711 = _T_3707 | _T_3710; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_3712 = _T_3613 & _T_3711; // @[el2_lsu_bus_buffer.scala 492:68] + wire [31:0] _T_3595 = _T_3588 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] + wire _T_3617 = buf_state_en_1 & _T_3688; // @[el2_lsu_bus_buffer.scala 476:44] + wire _T_3618 = _T_3617 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] + wire _T_3620 = _T_3618 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] + wire _T_3623 = _T_3613 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] + wire _T_3624 = _T_3623 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] + wire _T_3627 = _T_3623 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] + wire [31:0] _T_3632 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] + wire _T_3702 = bus_rsp_read_error & _T_3681; // @[el2_lsu_bus_buffer.scala 493:91] + wire _T_3704 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_3706 = _T_3704 & _T_3683; // @[el2_lsu_bus_buffer.scala 494:46] + wire _T_3707 = _T_3702 | _T_3706; // @[el2_lsu_bus_buffer.scala 493:143] + wire _T_3710 = bus_rsp_write_error & _T_3679; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3711 = _T_3707 | _T_3710; // @[el2_lsu_bus_buffer.scala 494:88] + wire _T_3712 = _T_3613 & _T_3711; // @[el2_lsu_bus_buffer.scala 493:68] wire _GEN_122 = _T_3634 & _T_3712; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3600 ? _T_3627 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3596 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3573 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3633 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3632; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_3638 = buf_write[1] & _T_3444; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_3639 = io_dec_tlu_force_halt | _T_3638; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_3641 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3642 = buf_dual_1 & _T_3641; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_3645 = _T_3642 & _T_3688; // @[el2_lsu_bus_buffer.scala 483:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3646 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3647 = _T_3645 & _T_3646; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_3649 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire [31:0] _T_3633 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3632; // @[el2_lsu_bus_buffer.scala 480:30] + wire _T_3638 = buf_write[1] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] + wire _T_3639 = io_dec_tlu_force_halt | _T_3638; // @[el2_lsu_bus_buffer.scala 483:55] + wire _T_3641 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 484:30] + wire _T_3642 = buf_dual_1 & _T_3641; // @[el2_lsu_bus_buffer.scala 484:28] + wire _T_3645 = _T_3642 & _T_3688; // @[el2_lsu_bus_buffer.scala 484:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3646 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3647 = _T_3645 & _T_3646; // @[el2_lsu_bus_buffer.scala 484:61] + wire _T_3649 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] wire _T_3655 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3657 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3659 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2125,18 +2124,18 @@ module el2_lsu_bus_buffer( wire _T_3667 = _T_3663 | _T_3664; // @[Mux.scala 27:72] wire _T_3668 = _T_3667 | _T_3665; // @[Mux.scala 27:72] wire _T_3669 = _T_3668 | _T_3666; // @[Mux.scala 27:72] - wire _T_3671 = _T_3645 & _T_3669; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_3672 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_3673 = _T_3671 & _T_3672; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_3674 = _T_3673 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_3675 = _T_3649 | _T_3674; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3698 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3699 = _T_3698 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_3713 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_3714 = buf_state_en_1 & _T_3713; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_3720 = _T_3714 ? _T_3632 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_3726 = buf_ldfwd[1] | _T_3731[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3727 = _T_3726 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _T_3671 = _T_3645 & _T_3669; // @[el2_lsu_bus_buffer.scala 485:101] + wire _T_3672 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] + wire _T_3673 = _T_3671 & _T_3672; // @[el2_lsu_bus_buffer.scala 485:138] + wire _T_3674 = _T_3673 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] + wire _T_3675 = _T_3649 | _T_3674; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_3698 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] + wire _T_3699 = _T_3698 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] + wire _T_3713 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 496:50] + wire _T_3714 = buf_state_en_1 & _T_3713; // @[el2_lsu_bus_buffer.scala 496:48] + wire [31:0] _T_3720 = _T_3714 ? _T_3632 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] + wire _T_3726 = buf_ldfwd[1] | _T_3731[0]; // @[el2_lsu_bus_buffer.scala 499:90] + wire _T_3727 = _T_3726 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] wire _GEN_105 = _T_3747 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3739 ? 1'h0 : _T_3747; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3739 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2158,37 +2157,37 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_155 = _T_3573 ? _T_3595 : _GEN_148; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3573 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3573 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3788 = _T_3781 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_3810 = buf_state_en_2 & _T_3881; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_3811 = _T_3810 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_3813 = _T_3811 & _T_1259; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_3816 = _T_3806 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_3817 = _T_3816 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_3820 = _T_3816 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_3825 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_3895 = bus_rsp_read_error & _T_3874; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_3897 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3899 = _T_3897 & _T_3876; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_3900 = _T_3895 | _T_3899; // @[el2_lsu_bus_buffer.scala 492:143] - wire _T_3903 = bus_rsp_write_error & _T_3872; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3904 = _T_3900 | _T_3903; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_3905 = _T_3806 & _T_3904; // @[el2_lsu_bus_buffer.scala 492:68] + wire [31:0] _T_3788 = _T_3781 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] + wire _T_3810 = buf_state_en_2 & _T_3881; // @[el2_lsu_bus_buffer.scala 476:44] + wire _T_3811 = _T_3810 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] + wire _T_3813 = _T_3811 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] + wire _T_3816 = _T_3806 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] + wire _T_3817 = _T_3816 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] + wire _T_3820 = _T_3816 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] + wire [31:0] _T_3825 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] + wire _T_3895 = bus_rsp_read_error & _T_3874; // @[el2_lsu_bus_buffer.scala 493:91] + wire _T_3897 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_3899 = _T_3897 & _T_3876; // @[el2_lsu_bus_buffer.scala 494:46] + wire _T_3900 = _T_3895 | _T_3899; // @[el2_lsu_bus_buffer.scala 493:143] + wire _T_3903 = bus_rsp_write_error & _T_3872; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3904 = _T_3900 | _T_3903; // @[el2_lsu_bus_buffer.scala 494:88] + wire _T_3905 = _T_3806 & _T_3904; // @[el2_lsu_bus_buffer.scala 493:68] wire _GEN_198 = _T_3827 & _T_3905; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3793 ? _T_3820 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3789 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3766 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3826 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3825; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_3831 = buf_write[2] & _T_3444; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_3832 = io_dec_tlu_force_halt | _T_3831; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_3834 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3835 = buf_dual_2 & _T_3834; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_3838 = _T_3835 & _T_3881; // @[el2_lsu_bus_buffer.scala 483:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3839 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3840 = _T_3838 & _T_3839; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_3842 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire [31:0] _T_3826 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3825; // @[el2_lsu_bus_buffer.scala 480:30] + wire _T_3831 = buf_write[2] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] + wire _T_3832 = io_dec_tlu_force_halt | _T_3831; // @[el2_lsu_bus_buffer.scala 483:55] + wire _T_3834 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 484:30] + wire _T_3835 = buf_dual_2 & _T_3834; // @[el2_lsu_bus_buffer.scala 484:28] + wire _T_3838 = _T_3835 & _T_3881; // @[el2_lsu_bus_buffer.scala 484:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3839 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3840 = _T_3838 & _T_3839; // @[el2_lsu_bus_buffer.scala 484:61] + wire _T_3842 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] wire _T_3848 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3850 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3852 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2200,18 +2199,18 @@ module el2_lsu_bus_buffer( wire _T_3860 = _T_3856 | _T_3857; // @[Mux.scala 27:72] wire _T_3861 = _T_3860 | _T_3858; // @[Mux.scala 27:72] wire _T_3862 = _T_3861 | _T_3859; // @[Mux.scala 27:72] - wire _T_3864 = _T_3838 & _T_3862; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_3865 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_3866 = _T_3864 & _T_3865; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_3867 = _T_3866 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_3868 = _T_3842 | _T_3867; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3891 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3892 = _T_3891 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_3906 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_3907 = buf_state_en_2 & _T_3906; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_3913 = _T_3907 ? _T_3825 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_3919 = buf_ldfwd[2] | _T_3924[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3920 = _T_3919 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _T_3864 = _T_3838 & _T_3862; // @[el2_lsu_bus_buffer.scala 485:101] + wire _T_3865 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] + wire _T_3866 = _T_3864 & _T_3865; // @[el2_lsu_bus_buffer.scala 485:138] + wire _T_3867 = _T_3866 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] + wire _T_3868 = _T_3842 | _T_3867; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_3891 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] + wire _T_3892 = _T_3891 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] + wire _T_3906 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 496:50] + wire _T_3907 = buf_state_en_2 & _T_3906; // @[el2_lsu_bus_buffer.scala 496:48] + wire [31:0] _T_3913 = _T_3907 ? _T_3825 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] + wire _T_3919 = buf_ldfwd[2] | _T_3924[0]; // @[el2_lsu_bus_buffer.scala 499:90] + wire _T_3920 = _T_3919 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] wire _GEN_181 = _T_3940 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_3932 ? 1'h0 : _T_3940; // @[Conditional.scala 39:67] wire _GEN_186 = _T_3932 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2233,37 +2232,37 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_231 = _T_3766 ? _T_3788 : _GEN_224; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3766 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3766 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_3981 = _T_3974 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_4003 = buf_state_en_3 & _T_4074; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_4004 = _T_4003 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_4006 = _T_4004 & _T_1259; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_4009 = _T_3999 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_4010 = _T_4009 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_4013 = _T_4009 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_4018 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_4088 = bus_rsp_read_error & _T_4067; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_4090 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_4092 = _T_4090 & _T_4069; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_4093 = _T_4088 | _T_4092; // @[el2_lsu_bus_buffer.scala 492:143] - wire _T_4096 = bus_rsp_write_error & _T_4065; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_4097 = _T_4093 | _T_4096; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_4098 = _T_3999 & _T_4097; // @[el2_lsu_bus_buffer.scala 492:68] + wire [31:0] _T_3981 = _T_3974 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] + wire _T_4003 = buf_state_en_3 & _T_4074; // @[el2_lsu_bus_buffer.scala 476:44] + wire _T_4004 = _T_4003 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] + wire _T_4006 = _T_4004 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] + wire _T_4009 = _T_3999 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] + wire _T_4010 = _T_4009 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] + wire _T_4013 = _T_4009 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] + wire [31:0] _T_4018 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] + wire _T_4088 = bus_rsp_read_error & _T_4067; // @[el2_lsu_bus_buffer.scala 493:91] + wire _T_4090 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_4092 = _T_4090 & _T_4069; // @[el2_lsu_bus_buffer.scala 494:46] + wire _T_4093 = _T_4088 | _T_4092; // @[el2_lsu_bus_buffer.scala 493:143] + wire _T_4096 = bus_rsp_write_error & _T_4065; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_4097 = _T_4093 | _T_4096; // @[el2_lsu_bus_buffer.scala 494:88] + wire _T_4098 = _T_3999 & _T_4097; // @[el2_lsu_bus_buffer.scala 493:68] wire _GEN_274 = _T_4020 & _T_4098; // @[Conditional.scala 39:67] wire _GEN_287 = _T_3986 ? _T_4013 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_3982 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_3959 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4019 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4018; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_4024 = buf_write[3] & _T_3444; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_4025 = io_dec_tlu_force_halt | _T_4024; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_4027 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_4028 = buf_dual_3 & _T_4027; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_4031 = _T_4028 & _T_4074; // @[el2_lsu_bus_buffer.scala 483:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_4032 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_4033 = _T_4031 & _T_4032; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_4035 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire [31:0] _T_4019 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4018; // @[el2_lsu_bus_buffer.scala 480:30] + wire _T_4024 = buf_write[3] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] + wire _T_4025 = io_dec_tlu_force_halt | _T_4024; // @[el2_lsu_bus_buffer.scala 483:55] + wire _T_4027 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 484:30] + wire _T_4028 = buf_dual_3 & _T_4027; // @[el2_lsu_bus_buffer.scala 484:28] + wire _T_4031 = _T_4028 & _T_4074; // @[el2_lsu_bus_buffer.scala 484:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_4032 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_4033 = _T_4031 & _T_4032; // @[el2_lsu_bus_buffer.scala 484:61] + wire _T_4035 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] wire _T_4041 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_4043 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_4045 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2275,18 +2274,18 @@ module el2_lsu_bus_buffer( wire _T_4053 = _T_4049 | _T_4050; // @[Mux.scala 27:72] wire _T_4054 = _T_4053 | _T_4051; // @[Mux.scala 27:72] wire _T_4055 = _T_4054 | _T_4052; // @[Mux.scala 27:72] - wire _T_4057 = _T_4031 & _T_4055; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_4058 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_4059 = _T_4057 & _T_4058; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_4060 = _T_4059 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_4061 = _T_4035 | _T_4060; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_4084 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_4085 = _T_4084 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_4099 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_4100 = buf_state_en_3 & _T_4099; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_4106 = _T_4100 ? _T_4018 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_4112 = buf_ldfwd[3] | _T_4117[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_4113 = _T_4112 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _T_4057 = _T_4031 & _T_4055; // @[el2_lsu_bus_buffer.scala 485:101] + wire _T_4058 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] + wire _T_4059 = _T_4057 & _T_4058; // @[el2_lsu_bus_buffer.scala 485:138] + wire _T_4060 = _T_4059 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] + wire _T_4061 = _T_4035 | _T_4060; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_4084 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] + wire _T_4085 = _T_4084 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] + wire _T_4099 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 496:50] + wire _T_4100 = buf_state_en_3 & _T_4099; // @[el2_lsu_bus_buffer.scala 496:48] + wire [31:0] _T_4106 = _T_4100 ? _T_4018 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] + wire _T_4112 = buf_ldfwd[3] | _T_4117[0]; // @[el2_lsu_bus_buffer.scala 499:90] + wire _T_4113 = _T_4112 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] wire _GEN_257 = _T_4133 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4125 ? 1'h0 : _T_4133; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4125 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2313,47 +2312,47 @@ module el2_lsu_bus_buffer( reg _T_4194; // @[Reg.scala 27:20] reg _T_4197; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4197,_T_4194,_T_4191,_T_4188}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3199[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - wire [2:0] buf_byteen_in_1 = _T_3208[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - wire [2:0] buf_byteen_in_2 = _T_3217[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - wire [2:0] buf_byteen_in_3 = _T_3226[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - reg _T_4263; // @[el2_lsu_bus_buffer.scala 534:82] - reg _T_4258; // @[el2_lsu_bus_buffer.scala 534:82] - reg _T_4253; // @[el2_lsu_bus_buffer.scala 534:82] - reg _T_4248; // @[el2_lsu_bus_buffer.scala 534:82] + wire [2:0] buf_byteen_in_0 = _T_3199[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] + wire [2:0] buf_byteen_in_1 = _T_3208[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] + wire [2:0] buf_byteen_in_2 = _T_3217[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] + wire [2:0] buf_byteen_in_3 = _T_3226[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] + reg _T_4263; // @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4258; // @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4253; // @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4248; // @[el2_lsu_bus_buffer.scala 535:82] wire [3:0] buf_error = {_T_4263,_T_4258,_T_4253,_T_4248}; // @[Cat.scala 29:58] - wire _T_4245 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4246 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 534:128] - wire _T_4250 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4251 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 534:128] - wire _T_4255 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4256 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 534:128] - wire _T_4260 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4261 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 534:128] - wire [1:0] _T_4271 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 536:96] - wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 536:96] - wire [2:0] _T_4272 = _T_4271 + _GEN_407; // @[el2_lsu_bus_buffer.scala 536:96] - wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 536:96] - wire [3:0] buf_numvld_any = _T_4272 + _GEN_408; // @[el2_lsu_bus_buffer.scala 536:96] - wire _T_4358 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 542:52] - wire _T_4359 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 542:92] - wire _T_4360 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 542:119] - wire _T_4362 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4363 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4364 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4365 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4366 = _T_4362 | _T_4363; // @[el2_lsu_bus_buffer.scala 543:65] - wire _T_4367 = _T_4366 | _T_4364; // @[el2_lsu_bus_buffer.scala 543:65] - wire _T_4368 = _T_4367 | _T_4365; // @[el2_lsu_bus_buffer.scala 543:65] - wire _T_4369 = ~_T_4368; // @[el2_lsu_bus_buffer.scala 543:34] - wire _T_4371 = _T_4369 & _T_765; // @[el2_lsu_bus_buffer.scala 543:70] - wire _T_4374 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 545:51] - wire _T_4375 = _T_4374 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 545:72] - wire _T_4376 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 545:94] - wire _T_4377 = _T_4375 & _T_4376; // @[el2_lsu_bus_buffer.scala 545:92] - wire _T_4378 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 545:111] - wire _T_4380 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 548:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 632:66] + wire _T_4245 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 535:86] + wire _T_4246 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 535:128] + wire _T_4250 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 535:86] + wire _T_4251 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 535:128] + wire _T_4255 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 535:86] + wire _T_4256 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 535:128] + wire _T_4260 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 535:86] + wire _T_4261 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 535:128] + wire [1:0] _T_4271 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 537:96] + wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 537:96] + wire [2:0] _T_4272 = _T_4271 + _GEN_407; // @[el2_lsu_bus_buffer.scala 537:96] + wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 537:96] + wire [3:0] buf_numvld_any = _T_4272 + _GEN_408; // @[el2_lsu_bus_buffer.scala 537:96] + wire _T_4358 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4359 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 543:92] + wire _T_4360 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 543:119] + wire _T_4362 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 544:52] + wire _T_4363 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 544:52] + wire _T_4364 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 544:52] + wire _T_4365 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 544:52] + wire _T_4366 = _T_4362 | _T_4363; // @[el2_lsu_bus_buffer.scala 544:65] + wire _T_4367 = _T_4366 | _T_4364; // @[el2_lsu_bus_buffer.scala 544:65] + wire _T_4368 = _T_4367 | _T_4365; // @[el2_lsu_bus_buffer.scala 544:65] + wire _T_4369 = ~_T_4368; // @[el2_lsu_bus_buffer.scala 544:34] + wire _T_4371 = _T_4369 & _T_765; // @[el2_lsu_bus_buffer.scala 544:70] + wire _T_4374 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 546:51] + wire _T_4375 = _T_4374 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 546:72] + wire _T_4376 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 546:94] + wire _T_4377 = _T_4375 & _T_4376; // @[el2_lsu_bus_buffer.scala 546:92] + wire _T_4378 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 546:111] + wire _T_4380 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 549:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 633:66] wire _T_4398 = _T_2651 & _T_1130; // @[Mux.scala 27:72] wire _T_4399 = _T_2673 & _T_3688; // @[Mux.scala 27:72] wire _T_4400 = _T_2695 & _T_3881; // @[Mux.scala 27:72] @@ -2361,32 +2360,32 @@ module el2_lsu_bus_buffer( wire _T_4402 = _T_4398 | _T_4399; // @[Mux.scala 27:72] wire _T_4403 = _T_4402 | _T_4400; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4403 | _T_4401; // @[Mux.scala 27:72] - wire _T_4409 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4414 = buf_error[1] & _T_3688; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4419 = buf_error[2] & _T_3881; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4424 = buf_error[3] & _T_4074; // @[el2_lsu_bus_buffer.scala 551:108] + wire _T_4409 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 552:108] + wire _T_4414 = buf_error[1] & _T_3688; // @[el2_lsu_bus_buffer.scala 552:108] + wire _T_4419 = buf_error[2] & _T_3881; // @[el2_lsu_bus_buffer.scala 552:108] + wire _T_4424 = buf_error[3] & _T_4074; // @[el2_lsu_bus_buffer.scala 552:108] wire _T_4425 = _T_2651 & _T_4409; // @[Mux.scala 27:72] wire _T_4426 = _T_2673 & _T_4414; // @[Mux.scala 27:72] wire _T_4427 = _T_2695 & _T_4419; // @[Mux.scala 27:72] wire _T_4428 = _T_2717 & _T_4424; // @[Mux.scala 27:72] wire _T_4429 = _T_4425 | _T_4426; // @[Mux.scala 27:72] wire _T_4430 = _T_4429 | _T_4427; // @[Mux.scala 27:72] - wire _T_4437 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4438 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4439 = _T_4437 | _T_4438; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4440 = _T_4398 & _T_4439; // @[el2_lsu_bus_buffer.scala 552:106] - wire _T_4445 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4446 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4447 = _T_4445 | _T_4446; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4448 = _T_4399 & _T_4447; // @[el2_lsu_bus_buffer.scala 552:106] - wire _T_4453 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4454 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4455 = _T_4453 | _T_4454; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4456 = _T_4400 & _T_4455; // @[el2_lsu_bus_buffer.scala 552:106] - wire _T_4461 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4462 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4463 = _T_4461 | _T_4462; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4464 = _T_4401 & _T_4463; // @[el2_lsu_bus_buffer.scala 552:106] + wire _T_4437 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 553:109] + wire _T_4438 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 553:124] + wire _T_4439 = _T_4437 | _T_4438; // @[el2_lsu_bus_buffer.scala 553:122] + wire _T_4440 = _T_4398 & _T_4439; // @[el2_lsu_bus_buffer.scala 553:106] + wire _T_4445 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 553:109] + wire _T_4446 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 553:124] + wire _T_4447 = _T_4445 | _T_4446; // @[el2_lsu_bus_buffer.scala 553:122] + wire _T_4448 = _T_4399 & _T_4447; // @[el2_lsu_bus_buffer.scala 553:106] + wire _T_4453 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 553:109] + wire _T_4454 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 553:124] + wire _T_4455 = _T_4453 | _T_4454; // @[el2_lsu_bus_buffer.scala 553:122] + wire _T_4456 = _T_4400 & _T_4455; // @[el2_lsu_bus_buffer.scala 553:106] + wire _T_4461 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 553:109] + wire _T_4462 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 553:124] + wire _T_4463 = _T_4461 | _T_4462; // @[el2_lsu_bus_buffer.scala 553:122] + wire _T_4464 = _T_4401 & _T_4463; // @[el2_lsu_bus_buffer.scala 553:106] wire [1:0] _T_4467 = _T_4456 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4468 = _T_4464 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_409 = {{1'd0}, _T_4448}; // @[Mux.scala 27:72] @@ -2398,14 +2397,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4509 = _T_4505 | _T_4506; // @[Mux.scala 27:72] wire [31:0] _T_4510 = _T_4509 | _T_4507; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4510 | _T_4508; // @[Mux.scala 27:72] - wire _T_4516 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4517 = _T_4398 & _T_4516; // @[el2_lsu_bus_buffer.scala 554:105] - wire _T_4522 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4523 = _T_4399 & _T_4522; // @[el2_lsu_bus_buffer.scala 554:105] - wire _T_4528 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4529 = _T_4400 & _T_4528; // @[el2_lsu_bus_buffer.scala 554:105] - wire _T_4534 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4535 = _T_4401 & _T_4534; // @[el2_lsu_bus_buffer.scala 554:105] + wire _T_4516 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 555:120] + wire _T_4517 = _T_4398 & _T_4516; // @[el2_lsu_bus_buffer.scala 555:105] + wire _T_4522 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 555:120] + wire _T_4523 = _T_4399 & _T_4522; // @[el2_lsu_bus_buffer.scala 555:105] + wire _T_4528 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 555:120] + wire _T_4529 = _T_4400 & _T_4528; // @[el2_lsu_bus_buffer.scala 555:105] + wire _T_4534 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 555:120] + wire _T_4535 = _T_4401 & _T_4534; // @[el2_lsu_bus_buffer.scala 555:105] wire [31:0] _T_4536 = _T_4517 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4537 = _T_4523 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4538 = _T_4529 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2439,23 +2438,23 @@ module el2_lsu_bus_buffer( wire _T_4578 = _T_4577 | _T_4575; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4578 | _T_4576; // @[Mux.scala 27:72] wire [63:0] _T_4598 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [35:0] _T_4599 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 559:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4598 >> _T_4599; // @[el2_lsu_bus_buffer.scala 559:92] - wire _T_4600 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 560:69] - wire _T_4602 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 561:81] - wire _T_4603 = lsu_nonblock_unsign & _T_4602; // @[el2_lsu_bus_buffer.scala 561:63] + wire [35:0] _T_4599 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 560:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4598 >> _T_4599; // @[el2_lsu_bus_buffer.scala 560:92] + wire _T_4600 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 561:69] + wire _T_4602 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 562:81] + wire _T_4603 = lsu_nonblock_unsign & _T_4602; // @[el2_lsu_bus_buffer.scala 562:63] wire [31:0] _T_4605 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4606 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 562:45] - wire _T_4607 = lsu_nonblock_unsign & _T_4606; // @[el2_lsu_bus_buffer.scala 562:26] + wire _T_4606 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 563:45] + wire _T_4607 = lsu_nonblock_unsign & _T_4606; // @[el2_lsu_bus_buffer.scala 563:26] wire [31:0] _T_4609 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4610 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 563:6] - wire _T_4612 = _T_4610 & _T_4602; // @[el2_lsu_bus_buffer.scala 563:27] + wire _T_4610 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 564:6] + wire _T_4612 = _T_4610 & _T_4602; // @[el2_lsu_bus_buffer.scala 564:27] wire [23:0] _T_4615 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4617 = {_T_4615,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4620 = _T_4610 & _T_4606; // @[el2_lsu_bus_buffer.scala 564:27] + wire _T_4620 = _T_4610 & _T_4606; // @[el2_lsu_bus_buffer.scala 565:27] wire [15:0] _T_4623 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4625 = {_T_4623,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4626 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 565:21] + wire _T_4626 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 566:21] wire [31:0] _T_4627 = _T_4603 ? _T_4605 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4628 = _T_4607 ? _T_4609 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4629 = _T_4612 ? _T_4617 : 32'h0; // @[Mux.scala 27:72] @@ -2466,49 +2465,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4634 = _T_4633 | _T_4630; // @[Mux.scala 27:72] wire [63:0] _GEN_410 = {{32'd0}, _T_4634}; // @[Mux.scala 27:72] wire [63:0] _T_4635 = _GEN_410 | _T_4631; // @[Mux.scala 27:72] - wire _T_4730 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 583:36] - wire _T_4731 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 583:51] - wire _T_4732 = _T_4730 & _T_4731; // @[el2_lsu_bus_buffer.scala 583:49] + wire _T_4730 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 584:36] + wire _T_4731 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 584:51] + wire _T_4732 = _T_4730 & _T_4731; // @[el2_lsu_bus_buffer.scala 584:49] wire [31:0] _T_4736 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4738 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4743 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 595:50] - wire _T_4744 = _T_4730 & _T_4743; // @[el2_lsu_bus_buffer.scala 595:48] + wire _T_4743 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 596:50] + wire _T_4744 = _T_4730 & _T_4743; // @[el2_lsu_bus_buffer.scala 596:48] wire [7:0] _T_4748 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4751 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 600:36] - wire _T_4753 = _T_4751 & _T_1275; // @[el2_lsu_bus_buffer.scala 600:50] - wire _T_4765 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4767 = _T_4765 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4770 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4772 = _T_4770 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4775 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4777 = _T_4775 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4780 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4782 = _T_4780 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 613:129] + wire _T_4751 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 601:36] + wire _T_4753 = _T_4751 & _T_1275; // @[el2_lsu_bus_buffer.scala 601:50] + wire _T_4765 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4767 = _T_4765 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 614:129] + wire _T_4770 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4772 = _T_4770 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 614:129] + wire _T_4775 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4777 = _T_4775 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 614:129] + wire _T_4780 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4782 = _T_4780 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 614:129] wire _T_4783 = _T_2651 & _T_4767; // @[Mux.scala 27:72] wire _T_4784 = _T_2673 & _T_4772; // @[Mux.scala 27:72] wire _T_4785 = _T_2695 & _T_4777; // @[Mux.scala 27:72] wire _T_4786 = _T_2717 & _T_4782; // @[Mux.scala 27:72] wire _T_4787 = _T_4783 | _T_4784; // @[Mux.scala 27:72] wire _T_4788 = _T_4787 | _T_4785; // @[Mux.scala 27:72] - wire _T_4798 = _T_2673 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 614:98] - wire lsu_imprecise_error_store_tag = _T_4798 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 614:113] - wire _T_4804 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 616:72] + wire _T_4798 = _T_2673 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 615:98] + wire lsu_imprecise_error_store_tag = _T_4798 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 615:113] + wire _T_4804 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 617:72] wire _T_4806 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] wire [31:0] _T_4808 = _T_4806 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4809 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4810 = _T_4808 | _T_4809; // @[Mux.scala 27:72] - wire _T_4827 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 623:68] - wire _T_4830 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 624:48] - wire _T_4833 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 627:48] - wire _T_4834 = io_lsu_axi_awvalid & _T_4833; // @[el2_lsu_bus_buffer.scala 627:46] - wire _T_4835 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 627:92] - wire _T_4836 = io_lsu_axi_wvalid & _T_4835; // @[el2_lsu_bus_buffer.scala 627:90] - wire _T_4837 = _T_4834 | _T_4836; // @[el2_lsu_bus_buffer.scala 627:69] - wire _T_4838 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 627:136] - wire _T_4839 = io_lsu_axi_arvalid & _T_4838; // @[el2_lsu_bus_buffer.scala 627:134] - wire _T_4843 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 631:75] - wire _T_4844 = io_lsu_busreq_m & _T_4843; // @[el2_lsu_bus_buffer.scala 631:73] - reg _T_4847; // @[el2_lsu_bus_buffer.scala 631:56] + wire _T_4827 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 624:68] + wire _T_4830 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 625:48] + wire _T_4833 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 628:48] + wire _T_4834 = io_lsu_axi_awvalid & _T_4833; // @[el2_lsu_bus_buffer.scala 628:46] + wire _T_4835 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 628:92] + wire _T_4836 = io_lsu_axi_wvalid & _T_4835; // @[el2_lsu_bus_buffer.scala 628:90] + wire _T_4837 = _T_4834 | _T_4836; // @[el2_lsu_bus_buffer.scala 628:69] + wire _T_4838 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 628:136] + wire _T_4839 = io_lsu_axi_arvalid & _T_4838; // @[el2_lsu_bus_buffer.scala 628:134] + wire _T_4843 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 632:75] + wire _T_4844 = io_lsu_busreq_m & _T_4843; // @[el2_lsu_bus_buffer.scala 632:73] + reg _T_4847; // @[el2_lsu_bus_buffer.scala 632:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2581,59 +2580,59 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4847; // @[el2_lsu_bus_buffer.scala 631:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 541:30] - assign io_lsu_bus_buffer_full_any = _T_4358 ? _T_4359 : _T_4360; // @[el2_lsu_bus_buffer.scala 542:30] - assign io_lsu_bus_buffer_empty_any = _T_4371 & _T_1157; // @[el2_lsu_bus_buffer.scala 543:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 620:23] + assign io_lsu_busreq_r = _T_4847; // @[el2_lsu_bus_buffer.scala 632:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 542:30] + assign io_lsu_bus_buffer_full_any = _T_4358 ? _T_4359 : _T_4360; // @[el2_lsu_bus_buffer.scala 543:30] + assign io_lsu_bus_buffer_empty_any = _T_4371 & _T_1157; // @[el2_lsu_bus_buffer.scala 544:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 621:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4804; // @[el2_lsu_bus_buffer.scala 616:35] - assign io_lsu_imprecise_error_store_any = _T_4788 | _T_4786; // @[el2_lsu_bus_buffer.scala 613:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4810 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 617:35] - assign io_lsu_nonblock_load_valid_m = _T_4377 & _T_4378; // @[el2_lsu_bus_buffer.scala 545:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 546:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4380; // @[el2_lsu_bus_buffer.scala 548:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 549:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4600; // @[el2_lsu_bus_buffer.scala 560:35] - assign io_lsu_nonblock_load_data_error = _T_4430 | _T_4428; // @[el2_lsu_bus_buffer.scala 551:35] - assign io_lsu_nonblock_load_data_tag = _T_4470 | _T_4468; // @[el2_lsu_bus_buffer.scala 552:33] - assign io_lsu_nonblock_load_data = _T_4635[31:0]; // @[el2_lsu_bus_buffer.scala 561:29] - assign io_lsu_pmu_bus_trxn = _T_4827 | _T_4722; // @[el2_lsu_bus_buffer.scala 623:23] - assign io_lsu_pmu_bus_misaligned = _T_4830 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 624:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 625:24] - assign io_lsu_pmu_bus_busy = _T_4837 | _T_4839; // @[el2_lsu_bus_buffer.scala 627:23] - assign io_lsu_axi_awvalid = _T_4732 & _T_1165; // @[el2_lsu_bus_buffer.scala 583:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 584:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 585:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 589:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 590:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4738 : 3'h2; // @[el2_lsu_bus_buffer.scala 586:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 591:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 593:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 588:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 587:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 592:20] - assign io_lsu_axi_wvalid = _T_4744 & _T_1165; // @[el2_lsu_bus_buffer.scala 595:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 597:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4748; // @[el2_lsu_bus_buffer.scala 596:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 598:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 611:21] - assign io_lsu_axi_arvalid = _T_4753 & _T_1165; // @[el2_lsu_bus_buffer.scala 600:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 601:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 602:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 606:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 607:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4738 : 3'h3; // @[el2_lsu_bus_buffer.scala 603:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 608:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 610:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 605:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 604:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 609:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 612:21] - assign io_test = _T_1840 ? 2'h0 : _T_1885; // @[el2_lsu_bus_buffer.scala 398:11] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4804; // @[el2_lsu_bus_buffer.scala 617:35] + assign io_lsu_imprecise_error_store_any = _T_4788 | _T_4786; // @[el2_lsu_bus_buffer.scala 614:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4810 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 618:35] + assign io_lsu_nonblock_load_valid_m = _T_4377 & _T_4378; // @[el2_lsu_bus_buffer.scala 546:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 547:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4380; // @[el2_lsu_bus_buffer.scala 549:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 550:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4600; // @[el2_lsu_bus_buffer.scala 561:35] + assign io_lsu_nonblock_load_data_error = _T_4430 | _T_4428; // @[el2_lsu_bus_buffer.scala 552:35] + assign io_lsu_nonblock_load_data_tag = _T_4470 | _T_4468; // @[el2_lsu_bus_buffer.scala 553:33] + assign io_lsu_nonblock_load_data = _T_4635[31:0]; // @[el2_lsu_bus_buffer.scala 562:29] + assign io_lsu_pmu_bus_trxn = _T_4827 | _T_4722; // @[el2_lsu_bus_buffer.scala 624:23] + assign io_lsu_pmu_bus_misaligned = _T_4830 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 625:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 626:24] + assign io_lsu_pmu_bus_busy = _T_4837 | _T_4839; // @[el2_lsu_bus_buffer.scala 628:23] + assign io_lsu_axi_awvalid = _T_4732 & _T_1165; // @[el2_lsu_bus_buffer.scala 584:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 585:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 586:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 590:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 591:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4738 : 3'h2; // @[el2_lsu_bus_buffer.scala 587:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 592:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 594:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 589:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 588:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 593:20] + assign io_lsu_axi_wvalid = _T_4744 & _T_1165; // @[el2_lsu_bus_buffer.scala 596:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 598:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4748; // @[el2_lsu_bus_buffer.scala 597:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 599:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 612:21] + assign io_lsu_axi_arvalid = _T_4753 & _T_1165; // @[el2_lsu_bus_buffer.scala 601:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 602:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 603:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 607:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 608:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4738 : 3'h3; // @[el2_lsu_bus_buffer.scala 604:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 609:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 611:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 606:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 605:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 610:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 613:21] + assign io_test = CmdPtr0Dec[0] ? 2'h0 : _T_1983; // @[el2_lsu_bus_buffer.scala 410:11] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 6a8f1896..2a261d26 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -395,7 +395,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val found_array2 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | (io.lsu_busreq_m & (WrPtr0_m===i.U)) | (io.lsu_busreq_r & (WrPtr0_r === i.U)) | (io.ldst_dual_r & (WrPtr1_r===i.U))))->i.U) val WrPtr1_m = MuxCase(0.U, found_array2) - io.test := WrPtr1_m + //io.test := WrPtr1_m val buf_age = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_age := buf_age.map(i=> 0.U) val CmdPtr0Dec = (0 until DEPTH).map(i=> (!(buf_age(i).orR) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) @@ -407,6 +407,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { found_cmdptr1 := CmdPtr1Dec.orR val CmdPtr0 = PriorityEncoder(CmdPtr0Dec) + io.test := CmdPtr0 val CmdPtr1 = PriorityEncoder(CmdPtr1Dec) val RspPtr = PriorityEncoder(RspPtrDec) val buf_state_en = Wire(Vec(DEPTH, Bool())) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 1af544ea..07ffed62 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$.class and b/target/scala-2.12/classes/lsu/BusBufmain$.class differ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 1d81bd4e..1889ab59 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class and b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 10f90ed0..6fb641e4 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class differ