From 69dfc447d80daf8c5c950b50bce5cf6e90b6bac4 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sat, 7 Nov 2020 17:41:19 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.anno.json | 9 - el2_lsu_bus_buffer.fir | 5566 ++++++++--------- el2_lsu_bus_buffer.v | 1969 +++--- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 3 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 494065 -> 494075 bytes 7 files changed, 3769 insertions(+), 3778 deletions(-) diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 44ab8dcc..5a10329a 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -71,15 +71,6 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data_error" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_test", - "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_imprecise_error_addr_any", diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 6a063260..224edea7 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2701,7 +2701,6 @@ circuit el2_lsu_bus_buffer : node _T_1884 = mux(_T_1868, UInt<2>("h02"), _T_1883) @[Mux.scala 98:16] node _T_1885 = mux(_T_1854, UInt<1>("h01"), _T_1884) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1840, UInt<1>("h00"), _T_1885) @[Mux.scala 98:16] - io.test <= WrPtr1_m @[el2_lsu_bus_buffer.scala 398:11] wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 399:21] buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] @@ -2816,6 +2815,7 @@ circuit el2_lsu_bus_buffer : node _T_1982 = mux(_T_1980, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] node _T_1983 = mux(_T_1979, UInt<1>("h01"), _T_1982) @[Mux.scala 47:69] node CmdPtr0 = mux(_T_1978, UInt<1>("h00"), _T_1983) @[Mux.scala 47:69] + io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 410:11] node _T_1984 = bits(CmdPtr1Dec, 0, 0) @[OneHot.scala 47:40] node _T_1985 = bits(CmdPtr1Dec, 1, 1) @[OneHot.scala 47:40] node _T_1986 = bits(CmdPtr1Dec, 2, 2) @[OneHot.scala 47:40] @@ -2830,1581 +2830,1581 @@ circuit el2_lsu_bus_buffer : node _T_1994 = mux(_T_1992, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] node _T_1995 = mux(_T_1991, UInt<1>("h01"), _T_1994) @[Mux.scala 47:69] node RspPtr = mux(_T_1990, UInt<1>("h00"), _T_1995) @[Mux.scala 47:69] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 412:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 414:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 415:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 416:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 417:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 418:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 419:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 420:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] - node _T_1996 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1997 = and(_T_1996, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_1998 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_1999 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2000 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2001 = and(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2002 = or(_T_1998, _T_2001) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2003 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2004 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2006 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2008 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2010 = or(_T_2002, _T_2009) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2011 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2012 = and(_T_2011, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2013 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2014 = and(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2015 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2017 = or(_T_2010, _T_2016) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2018 = and(_T_1997, _T_2017) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2019 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2020 = or(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2021 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2022 = and(_T_2021, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2023 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2024 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2025 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2026 = and(_T_2024, _T_2025) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2027 = or(_T_2023, _T_2026) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2031 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2033 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2035 = or(_T_2027, _T_2034) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2037 = and(_T_2036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2038 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2039 = and(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2040 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2041 = and(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2042 = or(_T_2035, _T_2041) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2043 = and(_T_2022, _T_2042) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2044 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2045 = or(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2046 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2047 = and(_T_2046, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2048 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2049 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2050 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2052 = or(_T_2048, _T_2051) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2053 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2054 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2056 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2058 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2060 = or(_T_2052, _T_2059) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2061 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2062 = and(_T_2061, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2063 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2067 = or(_T_2060, _T_2066) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2068 = and(_T_2047, _T_2067) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2069 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2070 = or(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2071 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2072 = and(_T_2071, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2073 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2074 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2075 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2076 = and(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2077 = or(_T_2073, _T_2076) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2078 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2079 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2081 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2082 = and(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2083 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2084 = and(_T_2082, _T_2083) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2085 = or(_T_2077, _T_2084) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2086 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2087 = and(_T_2086, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2088 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2092 = or(_T_2085, _T_2091) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2093 = and(_T_2072, _T_2092) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2094 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 426:97] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 413:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 414:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 415:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 417:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 418:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 419:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 421:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:14] + node _T_1996 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1997 = and(_T_1996, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_1998 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_1999 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2000 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2001 = and(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2002 = or(_T_1998, _T_2001) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2003 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2004 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2006 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2008 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2010 = or(_T_2002, _T_2009) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2011 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2012 = and(_T_2011, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2013 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2014 = and(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2015 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2017 = or(_T_2010, _T_2016) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2018 = and(_T_1997, _T_2017) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2019 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2020 = or(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2021 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2022 = and(_T_2021, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2023 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2024 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2025 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2026 = and(_T_2024, _T_2025) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2027 = or(_T_2023, _T_2026) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2031 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2033 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2035 = or(_T_2027, _T_2034) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2037 = and(_T_2036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2038 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2039 = and(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2040 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2041 = and(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2042 = or(_T_2035, _T_2041) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2043 = and(_T_2022, _T_2042) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2044 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2045 = or(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2046 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2047 = and(_T_2046, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2048 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2049 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2050 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2052 = or(_T_2048, _T_2051) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2053 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2054 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2056 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2058 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2060 = or(_T_2052, _T_2059) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2061 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2062 = and(_T_2061, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2063 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2067 = or(_T_2060, _T_2066) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2068 = and(_T_2047, _T_2067) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2069 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2070 = or(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2071 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2072 = and(_T_2071, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2073 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2074 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2075 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2076 = and(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2077 = or(_T_2073, _T_2076) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2078 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2079 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2081 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2082 = and(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2083 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2084 = and(_T_2082, _T_2083) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2085 = or(_T_2077, _T_2084) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2086 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2087 = and(_T_2086, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2088 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2092 = or(_T_2085, _T_2091) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2093 = and(_T_2072, _T_2092) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2094 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 427:97] node _T_2096 = cat(_T_2095, _T_2070) @[Cat.scala 29:58] node _T_2097 = cat(_T_2096, _T_2045) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2097, _T_2020) @[Cat.scala 29:58] - node _T_2098 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2099 = and(_T_2098, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2100 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2101 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2102 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2103 = and(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2104 = or(_T_2100, _T_2103) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2106 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2107 = and(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2108 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2110 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2111 = and(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2112 = or(_T_2104, _T_2111) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2113 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2114 = and(_T_2113, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2115 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2117 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2118 = and(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2119 = or(_T_2112, _T_2118) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2120 = and(_T_2099, _T_2119) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2121 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2123 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2124 = and(_T_2123, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2125 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2126 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2127 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2128 = and(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2129 = or(_T_2125, _T_2128) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2130 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2131 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2132 = and(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2133 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2135 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2136 = and(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2137 = or(_T_2129, _T_2136) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2138 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2139 = and(_T_2138, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2140 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2142 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2144 = or(_T_2137, _T_2143) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2145 = and(_T_2124, _T_2144) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2146 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2147 = or(_T_2145, _T_2146) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2148 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2149 = and(_T_2148, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2150 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2151 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2152 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2154 = or(_T_2150, _T_2153) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2155 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2156 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2158 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2159 = and(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2160 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2162 = or(_T_2154, _T_2161) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2163 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2164 = and(_T_2163, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2165 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2167 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2169 = or(_T_2162, _T_2168) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2170 = and(_T_2149, _T_2169) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2171 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2172 = or(_T_2170, _T_2171) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2173 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2174 = and(_T_2173, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2175 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2176 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2177 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2179 = or(_T_2175, _T_2178) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2180 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2181 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2183 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2185 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2187 = or(_T_2179, _T_2186) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2188 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2189 = and(_T_2188, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2190 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2192 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2194 = or(_T_2187, _T_2193) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2195 = and(_T_2174, _T_2194) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2196 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2197 = or(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2098 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2099 = and(_T_2098, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2100 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2101 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2102 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2103 = and(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2104 = or(_T_2100, _T_2103) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2106 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2107 = and(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2108 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2110 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2111 = and(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2112 = or(_T_2104, _T_2111) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2113 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2114 = and(_T_2113, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2115 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2117 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2118 = and(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2119 = or(_T_2112, _T_2118) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2120 = and(_T_2099, _T_2119) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2121 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2123 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2124 = and(_T_2123, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2125 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2126 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2127 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2128 = and(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2129 = or(_T_2125, _T_2128) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2130 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2131 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2132 = and(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2133 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2135 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2136 = and(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2137 = or(_T_2129, _T_2136) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2138 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2139 = and(_T_2138, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2140 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2142 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2144 = or(_T_2137, _T_2143) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2145 = and(_T_2124, _T_2144) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2146 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2147 = or(_T_2145, _T_2146) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2148 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2149 = and(_T_2148, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2150 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2151 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2152 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2154 = or(_T_2150, _T_2153) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2155 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2156 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2158 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2159 = and(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2160 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2162 = or(_T_2154, _T_2161) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2163 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2164 = and(_T_2163, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2165 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2167 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2169 = or(_T_2162, _T_2168) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2170 = and(_T_2149, _T_2169) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2171 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2172 = or(_T_2170, _T_2171) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2173 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2174 = and(_T_2173, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2175 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2176 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2177 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2179 = or(_T_2175, _T_2178) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2180 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2181 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2183 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2185 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2187 = or(_T_2179, _T_2186) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2188 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2189 = and(_T_2188, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2190 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2192 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2194 = or(_T_2187, _T_2193) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2195 = and(_T_2174, _T_2194) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2196 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2197 = or(_T_2195, _T_2196) @[el2_lsu_bus_buffer.scala 427:97] node _T_2198 = cat(_T_2197, _T_2172) @[Cat.scala 29:58] node _T_2199 = cat(_T_2198, _T_2147) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2199, _T_2122) @[Cat.scala 29:58] - node _T_2200 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2201 = and(_T_2200, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2202 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2203 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2204 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2205 = and(_T_2203, _T_2204) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2206 = or(_T_2202, _T_2205) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2207 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2208 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2210 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2212 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2214 = or(_T_2206, _T_2213) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2215 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2216 = and(_T_2215, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2217 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2219 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2221 = or(_T_2214, _T_2220) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2222 = and(_T_2201, _T_2221) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2223 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2224 = or(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2225 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2226 = and(_T_2225, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2227 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2228 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2229 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2230 = and(_T_2228, _T_2229) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2231 = or(_T_2227, _T_2230) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2232 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2233 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2235 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2237 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2239 = or(_T_2231, _T_2238) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2240 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2241 = and(_T_2240, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2242 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2244 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2246 = or(_T_2239, _T_2245) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2247 = and(_T_2226, _T_2246) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2248 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2249 = or(_T_2247, _T_2248) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2250 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2251 = and(_T_2250, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2252 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2253 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2254 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2256 = or(_T_2252, _T_2255) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2257 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2258 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2260 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2262 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2264 = or(_T_2256, _T_2263) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2265 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2266 = and(_T_2265, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2267 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2269 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2271 = or(_T_2264, _T_2270) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2272 = and(_T_2251, _T_2271) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2273 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2274 = or(_T_2272, _T_2273) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2275 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2276 = and(_T_2275, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2277 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2278 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2279 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2281 = or(_T_2277, _T_2280) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2282 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2283 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2285 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2287 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2289 = or(_T_2281, _T_2288) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2290 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2291 = and(_T_2290, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2292 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2294 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2296 = or(_T_2289, _T_2295) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2297 = and(_T_2276, _T_2296) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2298 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2299 = or(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2200 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2201 = and(_T_2200, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2202 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2203 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2204 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2205 = and(_T_2203, _T_2204) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2206 = or(_T_2202, _T_2205) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2207 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2208 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2210 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2212 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2214 = or(_T_2206, _T_2213) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2215 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2216 = and(_T_2215, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2217 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2219 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2221 = or(_T_2214, _T_2220) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2222 = and(_T_2201, _T_2221) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2223 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2224 = or(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2225 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2226 = and(_T_2225, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2227 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2228 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2229 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2230 = and(_T_2228, _T_2229) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2231 = or(_T_2227, _T_2230) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2232 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2233 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2235 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2237 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2239 = or(_T_2231, _T_2238) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2240 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2241 = and(_T_2240, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2242 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2244 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2246 = or(_T_2239, _T_2245) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2247 = and(_T_2226, _T_2246) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2248 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2249 = or(_T_2247, _T_2248) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2250 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2251 = and(_T_2250, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2252 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2253 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2254 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2256 = or(_T_2252, _T_2255) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2257 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2258 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2260 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2262 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2264 = or(_T_2256, _T_2263) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2265 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2266 = and(_T_2265, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2267 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2269 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2271 = or(_T_2264, _T_2270) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2272 = and(_T_2251, _T_2271) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2273 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2274 = or(_T_2272, _T_2273) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2275 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2276 = and(_T_2275, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2277 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2278 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2279 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2281 = or(_T_2277, _T_2280) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2282 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2283 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2285 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2287 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2289 = or(_T_2281, _T_2288) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2290 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2291 = and(_T_2290, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2292 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2294 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2296 = or(_T_2289, _T_2295) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2297 = and(_T_2276, _T_2296) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2298 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2299 = or(_T_2297, _T_2298) @[el2_lsu_bus_buffer.scala 427:97] node _T_2300 = cat(_T_2299, _T_2274) @[Cat.scala 29:58] node _T_2301 = cat(_T_2300, _T_2249) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2301, _T_2224) @[Cat.scala 29:58] - node _T_2302 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2303 = and(_T_2302, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2304 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2305 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2306 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2307 = and(_T_2305, _T_2306) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2308 = or(_T_2304, _T_2307) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2309 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2310 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2312 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2314 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2316 = or(_T_2308, _T_2315) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2317 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2318 = and(_T_2317, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2319 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2321 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2323 = or(_T_2316, _T_2322) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2324 = and(_T_2303, _T_2323) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2325 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2326 = or(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2327 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2328 = and(_T_2327, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2329 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2330 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2332 = and(_T_2330, _T_2331) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2333 = or(_T_2329, _T_2332) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2334 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2335 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2337 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2339 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2341 = or(_T_2333, _T_2340) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2342 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2343 = and(_T_2342, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2344 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2346 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2348 = or(_T_2341, _T_2347) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2349 = and(_T_2328, _T_2348) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2350 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2351 = or(_T_2349, _T_2350) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2352 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2353 = and(_T_2352, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2354 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2355 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2356 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2358 = or(_T_2354, _T_2357) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2359 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2360 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2362 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2364 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2366 = or(_T_2358, _T_2365) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2367 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2368 = and(_T_2367, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2369 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2371 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2373 = or(_T_2366, _T_2372) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2374 = and(_T_2353, _T_2373) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2375 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2376 = or(_T_2374, _T_2375) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2377 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2378 = and(_T_2377, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2379 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2380 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2381 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2383 = or(_T_2379, _T_2382) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2384 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2385 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2387 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2389 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2391 = or(_T_2383, _T_2390) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2392 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2393 = and(_T_2392, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2394 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2396 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2398 = or(_T_2391, _T_2397) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2399 = and(_T_2378, _T_2398) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2400 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2401 = or(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2302 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2303 = and(_T_2302, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2304 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2305 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2306 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2307 = and(_T_2305, _T_2306) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2308 = or(_T_2304, _T_2307) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2309 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2310 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2312 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2314 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2316 = or(_T_2308, _T_2315) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2317 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2318 = and(_T_2317, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2319 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2321 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2323 = or(_T_2316, _T_2322) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2324 = and(_T_2303, _T_2323) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2325 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2326 = or(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2327 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2328 = and(_T_2327, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2329 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2330 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2332 = and(_T_2330, _T_2331) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2333 = or(_T_2329, _T_2332) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2334 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2335 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2337 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2339 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2341 = or(_T_2333, _T_2340) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2342 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2343 = and(_T_2342, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2344 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2346 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2348 = or(_T_2341, _T_2347) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2349 = and(_T_2328, _T_2348) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2350 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2351 = or(_T_2349, _T_2350) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2352 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2353 = and(_T_2352, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2354 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2355 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2356 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2358 = or(_T_2354, _T_2357) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2359 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2360 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2362 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2364 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2366 = or(_T_2358, _T_2365) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2367 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2368 = and(_T_2367, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2369 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2371 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2373 = or(_T_2366, _T_2372) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2374 = and(_T_2353, _T_2373) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2375 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2376 = or(_T_2374, _T_2375) @[el2_lsu_bus_buffer.scala 427:97] + node _T_2377 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 424:83] + node _T_2378 = and(_T_2377, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 424:94] + node _T_2379 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 425:20] + node _T_2380 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 425:47] + node _T_2381 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:59] + node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 425:57] + node _T_2383 = or(_T_2379, _T_2382) @[el2_lsu_bus_buffer.scala 425:31] + node _T_2384 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:23] + node _T_2385 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:53] + node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 426:41] + node _T_2387 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:83] + node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 426:71] + node _T_2389 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:104] + node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 426:92] + node _T_2391 = or(_T_2383, _T_2390) @[el2_lsu_bus_buffer.scala 425:86] + node _T_2392 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 427:17] + node _T_2393 = and(_T_2392, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 427:35] + node _T_2394 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:64] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 427:52] + node _T_2396 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:85] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 427:73] + node _T_2398 = or(_T_2391, _T_2397) @[el2_lsu_bus_buffer.scala 426:114] + node _T_2399 = and(_T_2378, _T_2398) @[el2_lsu_bus_buffer.scala 424:113] + node _T_2400 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 427:109] + node _T_2401 = or(_T_2399, _T_2400) @[el2_lsu_bus_buffer.scala 427:97] node _T_2402 = cat(_T_2401, _T_2376) @[Cat.scala 29:58] node _T_2403 = cat(_T_2402, _T_2351) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2403, _T_2326) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] - node _T_2404 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2405 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2406 = and(_T_2405, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2407 = and(_T_2404, _T_2406) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2408 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2409 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2410 = and(_T_2409, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2411 = and(_T_2408, _T_2410) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2412 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2413 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2414 = and(_T_2413, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2415 = and(_T_2412, _T_2414) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2416 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2417 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2418 = and(_T_2417, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2419 = and(_T_2416, _T_2418) @[el2_lsu_bus_buffer.scala 429:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 428:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:12] + node _T_2404 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2405 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2406 = and(_T_2405, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2407 = and(_T_2404, _T_2406) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2408 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2409 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2410 = and(_T_2409, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2411 = and(_T_2408, _T_2410) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2412 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2413 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2414 = and(_T_2413, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2415 = and(_T_2412, _T_2414) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2416 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2417 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2418 = and(_T_2417, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2419 = and(_T_2416, _T_2418) @[el2_lsu_bus_buffer.scala 430:78] node _T_2420 = cat(_T_2419, _T_2415) @[Cat.scala 29:58] node _T_2421 = cat(_T_2420, _T_2411) @[Cat.scala 29:58] node _T_2422 = cat(_T_2421, _T_2407) @[Cat.scala 29:58] - node _T_2423 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2424 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2425 = and(_T_2424, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2426 = and(_T_2423, _T_2425) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2427 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2428 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2429 = and(_T_2428, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2430 = and(_T_2427, _T_2429) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2431 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2432 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2433 = and(_T_2432, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2434 = and(_T_2431, _T_2433) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2435 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2437 = and(_T_2436, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2438 = and(_T_2435, _T_2437) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2423 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2424 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2425 = and(_T_2424, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2426 = and(_T_2423, _T_2425) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2427 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2428 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2429 = and(_T_2428, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2430 = and(_T_2427, _T_2429) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2431 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2432 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2433 = and(_T_2432, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2434 = and(_T_2431, _T_2433) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2435 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2437 = and(_T_2436, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2438 = and(_T_2435, _T_2437) @[el2_lsu_bus_buffer.scala 430:78] node _T_2439 = cat(_T_2438, _T_2434) @[Cat.scala 29:58] node _T_2440 = cat(_T_2439, _T_2430) @[Cat.scala 29:58] node _T_2441 = cat(_T_2440, _T_2426) @[Cat.scala 29:58] - node _T_2442 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2444 = and(_T_2443, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2445 = and(_T_2442, _T_2444) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2446 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2447 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2448 = and(_T_2447, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2449 = and(_T_2446, _T_2448) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2450 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2451 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2452 = and(_T_2451, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2453 = and(_T_2450, _T_2452) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2454 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2456 = and(_T_2455, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2457 = and(_T_2454, _T_2456) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2442 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2444 = and(_T_2443, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2445 = and(_T_2442, _T_2444) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2446 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2447 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2448 = and(_T_2447, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2449 = and(_T_2446, _T_2448) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2450 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2451 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2452 = and(_T_2451, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2453 = and(_T_2450, _T_2452) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2454 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2456 = and(_T_2455, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2457 = and(_T_2454, _T_2456) @[el2_lsu_bus_buffer.scala 430:78] node _T_2458 = cat(_T_2457, _T_2453) @[Cat.scala 29:58] node _T_2459 = cat(_T_2458, _T_2449) @[Cat.scala 29:58] node _T_2460 = cat(_T_2459, _T_2445) @[Cat.scala 29:58] - node _T_2461 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2465 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2469 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2473 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2461 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2465 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2469 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2473 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 430:104] + node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 430:78] node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - buf_age[0] <= _T_2422 @[el2_lsu_bus_buffer.scala 429:13] - buf_age[1] <= _T_2441 @[el2_lsu_bus_buffer.scala 429:13] - buf_age[2] <= _T_2460 @[el2_lsu_bus_buffer.scala 429:13] - buf_age[3] <= _T_2479 @[el2_lsu_bus_buffer.scala 429:13] - node _T_2480 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2481 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2482 = eq(_T_2481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2483 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2485 = mux(_T_2480, UInt<1>("h00"), _T_2484) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2486 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2487 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2488 = eq(_T_2487, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2489 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2490 = and(_T_2488, _T_2489) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2491 = mux(_T_2486, UInt<1>("h00"), _T_2490) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2492 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2493 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2494 = eq(_T_2493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2495 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2496 = and(_T_2494, _T_2495) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2497 = mux(_T_2492, UInt<1>("h00"), _T_2496) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2498 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2499 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2500 = eq(_T_2499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2501 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2502 = and(_T_2500, _T_2501) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2503 = mux(_T_2498, UInt<1>("h00"), _T_2502) @[el2_lsu_bus_buffer.scala 430:74] + buf_age[0] <= _T_2422 @[el2_lsu_bus_buffer.scala 430:13] + buf_age[1] <= _T_2441 @[el2_lsu_bus_buffer.scala 430:13] + buf_age[2] <= _T_2460 @[el2_lsu_bus_buffer.scala 430:13] + buf_age[3] <= _T_2479 @[el2_lsu_bus_buffer.scala 430:13] + node _T_2480 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2481 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2482 = eq(_T_2481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2483 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2485 = mux(_T_2480, UInt<1>("h00"), _T_2484) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2486 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2487 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2488 = eq(_T_2487, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2489 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2490 = and(_T_2488, _T_2489) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2491 = mux(_T_2486, UInt<1>("h00"), _T_2490) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2492 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2493 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2494 = eq(_T_2493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2495 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2496 = and(_T_2494, _T_2495) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2497 = mux(_T_2492, UInt<1>("h00"), _T_2496) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2498 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2499 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2500 = eq(_T_2499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2501 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2502 = and(_T_2500, _T_2501) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2503 = mux(_T_2498, UInt<1>("h00"), _T_2502) @[el2_lsu_bus_buffer.scala 431:74] node _T_2504 = cat(_T_2503, _T_2497) @[Cat.scala 29:58] node _T_2505 = cat(_T_2504, _T_2491) @[Cat.scala 29:58] node _T_2506 = cat(_T_2505, _T_2485) @[Cat.scala 29:58] - node _T_2507 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2508 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2510 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2511 = and(_T_2509, _T_2510) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2512 = mux(_T_2507, UInt<1>("h00"), _T_2511) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2513 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2514 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2515 = eq(_T_2514, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2516 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2518 = mux(_T_2513, UInt<1>("h00"), _T_2517) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2519 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2520 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2521 = eq(_T_2520, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2522 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2524 = mux(_T_2519, UInt<1>("h00"), _T_2523) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2525 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2526 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2527 = eq(_T_2526, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2528 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2530 = mux(_T_2525, UInt<1>("h00"), _T_2529) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2507 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2508 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2510 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2511 = and(_T_2509, _T_2510) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2512 = mux(_T_2507, UInt<1>("h00"), _T_2511) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2513 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2514 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2515 = eq(_T_2514, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2516 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2518 = mux(_T_2513, UInt<1>("h00"), _T_2517) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2519 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2520 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2521 = eq(_T_2520, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2522 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2524 = mux(_T_2519, UInt<1>("h00"), _T_2523) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2525 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2526 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2527 = eq(_T_2526, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2528 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2530 = mux(_T_2525, UInt<1>("h00"), _T_2529) @[el2_lsu_bus_buffer.scala 431:74] node _T_2531 = cat(_T_2530, _T_2524) @[Cat.scala 29:58] node _T_2532 = cat(_T_2531, _T_2518) @[Cat.scala 29:58] node _T_2533 = cat(_T_2532, _T_2512) @[Cat.scala 29:58] - node _T_2534 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2535 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2536 = eq(_T_2535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2537 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2539 = mux(_T_2534, UInt<1>("h00"), _T_2538) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2540 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2541 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2542 = eq(_T_2541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2543 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2545 = mux(_T_2540, UInt<1>("h00"), _T_2544) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2546 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2547 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2548 = eq(_T_2547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2549 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2551 = mux(_T_2546, UInt<1>("h00"), _T_2550) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2552 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2553 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2555 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2556 = and(_T_2554, _T_2555) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2557 = mux(_T_2552, UInt<1>("h00"), _T_2556) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2534 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2535 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2536 = eq(_T_2535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2537 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2538 = and(_T_2536, _T_2537) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2539 = mux(_T_2534, UInt<1>("h00"), _T_2538) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2540 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2541 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2542 = eq(_T_2541, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2543 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2545 = mux(_T_2540, UInt<1>("h00"), _T_2544) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2546 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2547 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2548 = eq(_T_2547, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2549 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2551 = mux(_T_2546, UInt<1>("h00"), _T_2550) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2552 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2553 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2555 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2556 = and(_T_2554, _T_2555) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2557 = mux(_T_2552, UInt<1>("h00"), _T_2556) @[el2_lsu_bus_buffer.scala 431:74] node _T_2558 = cat(_T_2557, _T_2551) @[Cat.scala 29:58] node _T_2559 = cat(_T_2558, _T_2545) @[Cat.scala 29:58] node _T_2560 = cat(_T_2559, _T_2539) @[Cat.scala 29:58] - node _T_2561 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2562 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2564 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2566 = mux(_T_2561, UInt<1>("h00"), _T_2565) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2567 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2568 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2570 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2571 = and(_T_2569, _T_2570) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2572 = mux(_T_2567, UInt<1>("h00"), _T_2571) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2573 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2574 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2576 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2577 = and(_T_2575, _T_2576) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2578 = mux(_T_2573, UInt<1>("h00"), _T_2577) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2579 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2580 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2581 = eq(_T_2580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2582 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2583 = and(_T_2581, _T_2582) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2584 = mux(_T_2579, UInt<1>("h00"), _T_2583) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2561 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2562 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2564 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2565 = and(_T_2563, _T_2564) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2566 = mux(_T_2561, UInt<1>("h00"), _T_2565) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2567 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2568 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2570 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2571 = and(_T_2569, _T_2570) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2572 = mux(_T_2567, UInt<1>("h00"), _T_2571) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2573 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2574 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2576 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2577 = and(_T_2575, _T_2576) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2578 = mux(_T_2573, UInt<1>("h00"), _T_2577) @[el2_lsu_bus_buffer.scala 431:74] + node _T_2579 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:78] + node _T_2580 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 431:102] + node _T_2581 = eq(_T_2580, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:91] + node _T_2582 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 431:121] + node _T_2583 = and(_T_2581, _T_2582) @[el2_lsu_bus_buffer.scala 431:106] + node _T_2584 = mux(_T_2579, UInt<1>("h00"), _T_2583) @[el2_lsu_bus_buffer.scala 431:74] node _T_2585 = cat(_T_2584, _T_2578) @[Cat.scala 29:58] node _T_2586 = cat(_T_2585, _T_2572) @[Cat.scala 29:58] node _T_2587 = cat(_T_2586, _T_2566) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2506 @[el2_lsu_bus_buffer.scala 430:21] - buf_age_younger[1] <= _T_2533 @[el2_lsu_bus_buffer.scala 430:21] - buf_age_younger[2] <= _T_2560 @[el2_lsu_bus_buffer.scala 430:21] - buf_age_younger[3] <= _T_2587 @[el2_lsu_bus_buffer.scala 430:21] - node _T_2588 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2589 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2590 = and(_T_2588, _T_2589) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2591 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2592 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2593 = and(_T_2591, _T_2592) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2594 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2595 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2596 = and(_T_2594, _T_2595) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2597 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2598 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2599 = and(_T_2597, _T_2598) @[el2_lsu_bus_buffer.scala 431:89] + buf_age_younger[0] <= _T_2506 @[el2_lsu_bus_buffer.scala 431:21] + buf_age_younger[1] <= _T_2533 @[el2_lsu_bus_buffer.scala 431:21] + buf_age_younger[2] <= _T_2560 @[el2_lsu_bus_buffer.scala 431:21] + buf_age_younger[3] <= _T_2587 @[el2_lsu_bus_buffer.scala 431:21] + node _T_2588 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2589 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2590 = and(_T_2588, _T_2589) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2591 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2592 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2593 = and(_T_2591, _T_2592) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2594 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2595 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2596 = and(_T_2594, _T_2595) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2597 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2598 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2599 = and(_T_2597, _T_2598) @[el2_lsu_bus_buffer.scala 432:89] node _T_2600 = cat(_T_2599, _T_2596) @[Cat.scala 29:58] node _T_2601 = cat(_T_2600, _T_2593) @[Cat.scala 29:58] node _T_2602 = cat(_T_2601, _T_2590) @[Cat.scala 29:58] - node _T_2603 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2604 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2605 = and(_T_2603, _T_2604) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2606 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2607 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2608 = and(_T_2606, _T_2607) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2609 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2610 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2611 = and(_T_2609, _T_2610) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2612 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2613 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2614 = and(_T_2612, _T_2613) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2603 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2604 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2605 = and(_T_2603, _T_2604) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2606 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2607 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2608 = and(_T_2606, _T_2607) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2609 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2610 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2611 = and(_T_2609, _T_2610) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2612 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2613 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2614 = and(_T_2612, _T_2613) @[el2_lsu_bus_buffer.scala 432:89] node _T_2615 = cat(_T_2614, _T_2611) @[Cat.scala 29:58] node _T_2616 = cat(_T_2615, _T_2608) @[Cat.scala 29:58] node _T_2617 = cat(_T_2616, _T_2605) @[Cat.scala 29:58] - node _T_2618 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2619 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2620 = and(_T_2618, _T_2619) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2621 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2622 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2623 = and(_T_2621, _T_2622) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2624 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2625 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2626 = and(_T_2624, _T_2625) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2627 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2628 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2629 = and(_T_2627, _T_2628) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2618 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2619 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2620 = and(_T_2618, _T_2619) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2621 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2622 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2623 = and(_T_2621, _T_2622) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2624 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2625 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2626 = and(_T_2624, _T_2625) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2627 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2628 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2629 = and(_T_2627, _T_2628) @[el2_lsu_bus_buffer.scala 432:89] node _T_2630 = cat(_T_2629, _T_2626) @[Cat.scala 29:58] node _T_2631 = cat(_T_2630, _T_2623) @[Cat.scala 29:58] node _T_2632 = cat(_T_2631, _T_2620) @[Cat.scala 29:58] - node _T_2633 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2634 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2635 = and(_T_2633, _T_2634) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2636 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2637 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2638 = and(_T_2636, _T_2637) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2639 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2640 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2641 = and(_T_2639, _T_2640) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2642 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2643 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2633 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2634 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2635 = and(_T_2633, _T_2634) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2636 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2637 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2638 = and(_T_2636, _T_2637) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2639 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2640 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2641 = and(_T_2639, _T_2640) @[el2_lsu_bus_buffer.scala 432:89] + node _T_2642 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 432:85] + node _T_2643 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 432:104] + node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 432:89] node _T_2645 = cat(_T_2644, _T_2641) @[Cat.scala 29:58] node _T_2646 = cat(_T_2645, _T_2638) @[Cat.scala 29:58] node _T_2647 = cat(_T_2646, _T_2635) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2602 @[el2_lsu_bus_buffer.scala 431:21] - buf_rsp_pickage[1] <= _T_2617 @[el2_lsu_bus_buffer.scala 431:21] - buf_rsp_pickage[2] <= _T_2632 @[el2_lsu_bus_buffer.scala 431:21] - buf_rsp_pickage[3] <= _T_2647 @[el2_lsu_bus_buffer.scala 431:21] - node _T_2648 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2649 = and(_T_2648, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2650 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2651 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2652 = or(_T_2650, _T_2651) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2654 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2655 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2657 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2658 = and(_T_2656, _T_2657) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2659 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2660 = and(_T_2658, _T_2659) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2661 = or(_T_2653, _T_2660) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2662 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2663 = and(_T_2662, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2664 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2666 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2667 = and(_T_2665, _T_2666) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2668 = or(_T_2661, _T_2667) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2669 = and(_T_2649, _T_2668) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2670 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2671 = and(_T_2670, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2672 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2673 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2674 = or(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2676 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2677 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2679 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2681 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2683 = or(_T_2675, _T_2682) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2684 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2685 = and(_T_2684, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2686 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2688 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2690 = or(_T_2683, _T_2689) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2691 = and(_T_2671, _T_2690) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2692 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2693 = and(_T_2692, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2694 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2695 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2696 = or(_T_2694, _T_2695) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2697 = eq(_T_2696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2698 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2699 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2701 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2703 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2705 = or(_T_2697, _T_2704) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2706 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2707 = and(_T_2706, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2708 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2710 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2711 = and(_T_2709, _T_2710) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2712 = or(_T_2705, _T_2711) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2713 = and(_T_2693, _T_2712) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2714 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2715 = and(_T_2714, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2716 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2717 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2718 = or(_T_2716, _T_2717) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2720 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2725 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2727 = or(_T_2719, _T_2726) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2728 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2729 = and(_T_2728, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2730 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2732 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2733 = and(_T_2731, _T_2732) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2734 = or(_T_2727, _T_2733) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2735 = and(_T_2715, _T_2734) @[el2_lsu_bus_buffer.scala 433:114] + buf_rsp_pickage[0] <= _T_2602 @[el2_lsu_bus_buffer.scala 432:21] + buf_rsp_pickage[1] <= _T_2617 @[el2_lsu_bus_buffer.scala 432:21] + buf_rsp_pickage[2] <= _T_2632 @[el2_lsu_bus_buffer.scala 432:21] + buf_rsp_pickage[3] <= _T_2647 @[el2_lsu_bus_buffer.scala 432:21] + node _T_2648 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2649 = and(_T_2648, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2650 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2651 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2652 = or(_T_2650, _T_2651) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2654 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2655 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2657 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2658 = and(_T_2656, _T_2657) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2659 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2660 = and(_T_2658, _T_2659) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2661 = or(_T_2653, _T_2660) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2662 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2663 = and(_T_2662, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2664 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2666 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2667 = and(_T_2665, _T_2666) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2668 = or(_T_2661, _T_2667) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2669 = and(_T_2649, _T_2668) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2670 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2671 = and(_T_2670, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2672 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2673 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2674 = or(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2676 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2677 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2679 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2681 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2683 = or(_T_2675, _T_2682) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2684 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2685 = and(_T_2684, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2686 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2688 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2690 = or(_T_2683, _T_2689) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2691 = and(_T_2671, _T_2690) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2692 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2693 = and(_T_2692, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2694 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2695 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2696 = or(_T_2694, _T_2695) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2697 = eq(_T_2696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2698 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2699 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2701 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2703 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2705 = or(_T_2697, _T_2704) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2706 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2707 = and(_T_2706, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2708 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2710 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2711 = and(_T_2709, _T_2710) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2712 = or(_T_2705, _T_2711) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2713 = and(_T_2693, _T_2712) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2714 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2715 = and(_T_2714, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2716 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2717 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2718 = or(_T_2716, _T_2717) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2720 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2725 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2727 = or(_T_2719, _T_2726) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2728 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2729 = and(_T_2728, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2730 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2732 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2733 = and(_T_2731, _T_2732) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2734 = or(_T_2727, _T_2733) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2735 = and(_T_2715, _T_2734) @[el2_lsu_bus_buffer.scala 434:114] node _T_2736 = cat(_T_2735, _T_2713) @[Cat.scala 29:58] node _T_2737 = cat(_T_2736, _T_2691) @[Cat.scala 29:58] node _T_2738 = cat(_T_2737, _T_2669) @[Cat.scala 29:58] - node _T_2739 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2740 = and(_T_2739, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2741 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2742 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2743 = or(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2745 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2748 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2750 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2751 = and(_T_2749, _T_2750) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2752 = or(_T_2744, _T_2751) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2753 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2754 = and(_T_2753, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2755 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2757 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2759 = or(_T_2752, _T_2758) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2760 = and(_T_2740, _T_2759) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2762 = and(_T_2761, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2763 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2764 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2765 = or(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2766 = eq(_T_2765, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2767 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2770 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2772 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2774 = or(_T_2766, _T_2773) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2775 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2776 = and(_T_2775, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2777 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2779 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2781 = or(_T_2774, _T_2780) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2782 = and(_T_2762, _T_2781) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2783 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2784 = and(_T_2783, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2785 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2786 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2787 = or(_T_2785, _T_2786) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2788 = eq(_T_2787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2789 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2790 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2792 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2794 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2796 = or(_T_2788, _T_2795) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2797 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2798 = and(_T_2797, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2799 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2801 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2803 = or(_T_2796, _T_2802) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2804 = and(_T_2784, _T_2803) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2805 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2806 = and(_T_2805, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2807 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2808 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2809 = or(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2810 = eq(_T_2809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2811 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2812 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2816 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2817 = and(_T_2815, _T_2816) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2818 = or(_T_2810, _T_2817) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2819 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2820 = and(_T_2819, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2821 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2823 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2825 = or(_T_2818, _T_2824) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2826 = and(_T_2806, _T_2825) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2739 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2740 = and(_T_2739, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2741 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2742 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2743 = or(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2745 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2748 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2750 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2751 = and(_T_2749, _T_2750) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2752 = or(_T_2744, _T_2751) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2753 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2754 = and(_T_2753, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2755 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2757 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2759 = or(_T_2752, _T_2758) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2760 = and(_T_2740, _T_2759) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2762 = and(_T_2761, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2763 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2764 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2765 = or(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2766 = eq(_T_2765, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2767 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2770 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2772 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2774 = or(_T_2766, _T_2773) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2775 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2776 = and(_T_2775, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2777 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2779 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2781 = or(_T_2774, _T_2780) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2782 = and(_T_2762, _T_2781) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2783 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2784 = and(_T_2783, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2785 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2786 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2787 = or(_T_2785, _T_2786) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2788 = eq(_T_2787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2789 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2790 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2792 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2794 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2796 = or(_T_2788, _T_2795) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2797 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2798 = and(_T_2797, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2799 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2801 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2803 = or(_T_2796, _T_2802) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2804 = and(_T_2784, _T_2803) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2805 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2806 = and(_T_2805, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2807 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2808 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2809 = or(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2810 = eq(_T_2809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2811 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2812 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2816 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2817 = and(_T_2815, _T_2816) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2818 = or(_T_2810, _T_2817) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2819 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2820 = and(_T_2819, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2821 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2823 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2825 = or(_T_2818, _T_2824) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2826 = and(_T_2806, _T_2825) @[el2_lsu_bus_buffer.scala 434:114] node _T_2827 = cat(_T_2826, _T_2804) @[Cat.scala 29:58] node _T_2828 = cat(_T_2827, _T_2782) @[Cat.scala 29:58] node _T_2829 = cat(_T_2828, _T_2760) @[Cat.scala 29:58] - node _T_2830 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2831 = and(_T_2830, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2832 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2833 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2839 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2841 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2846 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2852 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2853 = and(_T_2852, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2854 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2855 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2863 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2868 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2870 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2875 = and(_T_2874, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2876 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2877 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2885 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2890 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2892 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2897 = and(_T_2896, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2898 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2899 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2900 = or(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2901 = eq(_T_2900, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2902 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2903 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2905 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2907 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2908 = and(_T_2906, _T_2907) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2909 = or(_T_2901, _T_2908) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2910 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2911 = and(_T_2910, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2912 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2914 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2916 = or(_T_2909, _T_2915) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2917 = and(_T_2897, _T_2916) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2830 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2831 = and(_T_2830, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2832 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2833 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2839 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2841 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2846 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2852 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2853 = and(_T_2852, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2854 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2855 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2863 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2868 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2870 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2875 = and(_T_2874, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2876 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2877 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2885 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2890 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2892 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2897 = and(_T_2896, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2898 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2899 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2900 = or(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2901 = eq(_T_2900, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2902 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2903 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2905 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2907 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2908 = and(_T_2906, _T_2907) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2909 = or(_T_2901, _T_2908) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2910 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2911 = and(_T_2910, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2912 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2914 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2916 = or(_T_2909, _T_2915) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2917 = and(_T_2897, _T_2916) @[el2_lsu_bus_buffer.scala 434:114] node _T_2918 = cat(_T_2917, _T_2895) @[Cat.scala 29:58] node _T_2919 = cat(_T_2918, _T_2873) @[Cat.scala 29:58] node _T_2920 = cat(_T_2919, _T_2851) @[Cat.scala 29:58] - node _T_2921 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2922 = and(_T_2921, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2923 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2924 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2930 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2932 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2937 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2939 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2944 = and(_T_2943, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2945 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2946 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2952 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2954 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2959 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2965 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2966 = and(_T_2965, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2967 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2968 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2974 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2976 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2981 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2983 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2988 = and(_T_2987, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2989 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2990 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2991 = or(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2992 = eq(_T_2991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2993 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2994 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2996 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2998 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2999 = and(_T_2997, _T_2998) @[el2_lsu_bus_buffer.scala 435:92] - node _T_3000 = or(_T_2992, _T_2999) @[el2_lsu_bus_buffer.scala 434:61] - node _T_3001 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_3002 = and(_T_3001, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_3003 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 436:54] - node _T_3005 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 436:73] - node _T_3007 = or(_T_3000, _T_3006) @[el2_lsu_bus_buffer.scala 435:112] - node _T_3008 = and(_T_2988, _T_3007) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2921 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2922 = and(_T_2921, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2923 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2924 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2930 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2932 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2937 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2939 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2944 = and(_T_2943, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2945 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2946 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2952 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2954 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2959 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2965 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2966 = and(_T_2965, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2967 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2968 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2974 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2976 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 436:92] + node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 435:61] + node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_2981 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2983 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 436:112] + node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:84] + node _T_2988 = and(_T_2987, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 434:95] + node _T_2989 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 435:23] + node _T_2990 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 435:49] + node _T_2991 = or(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 435:34] + node _T_2992 = eq(_T_2991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:8] + node _T_2993 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:25] + node _T_2994 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:55] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 436:43] + node _T_2996 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2998 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:103] + node _T_2999 = and(_T_2997, _T_2998) @[el2_lsu_bus_buffer.scala 436:92] + node _T_3000 = or(_T_2992, _T_2999) @[el2_lsu_bus_buffer.scala 435:61] + node _T_3001 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 437:19] + node _T_3002 = and(_T_3001, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 437:37] + node _T_3003 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:65] + node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 437:54] + node _T_3005 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:84] + node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 437:73] + node _T_3007 = or(_T_3000, _T_3006) @[el2_lsu_bus_buffer.scala 436:112] + node _T_3008 = and(_T_2988, _T_3007) @[el2_lsu_bus_buffer.scala 434:114] node _T_3009 = cat(_T_3008, _T_2986) @[Cat.scala 29:58] node _T_3010 = cat(_T_3009, _T_2964) @[Cat.scala 29:58] node _T_3011 = cat(_T_3010, _T_2942) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2738 @[el2_lsu_bus_buffer.scala 433:20] - buf_rspage_set[1] <= _T_2829 @[el2_lsu_bus_buffer.scala 433:20] - buf_rspage_set[2] <= _T_2920 @[el2_lsu_bus_buffer.scala 433:20] - buf_rspage_set[3] <= _T_3011 @[el2_lsu_bus_buffer.scala 433:20] - node _T_3012 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3013 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3014 = or(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3015 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3016 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3017 = or(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3018 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3019 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3020 = or(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3021 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3022 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3023 = or(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 437:90] + buf_rspage_set[0] <= _T_2738 @[el2_lsu_bus_buffer.scala 434:20] + buf_rspage_set[1] <= _T_2829 @[el2_lsu_bus_buffer.scala 434:20] + buf_rspage_set[2] <= _T_2920 @[el2_lsu_bus_buffer.scala 434:20] + buf_rspage_set[3] <= _T_3011 @[el2_lsu_bus_buffer.scala 434:20] + node _T_3012 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3013 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3014 = or(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3015 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3016 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3017 = or(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3018 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3019 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3020 = or(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3021 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3022 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3023 = or(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 438:90] node _T_3024 = cat(_T_3023, _T_3020) @[Cat.scala 29:58] node _T_3025 = cat(_T_3024, _T_3017) @[Cat.scala 29:58] node _T_3026 = cat(_T_3025, _T_3014) @[Cat.scala 29:58] - node _T_3027 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3028 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3029 = or(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3030 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3031 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3032 = or(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3033 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3034 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3035 = or(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3036 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3037 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3027 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3028 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3029 = or(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3030 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3031 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3032 = or(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3033 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3034 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3035 = or(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3036 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3037 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 438:90] node _T_3039 = cat(_T_3038, _T_3035) @[Cat.scala 29:58] node _T_3040 = cat(_T_3039, _T_3032) @[Cat.scala 29:58] node _T_3041 = cat(_T_3040, _T_3029) @[Cat.scala 29:58] - node _T_3042 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3043 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3044 = or(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3045 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3046 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3048 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3049 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3050 = or(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3051 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3052 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3053 = or(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3042 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3043 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3044 = or(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3045 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3046 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3048 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3049 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3050 = or(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3051 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3052 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3053 = or(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 438:90] node _T_3054 = cat(_T_3053, _T_3050) @[Cat.scala 29:58] node _T_3055 = cat(_T_3054, _T_3047) @[Cat.scala 29:58] node _T_3056 = cat(_T_3055, _T_3044) @[Cat.scala 29:58] - node _T_3057 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3058 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3059 = or(_T_3057, _T_3058) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3060 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3061 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3062 = or(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3063 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3064 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3065 = or(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3066 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3067 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3057 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3058 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3059 = or(_T_3057, _T_3058) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3060 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3061 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3062 = or(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3063 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3064 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3065 = or(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 438:90] + node _T_3066 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3067 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:105] + node _T_3068 = or(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 438:90] node _T_3069 = cat(_T_3068, _T_3065) @[Cat.scala 29:58] node _T_3070 = cat(_T_3069, _T_3062) @[Cat.scala 29:58] node _T_3071 = cat(_T_3070, _T_3059) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3026 @[el2_lsu_bus_buffer.scala 437:19] - buf_rspage_in[1] <= _T_3041 @[el2_lsu_bus_buffer.scala 437:19] - buf_rspage_in[2] <= _T_3056 @[el2_lsu_bus_buffer.scala 437:19] - buf_rspage_in[3] <= _T_3071 @[el2_lsu_bus_buffer.scala 437:19] - node _T_3072 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3073 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3074 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3075 = or(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3076 = eq(_T_3075, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3077 = and(_T_3072, _T_3076) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3078 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3079 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3080 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3081 = or(_T_3079, _T_3080) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3083 = and(_T_3078, _T_3082) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3084 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3085 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3087 = or(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3088 = eq(_T_3087, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3089 = and(_T_3084, _T_3088) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3090 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3091 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3092 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3093 = or(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3094 = eq(_T_3093, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3095 = and(_T_3090, _T_3094) @[el2_lsu_bus_buffer.scala 438:84] + buf_rspage_in[0] <= _T_3026 @[el2_lsu_bus_buffer.scala 438:19] + buf_rspage_in[1] <= _T_3041 @[el2_lsu_bus_buffer.scala 438:19] + buf_rspage_in[2] <= _T_3056 @[el2_lsu_bus_buffer.scala 438:19] + buf_rspage_in[3] <= _T_3071 @[el2_lsu_bus_buffer.scala 438:19] + node _T_3072 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3073 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3074 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3075 = or(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3076 = eq(_T_3075, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3077 = and(_T_3072, _T_3076) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3078 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3079 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3080 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3081 = or(_T_3079, _T_3080) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3083 = and(_T_3078, _T_3082) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3084 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3085 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3086 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3087 = or(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3088 = eq(_T_3087, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3089 = and(_T_3084, _T_3088) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3090 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3091 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3092 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3093 = or(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3094 = eq(_T_3093, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3095 = and(_T_3090, _T_3094) @[el2_lsu_bus_buffer.scala 439:84] node _T_3096 = cat(_T_3095, _T_3089) @[Cat.scala 29:58] node _T_3097 = cat(_T_3096, _T_3083) @[Cat.scala 29:58] node _T_3098 = cat(_T_3097, _T_3077) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3100 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3101 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3102 = or(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3103 = eq(_T_3102, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3104 = and(_T_3099, _T_3103) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3105 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3107 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3108 = or(_T_3106, _T_3107) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3109 = eq(_T_3108, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3110 = and(_T_3105, _T_3109) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3111 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3112 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3113 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3114 = or(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3115 = eq(_T_3114, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3116 = and(_T_3111, _T_3115) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3117 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3118 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3119 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3120 = or(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3121 = eq(_T_3120, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3122 = and(_T_3117, _T_3121) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3099 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3100 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3101 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3102 = or(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3103 = eq(_T_3102, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3104 = and(_T_3099, _T_3103) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3105 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3107 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3108 = or(_T_3106, _T_3107) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3109 = eq(_T_3108, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3110 = and(_T_3105, _T_3109) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3111 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3112 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3113 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3114 = or(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3115 = eq(_T_3114, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3116 = and(_T_3111, _T_3115) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3117 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3118 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3119 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3120 = or(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3121 = eq(_T_3120, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3122 = and(_T_3117, _T_3121) @[el2_lsu_bus_buffer.scala 439:84] node _T_3123 = cat(_T_3122, _T_3116) @[Cat.scala 29:58] node _T_3124 = cat(_T_3123, _T_3110) @[Cat.scala 29:58] node _T_3125 = cat(_T_3124, _T_3104) @[Cat.scala 29:58] - node _T_3126 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3127 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3131 = and(_T_3126, _T_3130) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3132 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3133 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3134 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3137 = and(_T_3132, _T_3136) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3138 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3139 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3140 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3141 = or(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3142 = eq(_T_3141, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3143 = and(_T_3138, _T_3142) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3144 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3145 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3146 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3147 = or(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3148 = eq(_T_3147, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3149 = and(_T_3144, _T_3148) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3126 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3127 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3131 = and(_T_3126, _T_3130) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3132 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3133 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3134 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3137 = and(_T_3132, _T_3136) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3138 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3139 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3140 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3141 = or(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3142 = eq(_T_3141, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3143 = and(_T_3138, _T_3142) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3144 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3145 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3146 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3147 = or(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3148 = eq(_T_3147, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3149 = and(_T_3144, _T_3148) @[el2_lsu_bus_buffer.scala 439:84] node _T_3150 = cat(_T_3149, _T_3143) @[Cat.scala 29:58] node _T_3151 = cat(_T_3150, _T_3137) @[Cat.scala 29:58] node _T_3152 = cat(_T_3151, _T_3131) @[Cat.scala 29:58] - node _T_3153 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3154 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3155 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3157 = eq(_T_3156, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3158 = and(_T_3153, _T_3157) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3159 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3160 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3161 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3164 = and(_T_3159, _T_3163) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3165 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3166 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3167 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3169 = eq(_T_3168, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3170 = and(_T_3165, _T_3169) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3171 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3172 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3173 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3175 = eq(_T_3174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3176 = and(_T_3171, _T_3175) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3153 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3154 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3155 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3157 = eq(_T_3156, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3158 = and(_T_3153, _T_3157) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3159 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3160 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3161 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3162 = or(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3163 = eq(_T_3162, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3164 = and(_T_3159, _T_3163) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3165 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3166 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3167 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3169 = eq(_T_3168, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3170 = and(_T_3165, _T_3169) @[el2_lsu_bus_buffer.scala 439:84] + node _T_3171 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 439:80] + node _T_3172 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 439:101] + node _T_3173 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:127] + node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 439:112] + node _T_3175 = eq(_T_3174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:86] + node _T_3176 = and(_T_3171, _T_3175) @[el2_lsu_bus_buffer.scala 439:84] node _T_3177 = cat(_T_3176, _T_3170) @[Cat.scala 29:58] node _T_3178 = cat(_T_3177, _T_3164) @[Cat.scala 29:58] node _T_3179 = cat(_T_3178, _T_3158) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3098 @[el2_lsu_bus_buffer.scala 438:16] - buf_rspage[1] <= _T_3125 @[el2_lsu_bus_buffer.scala 438:16] - buf_rspage[2] <= _T_3152 @[el2_lsu_bus_buffer.scala 438:16] - buf_rspage[3] <= _T_3179 @[el2_lsu_bus_buffer.scala 438:16] - node _T_3180 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3181 = and(ibuf_drain_vld, _T_3180) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3182 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3183 = and(ibuf_drain_vld, _T_3182) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3184 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3185 = and(ibuf_drain_vld, _T_3184) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3186 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3187 = and(ibuf_drain_vld, _T_3186) @[el2_lsu_bus_buffer.scala 443:65] + buf_rspage[0] <= _T_3098 @[el2_lsu_bus_buffer.scala 439:16] + buf_rspage[1] <= _T_3125 @[el2_lsu_bus_buffer.scala 439:16] + buf_rspage[2] <= _T_3152 @[el2_lsu_bus_buffer.scala 439:16] + buf_rspage[3] <= _T_3179 @[el2_lsu_bus_buffer.scala 439:16] + node _T_3180 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:77] + node _T_3181 = and(ibuf_drain_vld, _T_3180) @[el2_lsu_bus_buffer.scala 444:65] + node _T_3182 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:77] + node _T_3183 = and(ibuf_drain_vld, _T_3182) @[el2_lsu_bus_buffer.scala 444:65] + node _T_3184 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:77] + node _T_3185 = and(ibuf_drain_vld, _T_3184) @[el2_lsu_bus_buffer.scala 444:65] + node _T_3186 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:77] + node _T_3187 = and(ibuf_drain_vld, _T_3186) @[el2_lsu_bus_buffer.scala 444:65] node _T_3188 = cat(_T_3187, _T_3185) @[Cat.scala 29:58] node _T_3189 = cat(_T_3188, _T_3183) @[Cat.scala 29:58] node _T_3190 = cat(_T_3189, _T_3181) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3190 @[el2_lsu_bus_buffer.scala 443:23] - node _T_3191 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3192 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3193 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3194 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3195 = and(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3196 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3197 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3198 = mux(_T_3195, _T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3199 = mux(_T_3191, _T_3192, _T_3198) @[el2_lsu_bus_buffer.scala 444:48] - node _T_3200 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3201 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3202 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3203 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3204 = and(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3205 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3206 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3207 = mux(_T_3204, _T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3208 = mux(_T_3200, _T_3201, _T_3207) @[el2_lsu_bus_buffer.scala 444:48] - node _T_3209 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3210 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3211 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3212 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3213 = and(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3214 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3215 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3216 = mux(_T_3213, _T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3217 = mux(_T_3209, _T_3210, _T_3216) @[el2_lsu_bus_buffer.scala 444:48] - node _T_3218 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3219 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3220 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3221 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3222 = and(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3223 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3224 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3225 = mux(_T_3222, _T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3226 = mux(_T_3218, _T_3219, _T_3225) @[el2_lsu_bus_buffer.scala 444:48] - buf_byteen_in[0] <= _T_3199 @[el2_lsu_bus_buffer.scala 444:19] - buf_byteen_in[1] <= _T_3208 @[el2_lsu_bus_buffer.scala 444:19] - buf_byteen_in[2] <= _T_3217 @[el2_lsu_bus_buffer.scala 444:19] - buf_byteen_in[3] <= _T_3226 @[el2_lsu_bus_buffer.scala 444:19] - node _T_3227 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3228 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3229 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3230 = and(_T_3228, _T_3229) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3231 = mux(_T_3230, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3232 = mux(_T_3227, ibuf_addr, _T_3231) @[el2_lsu_bus_buffer.scala 445:46] - node _T_3233 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3234 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3235 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3236 = and(_T_3234, _T_3235) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3237 = mux(_T_3236, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3238 = mux(_T_3233, ibuf_addr, _T_3237) @[el2_lsu_bus_buffer.scala 445:46] - node _T_3239 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3240 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3241 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3242 = and(_T_3240, _T_3241) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3243 = mux(_T_3242, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3244 = mux(_T_3239, ibuf_addr, _T_3243) @[el2_lsu_bus_buffer.scala 445:46] - node _T_3245 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3246 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3247 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3248 = and(_T_3246, _T_3247) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3249 = mux(_T_3248, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3250 = mux(_T_3245, ibuf_addr, _T_3249) @[el2_lsu_bus_buffer.scala 445:46] - buf_addr_in[0] <= _T_3232 @[el2_lsu_bus_buffer.scala 445:17] - buf_addr_in[1] <= _T_3238 @[el2_lsu_bus_buffer.scala 445:17] - buf_addr_in[2] <= _T_3244 @[el2_lsu_bus_buffer.scala 445:17] - buf_addr_in[3] <= _T_3250 @[el2_lsu_bus_buffer.scala 445:17] - node _T_3251 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3252 = mux(_T_3251, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3253 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3254 = mux(_T_3253, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3255 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3256 = mux(_T_3255, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3257 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3258 = mux(_T_3257, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] + ibuf_drainvec_vld <= _T_3190 @[el2_lsu_bus_buffer.scala 444:23] + node _T_3191 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:66] + node _T_3192 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3193 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] + node _T_3194 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:134] + node _T_3195 = and(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 445:123] + node _T_3196 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] + node _T_3197 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] + node _T_3198 = mux(_T_3195, _T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 445:96] + node _T_3199 = mux(_T_3191, _T_3192, _T_3198) @[el2_lsu_bus_buffer.scala 445:48] + node _T_3200 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:66] + node _T_3201 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3202 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] + node _T_3203 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:134] + node _T_3204 = and(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 445:123] + node _T_3205 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] + node _T_3206 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] + node _T_3207 = mux(_T_3204, _T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 445:96] + node _T_3208 = mux(_T_3200, _T_3201, _T_3207) @[el2_lsu_bus_buffer.scala 445:48] + node _T_3209 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:66] + node _T_3210 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3211 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] + node _T_3212 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:134] + node _T_3213 = and(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 445:123] + node _T_3214 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] + node _T_3215 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] + node _T_3216 = mux(_T_3213, _T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 445:96] + node _T_3217 = mux(_T_3209, _T_3210, _T_3216) @[el2_lsu_bus_buffer.scala 445:48] + node _T_3218 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:66] + node _T_3219 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 445:86] + node _T_3220 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:106] + node _T_3221 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:134] + node _T_3222 = and(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 445:123] + node _T_3223 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:159] + node _T_3224 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 445:182] + node _T_3225 = mux(_T_3222, _T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 445:96] + node _T_3226 = mux(_T_3218, _T_3219, _T_3225) @[el2_lsu_bus_buffer.scala 445:48] + buf_byteen_in[0] <= _T_3199 @[el2_lsu_bus_buffer.scala 445:19] + buf_byteen_in[1] <= _T_3208 @[el2_lsu_bus_buffer.scala 445:19] + buf_byteen_in[2] <= _T_3217 @[el2_lsu_bus_buffer.scala 445:19] + buf_byteen_in[3] <= _T_3226 @[el2_lsu_bus_buffer.scala 445:19] + node _T_3227 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:64] + node _T_3228 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] + node _T_3229 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:121] + node _T_3230 = and(_T_3228, _T_3229) @[el2_lsu_bus_buffer.scala 446:110] + node _T_3231 = mux(_T_3230, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] + node _T_3232 = mux(_T_3227, ibuf_addr, _T_3231) @[el2_lsu_bus_buffer.scala 446:46] + node _T_3233 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:64] + node _T_3234 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] + node _T_3235 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:121] + node _T_3236 = and(_T_3234, _T_3235) @[el2_lsu_bus_buffer.scala 446:110] + node _T_3237 = mux(_T_3236, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] + node _T_3238 = mux(_T_3233, ibuf_addr, _T_3237) @[el2_lsu_bus_buffer.scala 446:46] + node _T_3239 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] + node _T_3240 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] + node _T_3241 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:121] + node _T_3242 = and(_T_3240, _T_3241) @[el2_lsu_bus_buffer.scala 446:110] + node _T_3243 = mux(_T_3242, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] + node _T_3244 = mux(_T_3239, ibuf_addr, _T_3243) @[el2_lsu_bus_buffer.scala 446:46] + node _T_3245 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:64] + node _T_3246 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:93] + node _T_3247 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:121] + node _T_3248 = and(_T_3246, _T_3247) @[el2_lsu_bus_buffer.scala 446:110] + node _T_3249 = mux(_T_3248, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 446:83] + node _T_3250 = mux(_T_3245, ibuf_addr, _T_3249) @[el2_lsu_bus_buffer.scala 446:46] + buf_addr_in[0] <= _T_3232 @[el2_lsu_bus_buffer.scala 446:17] + buf_addr_in[1] <= _T_3238 @[el2_lsu_bus_buffer.scala 446:17] + buf_addr_in[2] <= _T_3244 @[el2_lsu_bus_buffer.scala 446:17] + buf_addr_in[3] <= _T_3250 @[el2_lsu_bus_buffer.scala 446:17] + node _T_3251 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:65] + node _T_3252 = mux(_T_3251, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] + node _T_3253 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:65] + node _T_3254 = mux(_T_3253, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] + node _T_3255 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:65] + node _T_3256 = mux(_T_3255, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] + node _T_3257 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:65] + node _T_3258 = mux(_T_3257, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 447:47] node _T_3259 = cat(_T_3258, _T_3256) @[Cat.scala 29:58] node _T_3260 = cat(_T_3259, _T_3254) @[Cat.scala 29:58] node _T_3261 = cat(_T_3260, _T_3252) @[Cat.scala 29:58] - buf_dual_in <= _T_3261 @[el2_lsu_bus_buffer.scala 446:17] - node _T_3262 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3263 = mux(_T_3262, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3264 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3265 = mux(_T_3264, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3267 = mux(_T_3266, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3268 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3269 = mux(_T_3268, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] + buf_dual_in <= _T_3261 @[el2_lsu_bus_buffer.scala 447:17] + node _T_3262 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:67] + node _T_3263 = mux(_T_3262, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] + node _T_3264 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:67] + node _T_3265 = mux(_T_3264, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] + node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:67] + node _T_3267 = mux(_T_3266, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] + node _T_3268 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:67] + node _T_3269 = mux(_T_3268, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 448:49] node _T_3270 = cat(_T_3269, _T_3267) @[Cat.scala 29:58] node _T_3271 = cat(_T_3270, _T_3265) @[Cat.scala 29:58] node _T_3272 = cat(_T_3271, _T_3263) @[Cat.scala 29:58] - buf_samedw_in <= _T_3272 @[el2_lsu_bus_buffer.scala 447:19] - node _T_3273 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3274 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3275 = mux(_T_3273, _T_3274, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3276 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3277 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3278 = mux(_T_3276, _T_3277, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3279 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3280 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3281 = mux(_T_3279, _T_3280, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3282 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3283 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3284 = mux(_T_3282, _T_3283, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] + buf_samedw_in <= _T_3272 @[el2_lsu_bus_buffer.scala 448:19] + node _T_3273 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:68] + node _T_3274 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] + node _T_3275 = mux(_T_3273, _T_3274, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] + node _T_3276 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:68] + node _T_3277 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] + node _T_3278 = mux(_T_3276, _T_3277, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] + node _T_3279 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:68] + node _T_3280 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] + node _T_3281 = mux(_T_3279, _T_3280, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] + node _T_3282 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:68] + node _T_3283 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 449:86] + node _T_3284 = mux(_T_3282, _T_3283, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 449:50] node _T_3285 = cat(_T_3284, _T_3281) @[Cat.scala 29:58] node _T_3286 = cat(_T_3285, _T_3278) @[Cat.scala 29:58] node _T_3287 = cat(_T_3286, _T_3275) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3287 @[el2_lsu_bus_buffer.scala 448:20] - node _T_3288 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3289 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3290 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3291 = and(_T_3289, _T_3290) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3292 = mux(_T_3288, ibuf_dual, _T_3291) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3293 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3294 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3295 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3296 = and(_T_3294, _T_3295) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3297 = mux(_T_3293, ibuf_dual, _T_3296) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3298 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3299 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3300 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3301 = and(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3302 = mux(_T_3298, ibuf_dual, _T_3301) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3303 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3304 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3305 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3306 = and(_T_3304, _T_3305) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3307 = mux(_T_3303, ibuf_dual, _T_3306) @[el2_lsu_bus_buffer.scala 449:49] + buf_nomerge_in <= _T_3287 @[el2_lsu_bus_buffer.scala 449:20] + node _T_3288 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3289 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3290 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:120] + node _T_3291 = and(_T_3289, _T_3290) @[el2_lsu_bus_buffer.scala 450:109] + node _T_3292 = mux(_T_3288, ibuf_dual, _T_3291) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3293 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3294 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3295 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:120] + node _T_3296 = and(_T_3294, _T_3295) @[el2_lsu_bus_buffer.scala 450:109] + node _T_3297 = mux(_T_3293, ibuf_dual, _T_3296) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3298 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3299 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3300 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:120] + node _T_3301 = and(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 450:109] + node _T_3302 = mux(_T_3298, ibuf_dual, _T_3301) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3303 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3304 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3305 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:120] + node _T_3306 = and(_T_3304, _T_3305) @[el2_lsu_bus_buffer.scala 450:109] + node _T_3307 = mux(_T_3303, ibuf_dual, _T_3306) @[el2_lsu_bus_buffer.scala 450:49] node _T_3308 = cat(_T_3307, _T_3302) @[Cat.scala 29:58] node _T_3309 = cat(_T_3308, _T_3297) @[Cat.scala 29:58] node _T_3310 = cat(_T_3309, _T_3292) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3310 @[el2_lsu_bus_buffer.scala 449:19] - node _T_3311 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3312 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3313 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3314 = and(_T_3312, _T_3313) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3315 = mux(_T_3314, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3316 = mux(_T_3311, ibuf_dualtag, _T_3315) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3317 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3318 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3319 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3320 = and(_T_3318, _T_3319) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3321 = mux(_T_3320, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3322 = mux(_T_3317, ibuf_dualtag, _T_3321) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3324 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3325 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3326 = and(_T_3324, _T_3325) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3327 = mux(_T_3326, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3328 = mux(_T_3323, ibuf_dualtag, _T_3327) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3329 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3330 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3331 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3332 = and(_T_3330, _T_3331) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3333 = mux(_T_3332, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3334 = mux(_T_3329, ibuf_dualtag, _T_3333) @[el2_lsu_bus_buffer.scala 450:49] - buf_dualtag_in[0] <= _T_3316 @[el2_lsu_bus_buffer.scala 450:20] - buf_dualtag_in[1] <= _T_3322 @[el2_lsu_bus_buffer.scala 450:20] - buf_dualtag_in[2] <= _T_3328 @[el2_lsu_bus_buffer.scala 450:20] - buf_dualtag_in[3] <= _T_3334 @[el2_lsu_bus_buffer.scala 450:20] - node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3336 = mux(_T_3335, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3337 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3338 = mux(_T_3337, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3339 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3340 = mux(_T_3339, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3341 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3342 = mux(_T_3341, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] + buf_dualhi_in <= _T_3310 @[el2_lsu_bus_buffer.scala 450:19] + node _T_3311 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:67] + node _T_3312 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] + node _T_3313 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:127] + node _T_3314 = and(_T_3312, _T_3313) @[el2_lsu_bus_buffer.scala 451:116] + node _T_3315 = mux(_T_3314, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] + node _T_3316 = mux(_T_3311, ibuf_dualtag, _T_3315) @[el2_lsu_bus_buffer.scala 451:49] + node _T_3317 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:67] + node _T_3318 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] + node _T_3319 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:127] + node _T_3320 = and(_T_3318, _T_3319) @[el2_lsu_bus_buffer.scala 451:116] + node _T_3321 = mux(_T_3320, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] + node _T_3322 = mux(_T_3317, ibuf_dualtag, _T_3321) @[el2_lsu_bus_buffer.scala 451:49] + node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:67] + node _T_3324 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] + node _T_3325 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:127] + node _T_3326 = and(_T_3324, _T_3325) @[el2_lsu_bus_buffer.scala 451:116] + node _T_3327 = mux(_T_3326, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] + node _T_3328 = mux(_T_3323, ibuf_dualtag, _T_3327) @[el2_lsu_bus_buffer.scala 451:49] + node _T_3329 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:67] + node _T_3330 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:99] + node _T_3331 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:127] + node _T_3332 = and(_T_3330, _T_3331) @[el2_lsu_bus_buffer.scala 451:116] + node _T_3333 = mux(_T_3332, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 451:89] + node _T_3334 = mux(_T_3329, ibuf_dualtag, _T_3333) @[el2_lsu_bus_buffer.scala 451:49] + buf_dualtag_in[0] <= _T_3316 @[el2_lsu_bus_buffer.scala 451:20] + buf_dualtag_in[1] <= _T_3322 @[el2_lsu_bus_buffer.scala 451:20] + buf_dualtag_in[2] <= _T_3328 @[el2_lsu_bus_buffer.scala 451:20] + buf_dualtag_in[3] <= _T_3334 @[el2_lsu_bus_buffer.scala 451:20] + node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:71] + node _T_3336 = mux(_T_3335, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] + node _T_3337 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:71] + node _T_3338 = mux(_T_3337, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] + node _T_3339 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:71] + node _T_3340 = mux(_T_3339, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] + node _T_3341 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:71] + node _T_3342 = mux(_T_3341, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 452:53] node _T_3343 = cat(_T_3342, _T_3340) @[Cat.scala 29:58] node _T_3344 = cat(_T_3343, _T_3338) @[Cat.scala 29:58] node _T_3345 = cat(_T_3344, _T_3336) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3345 @[el2_lsu_bus_buffer.scala 451:23] - node _T_3346 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3347 = mux(_T_3346, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3349 = mux(_T_3348, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3350 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3351 = mux(_T_3350, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3352 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3353 = mux(_T_3352, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] + buf_sideeffect_in <= _T_3345 @[el2_lsu_bus_buffer.scala 452:23] + node _T_3346 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3347 = mux(_T_3346, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3349 = mux(_T_3348, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3350 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3351 = mux(_T_3350, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] + node _T_3352 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:67] + node _T_3353 = mux(_T_3352, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 453:49] node _T_3354 = cat(_T_3353, _T_3351) @[Cat.scala 29:58] node _T_3355 = cat(_T_3354, _T_3349) @[Cat.scala 29:58] node _T_3356 = cat(_T_3355, _T_3347) @[Cat.scala 29:58] - buf_unsign_in <= _T_3356 @[el2_lsu_bus_buffer.scala 452:19] - node _T_3357 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:62] + buf_unsign_in <= _T_3356 @[el2_lsu_bus_buffer.scala 453:19] + node _T_3357 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:62] node _T_3358 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3359 = mux(_T_3357, ibuf_sz, _T_3358) @[el2_lsu_bus_buffer.scala 453:44] - node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3359 = mux(_T_3357, ibuf_sz, _T_3358) @[el2_lsu_bus_buffer.scala 454:44] + node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:62] node _T_3361 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3362 = mux(_T_3360, ibuf_sz, _T_3361) @[el2_lsu_bus_buffer.scala 453:44] - node _T_3363 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3362 = mux(_T_3360, ibuf_sz, _T_3361) @[el2_lsu_bus_buffer.scala 454:44] + node _T_3363 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:62] node _T_3364 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3365 = mux(_T_3363, ibuf_sz, _T_3364) @[el2_lsu_bus_buffer.scala 453:44] - node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3365 = mux(_T_3363, ibuf_sz, _T_3364) @[el2_lsu_bus_buffer.scala 454:44] + node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:62] node _T_3367 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3368 = mux(_T_3366, ibuf_sz, _T_3367) @[el2_lsu_bus_buffer.scala 453:44] - buf_sz_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 453:15] - buf_sz_in[1] <= _T_3362 @[el2_lsu_bus_buffer.scala 453:15] - buf_sz_in[2] <= _T_3365 @[el2_lsu_bus_buffer.scala 453:15] - buf_sz_in[3] <= _T_3368 @[el2_lsu_bus_buffer.scala 453:15] - node _T_3369 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3370 = mux(_T_3369, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3371 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3372 = mux(_T_3371, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3373 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3374 = mux(_T_3373, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3375 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3376 = mux(_T_3375, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3368 = mux(_T_3366, ibuf_sz, _T_3367) @[el2_lsu_bus_buffer.scala 454:44] + buf_sz_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 454:15] + buf_sz_in[1] <= _T_3362 @[el2_lsu_bus_buffer.scala 454:15] + buf_sz_in[2] <= _T_3365 @[el2_lsu_bus_buffer.scala 454:15] + buf_sz_in[3] <= _T_3368 @[el2_lsu_bus_buffer.scala 454:15] + node _T_3369 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:66] + node _T_3370 = mux(_T_3369, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] + node _T_3371 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:66] + node _T_3372 = mux(_T_3371, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] + node _T_3373 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:66] + node _T_3374 = mux(_T_3373, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] + node _T_3375 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:66] + node _T_3376 = mux(_T_3375, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 455:48] node _T_3377 = cat(_T_3376, _T_3374) @[Cat.scala 29:58] node _T_3378 = cat(_T_3377, _T_3372) @[Cat.scala 29:58] node _T_3379 = cat(_T_3378, _T_3370) @[Cat.scala 29:58] - buf_write_in <= _T_3379 @[el2_lsu_bus_buffer.scala 454:18] + buf_write_in <= _T_3379 @[el2_lsu_bus_buffer.scala 455:18] node _T_3380 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3380 : @[Conditional.scala 40:58] - node _T_3381 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3382 = mux(_T_3381, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[0] <= _T_3382 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3383 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3384 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3385 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3387 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3388 = and(_T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3390 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3392 = or(_T_3388, _T_3391) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3393 = and(_T_3383, _T_3392) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3394 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3395 = and(ibuf_drain_vld, _T_3394) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3396 = or(_T_3393, _T_3395) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[0] <= _T_3396 @[el2_lsu_bus_buffer.scala 460:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 461:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3397 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3398 = and(ibuf_drain_vld, _T_3397) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3399 = bits(_T_3398, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3400 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3401 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3402 = mux(_T_3399, _T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[0] <= _T_3402 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3381 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] + node _T_3382 = mux(_T_3381, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] + buf_nxtstate[0] <= _T_3382 @[el2_lsu_bus_buffer.scala 460:25] + node _T_3383 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] + node _T_3384 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3385 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] + node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 461:95] + node _T_3387 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] + node _T_3388 = and(_T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 461:112] + node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] + node _T_3390 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] + node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 461:161] + node _T_3392 = or(_T_3388, _T_3391) @[el2_lsu_bus_buffer.scala 461:132] + node _T_3393 = and(_T_3383, _T_3392) @[el2_lsu_bus_buffer.scala 461:63] + node _T_3394 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] + node _T_3395 = and(ibuf_drain_vld, _T_3394) @[el2_lsu_bus_buffer.scala 461:201] + node _T_3396 = or(_T_3393, _T_3395) @[el2_lsu_bus_buffer.scala 461:183] + buf_state_en[0] <= _T_3396 @[el2_lsu_bus_buffer.scala 461:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 462:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 463:24] + node _T_3397 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] + node _T_3398 = and(ibuf_drain_vld, _T_3397) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3399 = bits(_T_3398, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] + node _T_3400 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] + node _T_3401 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] + node _T_3402 = mux(_T_3399, _T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 464:30] + buf_data_in[0] <= _T_3402 @[el2_lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3403 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3403 : @[Conditional.scala 39:67] - node _T_3404 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3405 = mux(_T_3404, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[0] <= _T_3405 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3406 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[0] <= _T_3406 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3404 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] + node _T_3405 = mux(_T_3404, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] + buf_nxtstate[0] <= _T_3405 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3406 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] + buf_state_en[0] <= _T_3406 @[el2_lsu_bus_buffer.scala 468:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3407 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3407 : @[Conditional.scala 39:67] - node _T_3408 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3409 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3410 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3412 = mux(_T_3411, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3413 = mux(_T_3408, UInt<3>("h00"), _T_3412) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[0] <= _T_3413 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3414 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3415 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3416 = and(obuf_merge, _T_3415) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3417 = or(_T_3414, _T_3416) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3418 = and(_T_3417, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3419 = and(_T_3418, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[0] <= _T_3419 @[el2_lsu_bus_buffer.scala 471:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3420 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_3421 = or(_T_3420, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[0] <= _T_3421 @[el2_lsu_bus_buffer.scala 473:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_3422 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 475:56] - node _T_3423 = eq(_T_3422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_3424 = and(buf_state_en[0], _T_3423) @[el2_lsu_bus_buffer.scala 475:44] - node _T_3425 = and(_T_3424, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3426 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_3427 = and(_T_3425, _T_3426) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[0] <= _T_3427 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3428 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[0] <= _T_3428 @[el2_lsu_bus_buffer.scala 476:28] - node _T_3429 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_3430 = and(_T_3429, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_3431 = and(_T_3430, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[0] <= _T_3431 @[el2_lsu_bus_buffer.scala 477:24] - node _T_3432 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3433 = and(_T_3432, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_3434 = and(_T_3433, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[0] <= _T_3434 @[el2_lsu_bus_buffer.scala 478:25] - node _T_3435 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3436 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_3437 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3438 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_3439 = mux(_T_3436, _T_3437, _T_3438) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3440 = mux(buf_error_en[0], _T_3435, _T_3439) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[0] <= _T_3440 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3408 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] + node _T_3409 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] + node _T_3410 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] + node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3412 = mux(_T_3411, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] + node _T_3413 = mux(_T_3408, UInt<3>("h00"), _T_3412) @[el2_lsu_bus_buffer.scala 471:31] + buf_nxtstate[0] <= _T_3413 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3414 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3415 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:104] + node _T_3416 = and(obuf_merge, _T_3415) @[el2_lsu_bus_buffer.scala 472:91] + node _T_3417 = or(_T_3414, _T_3416) @[el2_lsu_bus_buffer.scala 472:77] + node _T_3418 = and(_T_3417, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] + node _T_3419 = and(_T_3418, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] + buf_cmd_state_bus_en[0] <= _T_3419 @[el2_lsu_bus_buffer.scala 472:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 473:29] + node _T_3420 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] + node _T_3421 = or(_T_3420, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] + buf_state_en[0] <= _T_3421 @[el2_lsu_bus_buffer.scala 474:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] + node _T_3422 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 476:56] + node _T_3423 = eq(_T_3422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] + node _T_3424 = and(buf_state_en[0], _T_3423) @[el2_lsu_bus_buffer.scala 476:44] + node _T_3425 = and(_T_3424, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3426 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] + node _T_3427 = and(_T_3425, _T_3426) @[el2_lsu_bus_buffer.scala 476:74] + buf_ldfwd_en[0] <= _T_3427 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3428 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] + buf_ldfwdtag_in[0] <= _T_3428 @[el2_lsu_bus_buffer.scala 477:28] + node _T_3429 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3430 = and(_T_3429, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] + node _T_3431 = and(_T_3430, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] + buf_data_en[0] <= _T_3431 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3432 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3433 = and(_T_3432, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] + node _T_3434 = and(_T_3433, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] + buf_error_en[0] <= _T_3434 @[el2_lsu_bus_buffer.scala 479:25] + node _T_3435 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] + node _T_3436 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] + node _T_3437 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] + node _T_3438 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] + node _T_3439 = mux(_T_3436, _T_3437, _T_3438) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3440 = mux(buf_error_en[0], _T_3435, _T_3439) @[el2_lsu_bus_buffer.scala 480:30] + buf_data_in[0] <= _T_3440 @[el2_lsu_bus_buffer.scala 480:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3441 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3441 : @[Conditional.scala 39:67] - node _T_3442 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3443 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_3444 = eq(_T_3443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_3445 = and(_T_3442, _T_3444) @[el2_lsu_bus_buffer.scala 482:71] - node _T_3446 = or(io.dec_tlu_force_halt, _T_3445) @[el2_lsu_bus_buffer.scala 482:55] - node _T_3447 = bits(_T_3446, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_3448 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_3449 = and(buf_dual[0], _T_3448) @[el2_lsu_bus_buffer.scala 483:28] - node _T_3450 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:57] - node _T_3451 = eq(_T_3450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3452 = and(_T_3449, _T_3451) @[el2_lsu_bus_buffer.scala 483:45] - node _T_3453 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3455 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 484:27] - node _T_3456 = or(_T_3455, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_3457 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_3458 = and(buf_dual[0], _T_3457) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3459 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:97] - node _T_3460 = eq(_T_3459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_3461 = and(_T_3458, _T_3460) @[el2_lsu_bus_buffer.scala 484:85] + node _T_3442 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3443 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] + node _T_3444 = eq(_T_3443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] + node _T_3445 = and(_T_3442, _T_3444) @[el2_lsu_bus_buffer.scala 483:71] + node _T_3446 = or(io.dec_tlu_force_halt, _T_3445) @[el2_lsu_bus_buffer.scala 483:55] + node _T_3447 = bits(_T_3446, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] + node _T_3448 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] + node _T_3449 = and(buf_dual[0], _T_3448) @[el2_lsu_bus_buffer.scala 484:28] + node _T_3450 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:57] + node _T_3451 = eq(_T_3450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3452 = and(_T_3449, _T_3451) @[el2_lsu_bus_buffer.scala 484:45] + node _T_3453 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] + node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 484:61] + node _T_3455 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 485:27] + node _T_3456 = or(_T_3455, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] + node _T_3457 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] + node _T_3458 = and(buf_dual[0], _T_3457) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3459 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 485:97] + node _T_3460 = eq(_T_3459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] + node _T_3461 = and(_T_3458, _T_3460) @[el2_lsu_bus_buffer.scala 485:85] node _T_3462 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_3463 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_3464 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -4422,265 +4422,265 @@ circuit el2_lsu_bus_buffer : node _T_3476 = or(_T_3475, _T_3473) @[Mux.scala 27:72] wire _T_3477 : UInt<1> @[Mux.scala 27:72] _T_3477 <= _T_3476 @[Mux.scala 27:72] - node _T_3478 = and(_T_3461, _T_3477) @[el2_lsu_bus_buffer.scala 484:101] - node _T_3479 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 484:138] - node _T_3481 = and(_T_3480, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_3482 = or(_T_3456, _T_3481) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3483 = mux(_T_3482, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_3484 = mux(_T_3454, UInt<3>("h04"), _T_3483) @[el2_lsu_bus_buffer.scala 483:14] - node _T_3485 = mux(_T_3447, UInt<3>("h00"), _T_3484) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3486 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_3487 = and(bus_rsp_write, _T_3486) @[el2_lsu_bus_buffer.scala 485:52] - node _T_3488 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_3489 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 487:23] - node _T_3490 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3491 = and(_T_3489, _T_3490) @[el2_lsu_bus_buffer.scala 487:27] - node _T_3492 = or(_T_3488, _T_3491) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3493 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_3494 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 488:54] - node _T_3495 = not(_T_3494) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3496 = and(_T_3493, _T_3495) @[el2_lsu_bus_buffer.scala 488:42] - node _T_3497 = and(_T_3496, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_3498 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_3499 = and(_T_3497, _T_3498) @[el2_lsu_bus_buffer.scala 488:74] - node _T_3500 = or(_T_3492, _T_3499) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3501 = and(bus_rsp_read, _T_3500) @[el2_lsu_bus_buffer.scala 486:25] - node _T_3502 = or(_T_3487, _T_3501) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[0] <= _T_3502 @[el2_lsu_bus_buffer.scala 485:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 489:29] - node _T_3503 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3504 = or(_T_3503, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[0] <= _T_3504 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3505 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3506 = and(_T_3505, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[0] <= _T_3506 @[el2_lsu_bus_buffer.scala 491:24] - node _T_3507 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_3508 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_3509 = and(bus_rsp_read_error, _T_3508) @[el2_lsu_bus_buffer.scala 492:91] - node _T_3510 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 493:42] - node _T_3511 = and(bus_rsp_read_error, _T_3510) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3512 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_3513 = and(_T_3511, _T_3512) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3514 = or(_T_3509, _T_3513) @[el2_lsu_bus_buffer.scala 492:143] - node _T_3515 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_3516 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_3517 = and(_T_3515, _T_3516) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3518 = or(_T_3514, _T_3517) @[el2_lsu_bus_buffer.scala 493:88] - node _T_3519 = and(_T_3507, _T_3518) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3520 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_3521 = and(buf_state_en[0], _T_3520) @[el2_lsu_bus_buffer.scala 495:48] - node _T_3522 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_3523 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_3524 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_3525 = mux(_T_3522, _T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 495:72] - node _T_3526 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_3527 = mux(_T_3521, _T_3525, _T_3526) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[0] <= _T_3527 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3478 = and(_T_3461, _T_3477) @[el2_lsu_bus_buffer.scala 485:101] + node _T_3479 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] + node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 485:138] + node _T_3481 = and(_T_3480, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] + node _T_3482 = or(_T_3456, _T_3481) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3483 = mux(_T_3482, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] + node _T_3484 = mux(_T_3454, UInt<3>("h04"), _T_3483) @[el2_lsu_bus_buffer.scala 484:14] + node _T_3485 = mux(_T_3447, UInt<3>("h00"), _T_3484) @[el2_lsu_bus_buffer.scala 483:31] + buf_nxtstate[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 483:25] + node _T_3486 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3487 = and(bus_rsp_write, _T_3486) @[el2_lsu_bus_buffer.scala 486:52] + node _T_3488 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 487:46] + node _T_3489 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 488:23] + node _T_3490 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 488:47] + node _T_3491 = and(_T_3489, _T_3490) @[el2_lsu_bus_buffer.scala 488:27] + node _T_3492 = or(_T_3488, _T_3491) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3493 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 489:26] + node _T_3494 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 489:54] + node _T_3495 = not(_T_3494) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3496 = and(_T_3493, _T_3495) @[el2_lsu_bus_buffer.scala 489:42] + node _T_3497 = and(_T_3496, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 489:58] + node _T_3498 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3499 = and(_T_3497, _T_3498) @[el2_lsu_bus_buffer.scala 489:74] + node _T_3500 = or(_T_3492, _T_3499) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3501 = and(bus_rsp_read, _T_3500) @[el2_lsu_bus_buffer.scala 487:25] + node _T_3502 = or(_T_3487, _T_3501) @[el2_lsu_bus_buffer.scala 486:105] + buf_resp_state_bus_en[0] <= _T_3502 @[el2_lsu_bus_buffer.scala 486:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 490:29] + node _T_3503 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3504 = or(_T_3503, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[0] <= _T_3504 @[el2_lsu_bus_buffer.scala 491:25] + node _T_3505 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3506 = and(_T_3505, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] + buf_data_en[0] <= _T_3506 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3507 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3508 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 493:111] + node _T_3509 = and(bus_rsp_read_error, _T_3508) @[el2_lsu_bus_buffer.scala 493:91] + node _T_3510 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3511 = and(bus_rsp_read_error, _T_3510) @[el2_lsu_bus_buffer.scala 494:31] + node _T_3512 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 494:66] + node _T_3513 = and(_T_3511, _T_3512) @[el2_lsu_bus_buffer.scala 494:46] + node _T_3514 = or(_T_3509, _T_3513) @[el2_lsu_bus_buffer.scala 493:143] + node _T_3515 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] + node _T_3516 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3517 = and(_T_3515, _T_3516) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3518 = or(_T_3514, _T_3517) @[el2_lsu_bus_buffer.scala 494:88] + node _T_3519 = and(_T_3507, _T_3518) @[el2_lsu_bus_buffer.scala 493:68] + buf_error_en[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3520 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] + node _T_3521 = and(buf_state_en[0], _T_3520) @[el2_lsu_bus_buffer.scala 496:48] + node _T_3522 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] + node _T_3523 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] + node _T_3524 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] + node _T_3525 = mux(_T_3522, _T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 496:72] + node _T_3526 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] + node _T_3527 = mux(_T_3521, _T_3525, _T_3526) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[0] <= _T_3527 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3528 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3528 : @[Conditional.scala 39:67] - node _T_3529 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_3530 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 498:86] - node _T_3531 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3532 = bits(_T_3531, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3533 = or(_T_3530, _T_3532) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3534 = or(_T_3533, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_3535 = mux(_T_3534, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_3536 = mux(_T_3529, UInt<3>("h00"), _T_3535) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[0] <= _T_3536 @[el2_lsu_bus_buffer.scala 498:25] - node _T_3537 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3538 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3539 = bits(_T_3538, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3540 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_3541 = and(_T_3539, _T_3540) @[el2_lsu_bus_buffer.scala 500:38] - node _T_3542 = or(_T_3537, _T_3541) @[el2_lsu_bus_buffer.scala 499:95] - node _T_3543 = and(bus_rsp_read, _T_3542) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[0] <= _T_3543 @[el2_lsu_bus_buffer.scala 499:29] - node _T_3544 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_3545 = or(_T_3544, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3529 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_3530 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 499:86] + node _T_3531 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3532 = bits(_T_3531, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3533 = or(_T_3530, _T_3532) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3534 = or(_T_3533, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] + node _T_3535 = mux(_T_3534, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] + node _T_3536 = mux(_T_3529, UInt<3>("h00"), _T_3535) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[0] <= _T_3536 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3537 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3538 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3539 = bits(_T_3538, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3540 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 501:58] + node _T_3541 = and(_T_3539, _T_3540) @[el2_lsu_bus_buffer.scala 501:38] + node _T_3542 = or(_T_3537, _T_3541) @[el2_lsu_bus_buffer.scala 500:95] + node _T_3543 = and(bus_rsp_read, _T_3542) @[el2_lsu_bus_buffer.scala 500:45] + buf_state_bus_en[0] <= _T_3543 @[el2_lsu_bus_buffer.scala 500:29] + node _T_3544 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] + node _T_3545 = or(_T_3544, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] + buf_state_en[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3546 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3546 : @[Conditional.scala 39:67] - node _T_3547 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3548 = mux(_T_3547, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[0] <= _T_3548 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3549 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_3550 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_3551 = and(buf_dual[0], _T_3550) @[el2_lsu_bus_buffer.scala 505:80] - node _T_3552 = or(_T_3549, _T_3551) @[el2_lsu_bus_buffer.scala 505:65] - node _T_3553 = or(_T_3552, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[0] <= _T_3553 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3547 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3548 = mux(_T_3547, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[0] <= _T_3548 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3549 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 506:37] + node _T_3550 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] + node _T_3551 = and(buf_dual[0], _T_3550) @[el2_lsu_bus_buffer.scala 506:80] + node _T_3552 = or(_T_3549, _T_3551) @[el2_lsu_bus_buffer.scala 506:65] + node _T_3553 = or(_T_3552, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] + buf_state_en[0] <= _T_3553 @[el2_lsu_bus_buffer.scala 506:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3554 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3554 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 512:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 513:25] skip @[Conditional.scala 39:67] - node _T_3555 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + node _T_3555 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] reg _T_3556 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3555 : @[Reg.scala 28:19] _T_3556 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_3557 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_3557 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_3558 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_3558 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3559 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + buf_state[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 516:18] + reg _T_3557 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] + _T_3557 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 517:60] + buf_ageQ[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 517:17] + reg _T_3558 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] + _T_3558 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 518:63] + buf_rspageQ[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3559 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] reg _T_3560 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3559 : @[Reg.scala 28:19] _T_3560 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3560 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3561 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 519:74] - node _T_3562 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + buf_dualtag[0] <= _T_3560 @[el2_lsu_bus_buffer.scala 519:20] + node _T_3561 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:74] + node _T_3562 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] reg _T_3563 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3562 : @[Reg.scala 28:19] _T_3563 <= _T_3561 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 519:17] - node _T_3564 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:78] - node _T_3565 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + buf_dual[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 520:17] + node _T_3564 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:78] + node _T_3565 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] reg _T_3566 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3565 : @[Reg.scala 28:19] _T_3566 <= _T_3564 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 520:19] - node _T_3567 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:80] - node _T_3568 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + buf_samedw[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 521:19] + node _T_3567 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 522:80] + node _T_3568 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] reg _T_3569 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3568 : @[Reg.scala 28:19] _T_3569 <= _T_3567 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3570 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 522:78] - node _T_3571 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + buf_nomerge[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 522:20] + node _T_3570 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 523:78] + node _T_3571 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] reg _T_3572 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3571 : @[Reg.scala 28:19] _T_3572 <= _T_3570 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 522:19] + buf_dualhi[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 523:19] node _T_3573 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3573 : @[Conditional.scala 40:58] - node _T_3574 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3575 = mux(_T_3574, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[1] <= _T_3575 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3576 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3577 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3578 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3580 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3581 = and(_T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3582 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3583 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3584 = and(_T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3585 = or(_T_3581, _T_3584) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3586 = and(_T_3576, _T_3585) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3587 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3588 = and(ibuf_drain_vld, _T_3587) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3589 = or(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[1] <= _T_3589 @[el2_lsu_bus_buffer.scala 460:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 461:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3590 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3591 = and(ibuf_drain_vld, _T_3590) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3592 = bits(_T_3591, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3593 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3594 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3595 = mux(_T_3592, _T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[1] <= _T_3595 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3574 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] + node _T_3575 = mux(_T_3574, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] + buf_nxtstate[1] <= _T_3575 @[el2_lsu_bus_buffer.scala 460:25] + node _T_3576 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] + node _T_3577 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3578 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] + node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 461:95] + node _T_3580 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] + node _T_3581 = and(_T_3579, _T_3580) @[el2_lsu_bus_buffer.scala 461:112] + node _T_3582 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] + node _T_3583 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] + node _T_3584 = and(_T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 461:161] + node _T_3585 = or(_T_3581, _T_3584) @[el2_lsu_bus_buffer.scala 461:132] + node _T_3586 = and(_T_3576, _T_3585) @[el2_lsu_bus_buffer.scala 461:63] + node _T_3587 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] + node _T_3588 = and(ibuf_drain_vld, _T_3587) @[el2_lsu_bus_buffer.scala 461:201] + node _T_3589 = or(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 461:183] + buf_state_en[1] <= _T_3589 @[el2_lsu_bus_buffer.scala 461:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 462:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 463:24] + node _T_3590 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] + node _T_3591 = and(ibuf_drain_vld, _T_3590) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3592 = bits(_T_3591, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] + node _T_3593 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] + node _T_3594 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] + node _T_3595 = mux(_T_3592, _T_3593, _T_3594) @[el2_lsu_bus_buffer.scala 464:30] + buf_data_in[1] <= _T_3595 @[el2_lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3596 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3596 : @[Conditional.scala 39:67] - node _T_3597 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3598 = mux(_T_3597, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[1] <= _T_3598 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3599 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[1] <= _T_3599 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3597 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] + node _T_3598 = mux(_T_3597, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] + buf_nxtstate[1] <= _T_3598 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3599 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] + buf_state_en[1] <= _T_3599 @[el2_lsu_bus_buffer.scala 468:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3600 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3600 : @[Conditional.scala 39:67] - node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3602 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3603 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3604 = and(_T_3602, _T_3603) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3605 = mux(_T_3604, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3606 = mux(_T_3601, UInt<3>("h00"), _T_3605) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[1] <= _T_3606 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3607 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3608 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3609 = and(obuf_merge, _T_3608) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3610 = or(_T_3607, _T_3609) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3611 = and(_T_3610, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3612 = and(_T_3611, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[1] <= _T_3612 @[el2_lsu_bus_buffer.scala 471:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3613 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_3614 = or(_T_3613, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[1] <= _T_3614 @[el2_lsu_bus_buffer.scala 473:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_3615 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 475:56] - node _T_3616 = eq(_T_3615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_3617 = and(buf_state_en[1], _T_3616) @[el2_lsu_bus_buffer.scala 475:44] - node _T_3618 = and(_T_3617, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_3620 = and(_T_3618, _T_3619) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[1] <= _T_3620 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3621 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[1] <= _T_3621 @[el2_lsu_bus_buffer.scala 476:28] - node _T_3622 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_3623 = and(_T_3622, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_3624 = and(_T_3623, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[1] <= _T_3624 @[el2_lsu_bus_buffer.scala 477:24] - node _T_3625 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3626 = and(_T_3625, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_3627 = and(_T_3626, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[1] <= _T_3627 @[el2_lsu_bus_buffer.scala 478:25] - node _T_3628 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3629 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_3630 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3631 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_3632 = mux(_T_3629, _T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3633 = mux(buf_error_en[1], _T_3628, _T_3632) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[1] <= _T_3633 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3601 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] + node _T_3602 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] + node _T_3603 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] + node _T_3604 = and(_T_3602, _T_3603) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3605 = mux(_T_3604, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] + node _T_3606 = mux(_T_3601, UInt<3>("h00"), _T_3605) @[el2_lsu_bus_buffer.scala 471:31] + buf_nxtstate[1] <= _T_3606 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3607 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3608 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 472:104] + node _T_3609 = and(obuf_merge, _T_3608) @[el2_lsu_bus_buffer.scala 472:91] + node _T_3610 = or(_T_3607, _T_3609) @[el2_lsu_bus_buffer.scala 472:77] + node _T_3611 = and(_T_3610, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] + node _T_3612 = and(_T_3611, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] + buf_cmd_state_bus_en[1] <= _T_3612 @[el2_lsu_bus_buffer.scala 472:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 473:29] + node _T_3613 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] + node _T_3614 = or(_T_3613, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] + buf_state_en[1] <= _T_3614 @[el2_lsu_bus_buffer.scala 474:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] + node _T_3615 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 476:56] + node _T_3616 = eq(_T_3615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] + node _T_3617 = and(buf_state_en[1], _T_3616) @[el2_lsu_bus_buffer.scala 476:44] + node _T_3618 = and(_T_3617, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] + node _T_3620 = and(_T_3618, _T_3619) @[el2_lsu_bus_buffer.scala 476:74] + buf_ldfwd_en[1] <= _T_3620 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3621 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] + buf_ldfwdtag_in[1] <= _T_3621 @[el2_lsu_bus_buffer.scala 477:28] + node _T_3622 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3623 = and(_T_3622, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] + node _T_3624 = and(_T_3623, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] + buf_data_en[1] <= _T_3624 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3625 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3626 = and(_T_3625, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] + node _T_3627 = and(_T_3626, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] + buf_error_en[1] <= _T_3627 @[el2_lsu_bus_buffer.scala 479:25] + node _T_3628 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] + node _T_3629 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] + node _T_3630 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] + node _T_3631 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] + node _T_3632 = mux(_T_3629, _T_3630, _T_3631) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3633 = mux(buf_error_en[1], _T_3628, _T_3632) @[el2_lsu_bus_buffer.scala 480:30] + buf_data_in[1] <= _T_3633 @[el2_lsu_bus_buffer.scala 480:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3634 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3634 : @[Conditional.scala 39:67] - node _T_3635 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3636 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_3637 = eq(_T_3636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_3638 = and(_T_3635, _T_3637) @[el2_lsu_bus_buffer.scala 482:71] - node _T_3639 = or(io.dec_tlu_force_halt, _T_3638) @[el2_lsu_bus_buffer.scala 482:55] - node _T_3640 = bits(_T_3639, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_3641 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_3642 = and(buf_dual[1], _T_3641) @[el2_lsu_bus_buffer.scala 483:28] - node _T_3643 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:57] - node _T_3644 = eq(_T_3643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3645 = and(_T_3642, _T_3644) @[el2_lsu_bus_buffer.scala 483:45] - node _T_3646 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_3647 = and(_T_3645, _T_3646) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3648 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 484:27] - node _T_3649 = or(_T_3648, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_3650 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_3651 = and(buf_dual[1], _T_3650) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3652 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:97] - node _T_3653 = eq(_T_3652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_3654 = and(_T_3651, _T_3653) @[el2_lsu_bus_buffer.scala 484:85] + node _T_3635 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3636 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] + node _T_3637 = eq(_T_3636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] + node _T_3638 = and(_T_3635, _T_3637) @[el2_lsu_bus_buffer.scala 483:71] + node _T_3639 = or(io.dec_tlu_force_halt, _T_3638) @[el2_lsu_bus_buffer.scala 483:55] + node _T_3640 = bits(_T_3639, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] + node _T_3641 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] + node _T_3642 = and(buf_dual[1], _T_3641) @[el2_lsu_bus_buffer.scala 484:28] + node _T_3643 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:57] + node _T_3644 = eq(_T_3643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3645 = and(_T_3642, _T_3644) @[el2_lsu_bus_buffer.scala 484:45] + node _T_3646 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] + node _T_3647 = and(_T_3645, _T_3646) @[el2_lsu_bus_buffer.scala 484:61] + node _T_3648 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 485:27] + node _T_3649 = or(_T_3648, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] + node _T_3650 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] + node _T_3651 = and(buf_dual[1], _T_3650) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3652 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 485:97] + node _T_3653 = eq(_T_3652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] + node _T_3654 = and(_T_3651, _T_3653) @[el2_lsu_bus_buffer.scala 485:85] node _T_3655 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_3656 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_3657 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -4698,265 +4698,265 @@ circuit el2_lsu_bus_buffer : node _T_3669 = or(_T_3668, _T_3666) @[Mux.scala 27:72] wire _T_3670 : UInt<1> @[Mux.scala 27:72] _T_3670 <= _T_3669 @[Mux.scala 27:72] - node _T_3671 = and(_T_3654, _T_3670) @[el2_lsu_bus_buffer.scala 484:101] - node _T_3672 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 484:138] - node _T_3674 = and(_T_3673, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_3675 = or(_T_3649, _T_3674) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_3677 = mux(_T_3647, UInt<3>("h04"), _T_3676) @[el2_lsu_bus_buffer.scala 483:14] - node _T_3678 = mux(_T_3640, UInt<3>("h00"), _T_3677) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_3680 = and(bus_rsp_write, _T_3679) @[el2_lsu_bus_buffer.scala 485:52] - node _T_3681 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_3682 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 487:23] - node _T_3683 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3684 = and(_T_3682, _T_3683) @[el2_lsu_bus_buffer.scala 487:27] - node _T_3685 = or(_T_3681, _T_3684) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3686 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_3687 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 488:54] - node _T_3688 = not(_T_3687) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 488:42] - node _T_3690 = and(_T_3689, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_3691 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_3692 = and(_T_3690, _T_3691) @[el2_lsu_bus_buffer.scala 488:74] - node _T_3693 = or(_T_3685, _T_3692) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3694 = and(bus_rsp_read, _T_3693) @[el2_lsu_bus_buffer.scala 486:25] - node _T_3695 = or(_T_3680, _T_3694) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[1] <= _T_3695 @[el2_lsu_bus_buffer.scala 485:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 489:29] - node _T_3696 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[1] <= _T_3697 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3698 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3699 = and(_T_3698, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[1] <= _T_3699 @[el2_lsu_bus_buffer.scala 491:24] - node _T_3700 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_3701 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 492:91] - node _T_3703 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 493:42] - node _T_3704 = and(bus_rsp_read_error, _T_3703) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3705 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_3706 = and(_T_3704, _T_3705) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3707 = or(_T_3702, _T_3706) @[el2_lsu_bus_buffer.scala 492:143] - node _T_3708 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_3709 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3711 = or(_T_3707, _T_3710) @[el2_lsu_bus_buffer.scala 493:88] - node _T_3712 = and(_T_3700, _T_3711) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[1] <= _T_3712 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3713 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_3714 = and(buf_state_en[1], _T_3713) @[el2_lsu_bus_buffer.scala 495:48] - node _T_3715 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_3716 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_3718 = mux(_T_3715, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 495:72] - node _T_3719 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_3720 = mux(_T_3714, _T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[1] <= _T_3720 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3671 = and(_T_3654, _T_3670) @[el2_lsu_bus_buffer.scala 485:101] + node _T_3672 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] + node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 485:138] + node _T_3674 = and(_T_3673, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] + node _T_3675 = or(_T_3649, _T_3674) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] + node _T_3677 = mux(_T_3647, UInt<3>("h04"), _T_3676) @[el2_lsu_bus_buffer.scala 484:14] + node _T_3678 = mux(_T_3640, UInt<3>("h00"), _T_3677) @[el2_lsu_bus_buffer.scala 483:31] + buf_nxtstate[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 483:25] + node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3680 = and(bus_rsp_write, _T_3679) @[el2_lsu_bus_buffer.scala 486:52] + node _T_3681 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 487:46] + node _T_3682 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 488:23] + node _T_3683 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 488:47] + node _T_3684 = and(_T_3682, _T_3683) @[el2_lsu_bus_buffer.scala 488:27] + node _T_3685 = or(_T_3681, _T_3684) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3686 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 489:26] + node _T_3687 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 489:54] + node _T_3688 = not(_T_3687) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3689 = and(_T_3686, _T_3688) @[el2_lsu_bus_buffer.scala 489:42] + node _T_3690 = and(_T_3689, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 489:58] + node _T_3691 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3692 = and(_T_3690, _T_3691) @[el2_lsu_bus_buffer.scala 489:74] + node _T_3693 = or(_T_3685, _T_3692) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3694 = and(bus_rsp_read, _T_3693) @[el2_lsu_bus_buffer.scala 487:25] + node _T_3695 = or(_T_3680, _T_3694) @[el2_lsu_bus_buffer.scala 486:105] + buf_resp_state_bus_en[1] <= _T_3695 @[el2_lsu_bus_buffer.scala 486:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 490:29] + node _T_3696 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[1] <= _T_3697 @[el2_lsu_bus_buffer.scala 491:25] + node _T_3698 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3699 = and(_T_3698, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] + buf_data_en[1] <= _T_3699 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3700 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3701 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:111] + node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 493:91] + node _T_3703 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3704 = and(bus_rsp_read_error, _T_3703) @[el2_lsu_bus_buffer.scala 494:31] + node _T_3705 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 494:66] + node _T_3706 = and(_T_3704, _T_3705) @[el2_lsu_bus_buffer.scala 494:46] + node _T_3707 = or(_T_3702, _T_3706) @[el2_lsu_bus_buffer.scala 493:143] + node _T_3708 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] + node _T_3709 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3711 = or(_T_3707, _T_3710) @[el2_lsu_bus_buffer.scala 494:88] + node _T_3712 = and(_T_3700, _T_3711) @[el2_lsu_bus_buffer.scala 493:68] + buf_error_en[1] <= _T_3712 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3713 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] + node _T_3714 = and(buf_state_en[1], _T_3713) @[el2_lsu_bus_buffer.scala 496:48] + node _T_3715 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] + node _T_3716 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] + node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] + node _T_3718 = mux(_T_3715, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 496:72] + node _T_3719 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] + node _T_3720 = mux(_T_3714, _T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[1] <= _T_3720 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3721 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3721 : @[Conditional.scala 39:67] - node _T_3722 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_3723 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 498:86] - node _T_3724 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3725 = bits(_T_3724, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3726 = or(_T_3723, _T_3725) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3727 = or(_T_3726, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_3728 = mux(_T_3727, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_3729 = mux(_T_3722, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[1] <= _T_3729 @[el2_lsu_bus_buffer.scala 498:25] - node _T_3730 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3731 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3732 = bits(_T_3731, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3733 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_3734 = and(_T_3732, _T_3733) @[el2_lsu_bus_buffer.scala 500:38] - node _T_3735 = or(_T_3730, _T_3734) @[el2_lsu_bus_buffer.scala 499:95] - node _T_3736 = and(bus_rsp_read, _T_3735) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[1] <= _T_3736 @[el2_lsu_bus_buffer.scala 499:29] - node _T_3737 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_3738 = or(_T_3737, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3722 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_3723 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 499:86] + node _T_3724 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3725 = bits(_T_3724, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3726 = or(_T_3723, _T_3725) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3727 = or(_T_3726, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] + node _T_3728 = mux(_T_3727, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] + node _T_3729 = mux(_T_3722, UInt<3>("h00"), _T_3728) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[1] <= _T_3729 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3730 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3731 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3732 = bits(_T_3731, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3733 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 501:58] + node _T_3734 = and(_T_3732, _T_3733) @[el2_lsu_bus_buffer.scala 501:38] + node _T_3735 = or(_T_3730, _T_3734) @[el2_lsu_bus_buffer.scala 500:95] + node _T_3736 = and(bus_rsp_read, _T_3735) @[el2_lsu_bus_buffer.scala 500:45] + buf_state_bus_en[1] <= _T_3736 @[el2_lsu_bus_buffer.scala 500:29] + node _T_3737 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] + node _T_3738 = or(_T_3737, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] + buf_state_en[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3739 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3739 : @[Conditional.scala 39:67] - node _T_3740 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3741 = mux(_T_3740, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[1] <= _T_3741 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3742 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_3743 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_3744 = and(buf_dual[1], _T_3743) @[el2_lsu_bus_buffer.scala 505:80] - node _T_3745 = or(_T_3742, _T_3744) @[el2_lsu_bus_buffer.scala 505:65] - node _T_3746 = or(_T_3745, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[1] <= _T_3746 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3740 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3741 = mux(_T_3740, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[1] <= _T_3741 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3742 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 506:37] + node _T_3743 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] + node _T_3744 = and(buf_dual[1], _T_3743) @[el2_lsu_bus_buffer.scala 506:80] + node _T_3745 = or(_T_3742, _T_3744) @[el2_lsu_bus_buffer.scala 506:65] + node _T_3746 = or(_T_3745, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] + buf_state_en[1] <= _T_3746 @[el2_lsu_bus_buffer.scala 506:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3747 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3747 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 512:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 513:25] skip @[Conditional.scala 39:67] - node _T_3748 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + node _T_3748 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] reg _T_3749 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3748 : @[Reg.scala 28:19] _T_3749 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_3750 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_3750 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_3751 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3752 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + buf_state[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 516:18] + reg _T_3750 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] + _T_3750 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 517:60] + buf_ageQ[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 517:17] + reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] + _T_3751 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 518:63] + buf_rspageQ[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3752 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] reg _T_3753 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3752 : @[Reg.scala 28:19] _T_3753 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3753 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3754 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 519:74] - node _T_3755 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + buf_dualtag[1] <= _T_3753 @[el2_lsu_bus_buffer.scala 519:20] + node _T_3754 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:74] + node _T_3755 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] reg _T_3756 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3755 : @[Reg.scala 28:19] _T_3756 <= _T_3754 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 519:17] - node _T_3757 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:78] - node _T_3758 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + buf_dual[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 520:17] + node _T_3757 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:78] + node _T_3758 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] reg _T_3759 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3758 : @[Reg.scala 28:19] _T_3759 <= _T_3757 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 520:19] - node _T_3760 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:80] - node _T_3761 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + buf_samedw[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 521:19] + node _T_3760 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 522:80] + node _T_3761 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] reg _T_3762 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3761 : @[Reg.scala 28:19] _T_3762 <= _T_3760 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3763 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 522:78] - node _T_3764 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + buf_nomerge[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 522:20] + node _T_3763 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 523:78] + node _T_3764 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] reg _T_3765 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3764 : @[Reg.scala 28:19] _T_3765 <= _T_3763 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 522:19] + buf_dualhi[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 523:19] node _T_3766 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3766 : @[Conditional.scala 40:58] - node _T_3767 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3768 = mux(_T_3767, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[2] <= _T_3768 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3769 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3770 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3771 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3773 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3774 = and(_T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3775 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3776 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3777 = and(_T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3778 = or(_T_3774, _T_3777) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3779 = and(_T_3769, _T_3778) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3780 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3781 = and(ibuf_drain_vld, _T_3780) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3782 = or(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[2] <= _T_3782 @[el2_lsu_bus_buffer.scala 460:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 461:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3783 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3784 = and(ibuf_drain_vld, _T_3783) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3785 = bits(_T_3784, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3786 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3787 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3788 = mux(_T_3785, _T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[2] <= _T_3788 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3767 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] + node _T_3768 = mux(_T_3767, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] + buf_nxtstate[2] <= _T_3768 @[el2_lsu_bus_buffer.scala 460:25] + node _T_3769 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] + node _T_3770 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3771 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] + node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 461:95] + node _T_3773 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] + node _T_3774 = and(_T_3772, _T_3773) @[el2_lsu_bus_buffer.scala 461:112] + node _T_3775 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] + node _T_3776 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] + node _T_3777 = and(_T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 461:161] + node _T_3778 = or(_T_3774, _T_3777) @[el2_lsu_bus_buffer.scala 461:132] + node _T_3779 = and(_T_3769, _T_3778) @[el2_lsu_bus_buffer.scala 461:63] + node _T_3780 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] + node _T_3781 = and(ibuf_drain_vld, _T_3780) @[el2_lsu_bus_buffer.scala 461:201] + node _T_3782 = or(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 461:183] + buf_state_en[2] <= _T_3782 @[el2_lsu_bus_buffer.scala 461:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 462:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 463:24] + node _T_3783 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] + node _T_3784 = and(ibuf_drain_vld, _T_3783) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3785 = bits(_T_3784, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] + node _T_3786 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] + node _T_3787 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] + node _T_3788 = mux(_T_3785, _T_3786, _T_3787) @[el2_lsu_bus_buffer.scala 464:30] + buf_data_in[2] <= _T_3788 @[el2_lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3789 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3789 : @[Conditional.scala 39:67] - node _T_3790 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3791 = mux(_T_3790, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[2] <= _T_3791 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3792 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[2] <= _T_3792 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3790 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] + node _T_3791 = mux(_T_3790, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] + buf_nxtstate[2] <= _T_3791 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3792 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] + buf_state_en[2] <= _T_3792 @[el2_lsu_bus_buffer.scala 468:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3793 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3793 : @[Conditional.scala 39:67] - node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3795 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3796 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3797 = and(_T_3795, _T_3796) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3798 = mux(_T_3797, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3799 = mux(_T_3794, UInt<3>("h00"), _T_3798) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[2] <= _T_3799 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3800 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3801 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3802 = and(obuf_merge, _T_3801) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3803 = or(_T_3800, _T_3802) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3804 = and(_T_3803, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3805 = and(_T_3804, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[2] <= _T_3805 @[el2_lsu_bus_buffer.scala 471:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3806 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_3807 = or(_T_3806, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[2] <= _T_3807 @[el2_lsu_bus_buffer.scala 473:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_3808 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 475:56] - node _T_3809 = eq(_T_3808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_3810 = and(buf_state_en[2], _T_3809) @[el2_lsu_bus_buffer.scala 475:44] - node _T_3811 = and(_T_3810, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3812 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_3813 = and(_T_3811, _T_3812) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[2] <= _T_3813 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3814 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[2] <= _T_3814 @[el2_lsu_bus_buffer.scala 476:28] - node _T_3815 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_3816 = and(_T_3815, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_3817 = and(_T_3816, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[2] <= _T_3817 @[el2_lsu_bus_buffer.scala 477:24] - node _T_3818 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3819 = and(_T_3818, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_3820 = and(_T_3819, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[2] <= _T_3820 @[el2_lsu_bus_buffer.scala 478:25] - node _T_3821 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3822 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_3823 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3824 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_3825 = mux(_T_3822, _T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3826 = mux(buf_error_en[2], _T_3821, _T_3825) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[2] <= _T_3826 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3794 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] + node _T_3795 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] + node _T_3796 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] + node _T_3797 = and(_T_3795, _T_3796) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3798 = mux(_T_3797, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] + node _T_3799 = mux(_T_3794, UInt<3>("h00"), _T_3798) @[el2_lsu_bus_buffer.scala 471:31] + buf_nxtstate[2] <= _T_3799 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3800 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3801 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 472:104] + node _T_3802 = and(obuf_merge, _T_3801) @[el2_lsu_bus_buffer.scala 472:91] + node _T_3803 = or(_T_3800, _T_3802) @[el2_lsu_bus_buffer.scala 472:77] + node _T_3804 = and(_T_3803, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] + node _T_3805 = and(_T_3804, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] + buf_cmd_state_bus_en[2] <= _T_3805 @[el2_lsu_bus_buffer.scala 472:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 473:29] + node _T_3806 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] + node _T_3807 = or(_T_3806, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] + buf_state_en[2] <= _T_3807 @[el2_lsu_bus_buffer.scala 474:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] + node _T_3808 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 476:56] + node _T_3809 = eq(_T_3808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] + node _T_3810 = and(buf_state_en[2], _T_3809) @[el2_lsu_bus_buffer.scala 476:44] + node _T_3811 = and(_T_3810, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] + node _T_3812 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] + node _T_3813 = and(_T_3811, _T_3812) @[el2_lsu_bus_buffer.scala 476:74] + buf_ldfwd_en[2] <= _T_3813 @[el2_lsu_bus_buffer.scala 476:25] + node _T_3814 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] + buf_ldfwdtag_in[2] <= _T_3814 @[el2_lsu_bus_buffer.scala 477:28] + node _T_3815 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3816 = and(_T_3815, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] + node _T_3817 = and(_T_3816, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] + buf_data_en[2] <= _T_3817 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3818 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3819 = and(_T_3818, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] + node _T_3820 = and(_T_3819, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] + buf_error_en[2] <= _T_3820 @[el2_lsu_bus_buffer.scala 479:25] + node _T_3821 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] + node _T_3822 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] + node _T_3823 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] + node _T_3824 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] + node _T_3825 = mux(_T_3822, _T_3823, _T_3824) @[el2_lsu_bus_buffer.scala 480:73] + node _T_3826 = mux(buf_error_en[2], _T_3821, _T_3825) @[el2_lsu_bus_buffer.scala 480:30] + buf_data_in[2] <= _T_3826 @[el2_lsu_bus_buffer.scala 480:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3827 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3827 : @[Conditional.scala 39:67] - node _T_3828 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3829 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_3830 = eq(_T_3829, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_3831 = and(_T_3828, _T_3830) @[el2_lsu_bus_buffer.scala 482:71] - node _T_3832 = or(io.dec_tlu_force_halt, _T_3831) @[el2_lsu_bus_buffer.scala 482:55] - node _T_3833 = bits(_T_3832, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_3834 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_3835 = and(buf_dual[2], _T_3834) @[el2_lsu_bus_buffer.scala 483:28] - node _T_3836 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:57] - node _T_3837 = eq(_T_3836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3838 = and(_T_3835, _T_3837) @[el2_lsu_bus_buffer.scala 483:45] - node _T_3839 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_3840 = and(_T_3838, _T_3839) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3841 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 484:27] - node _T_3842 = or(_T_3841, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_3843 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_3844 = and(buf_dual[2], _T_3843) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3845 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:97] - node _T_3846 = eq(_T_3845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_3847 = and(_T_3844, _T_3846) @[el2_lsu_bus_buffer.scala 484:85] + node _T_3828 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] + node _T_3829 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] + node _T_3830 = eq(_T_3829, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] + node _T_3831 = and(_T_3828, _T_3830) @[el2_lsu_bus_buffer.scala 483:71] + node _T_3832 = or(io.dec_tlu_force_halt, _T_3831) @[el2_lsu_bus_buffer.scala 483:55] + node _T_3833 = bits(_T_3832, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] + node _T_3834 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] + node _T_3835 = and(buf_dual[2], _T_3834) @[el2_lsu_bus_buffer.scala 484:28] + node _T_3836 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:57] + node _T_3837 = eq(_T_3836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] + node _T_3838 = and(_T_3835, _T_3837) @[el2_lsu_bus_buffer.scala 484:45] + node _T_3839 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] + node _T_3840 = and(_T_3838, _T_3839) @[el2_lsu_bus_buffer.scala 484:61] + node _T_3841 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 485:27] + node _T_3842 = or(_T_3841, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] + node _T_3843 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] + node _T_3844 = and(buf_dual[2], _T_3843) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3845 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 485:97] + node _T_3846 = eq(_T_3845, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] + node _T_3847 = and(_T_3844, _T_3846) @[el2_lsu_bus_buffer.scala 485:85] node _T_3848 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_3849 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_3850 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -4974,265 +4974,265 @@ circuit el2_lsu_bus_buffer : node _T_3862 = or(_T_3861, _T_3859) @[Mux.scala 27:72] wire _T_3863 : UInt<1> @[Mux.scala 27:72] _T_3863 <= _T_3862 @[Mux.scala 27:72] - node _T_3864 = and(_T_3847, _T_3863) @[el2_lsu_bus_buffer.scala 484:101] - node _T_3865 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 484:138] - node _T_3867 = and(_T_3866, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_3868 = or(_T_3842, _T_3867) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3869 = mux(_T_3868, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_3870 = mux(_T_3840, UInt<3>("h04"), _T_3869) @[el2_lsu_bus_buffer.scala 483:14] - node _T_3871 = mux(_T_3833, UInt<3>("h00"), _T_3870) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_3873 = and(bus_rsp_write, _T_3872) @[el2_lsu_bus_buffer.scala 485:52] - node _T_3874 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_3875 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 487:23] - node _T_3876 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3877 = and(_T_3875, _T_3876) @[el2_lsu_bus_buffer.scala 487:27] - node _T_3878 = or(_T_3874, _T_3877) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3879 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_3880 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 488:54] - node _T_3881 = not(_T_3880) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 488:42] - node _T_3883 = and(_T_3882, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_3884 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_3885 = and(_T_3883, _T_3884) @[el2_lsu_bus_buffer.scala 488:74] - node _T_3886 = or(_T_3878, _T_3885) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3887 = and(bus_rsp_read, _T_3886) @[el2_lsu_bus_buffer.scala 486:25] - node _T_3888 = or(_T_3873, _T_3887) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[2] <= _T_3888 @[el2_lsu_bus_buffer.scala 485:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 489:29] - node _T_3889 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[2] <= _T_3890 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3891 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3892 = and(_T_3891, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[2] <= _T_3892 @[el2_lsu_bus_buffer.scala 491:24] - node _T_3893 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_3894 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 492:91] - node _T_3896 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 493:42] - node _T_3897 = and(bus_rsp_read_error, _T_3896) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3898 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_3899 = and(_T_3897, _T_3898) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3900 = or(_T_3895, _T_3899) @[el2_lsu_bus_buffer.scala 492:143] - node _T_3901 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_3902 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3904 = or(_T_3900, _T_3903) @[el2_lsu_bus_buffer.scala 493:88] - node _T_3905 = and(_T_3893, _T_3904) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[2] <= _T_3905 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3906 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_3907 = and(buf_state_en[2], _T_3906) @[el2_lsu_bus_buffer.scala 495:48] - node _T_3908 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_3909 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_3911 = mux(_T_3908, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 495:72] - node _T_3912 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_3913 = mux(_T_3907, _T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[2] <= _T_3913 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3864 = and(_T_3847, _T_3863) @[el2_lsu_bus_buffer.scala 485:101] + node _T_3865 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] + node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 485:138] + node _T_3867 = and(_T_3866, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] + node _T_3868 = or(_T_3842, _T_3867) @[el2_lsu_bus_buffer.scala 485:53] + node _T_3869 = mux(_T_3868, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] + node _T_3870 = mux(_T_3840, UInt<3>("h04"), _T_3869) @[el2_lsu_bus_buffer.scala 484:14] + node _T_3871 = mux(_T_3833, UInt<3>("h00"), _T_3870) @[el2_lsu_bus_buffer.scala 483:31] + buf_nxtstate[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 483:25] + node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:73] + node _T_3873 = and(bus_rsp_write, _T_3872) @[el2_lsu_bus_buffer.scala 486:52] + node _T_3874 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 487:46] + node _T_3875 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 488:23] + node _T_3876 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 488:47] + node _T_3877 = and(_T_3875, _T_3876) @[el2_lsu_bus_buffer.scala 488:27] + node _T_3878 = or(_T_3874, _T_3877) @[el2_lsu_bus_buffer.scala 487:77] + node _T_3879 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 489:26] + node _T_3880 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 489:54] + node _T_3881 = not(_T_3880) @[el2_lsu_bus_buffer.scala 489:44] + node _T_3882 = and(_T_3879, _T_3881) @[el2_lsu_bus_buffer.scala 489:42] + node _T_3883 = and(_T_3882, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 489:58] + node _T_3884 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 489:94] + node _T_3885 = and(_T_3883, _T_3884) @[el2_lsu_bus_buffer.scala 489:74] + node _T_3886 = or(_T_3878, _T_3885) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3887 = and(bus_rsp_read, _T_3886) @[el2_lsu_bus_buffer.scala 487:25] + node _T_3888 = or(_T_3873, _T_3887) @[el2_lsu_bus_buffer.scala 486:105] + buf_resp_state_bus_en[2] <= _T_3888 @[el2_lsu_bus_buffer.scala 486:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 490:29] + node _T_3889 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[2] <= _T_3890 @[el2_lsu_bus_buffer.scala 491:25] + node _T_3891 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3892 = and(_T_3891, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] + buf_data_en[2] <= _T_3892 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3893 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3894 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 493:111] + node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 493:91] + node _T_3896 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:42] + node _T_3897 = and(bus_rsp_read_error, _T_3896) @[el2_lsu_bus_buffer.scala 494:31] + node _T_3898 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 494:66] + node _T_3899 = and(_T_3897, _T_3898) @[el2_lsu_bus_buffer.scala 494:46] + node _T_3900 = or(_T_3895, _T_3899) @[el2_lsu_bus_buffer.scala 493:143] + node _T_3901 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] + node _T_3902 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 495:74] + node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 495:53] + node _T_3904 = or(_T_3900, _T_3903) @[el2_lsu_bus_buffer.scala 494:88] + node _T_3905 = and(_T_3893, _T_3904) @[el2_lsu_bus_buffer.scala 493:68] + buf_error_en[2] <= _T_3905 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3906 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] + node _T_3907 = and(buf_state_en[2], _T_3906) @[el2_lsu_bus_buffer.scala 496:48] + node _T_3908 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] + node _T_3909 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] + node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] + node _T_3911 = mux(_T_3908, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 496:72] + node _T_3912 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] + node _T_3913 = mux(_T_3907, _T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[2] <= _T_3913 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3914 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_3914 : @[Conditional.scala 39:67] - node _T_3915 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_3916 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 498:86] - node _T_3917 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3918 = bits(_T_3917, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3919 = or(_T_3916, _T_3918) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3920 = or(_T_3919, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_3921 = mux(_T_3920, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_3922 = mux(_T_3915, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[2] <= _T_3922 @[el2_lsu_bus_buffer.scala 498:25] - node _T_3923 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3924 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3925 = bits(_T_3924, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3926 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_3927 = and(_T_3925, _T_3926) @[el2_lsu_bus_buffer.scala 500:38] - node _T_3928 = or(_T_3923, _T_3927) @[el2_lsu_bus_buffer.scala 499:95] - node _T_3929 = and(bus_rsp_read, _T_3928) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[2] <= _T_3929 @[el2_lsu_bus_buffer.scala 499:29] - node _T_3930 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_3931 = or(_T_3930, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3915 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_3916 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 499:86] + node _T_3917 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3918 = bits(_T_3917, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3919 = or(_T_3916, _T_3918) @[el2_lsu_bus_buffer.scala 499:90] + node _T_3920 = or(_T_3919, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] + node _T_3921 = mux(_T_3920, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] + node _T_3922 = mux(_T_3915, UInt<3>("h00"), _T_3921) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[2] <= _T_3922 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3923 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_3924 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3925 = bits(_T_3924, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] + node _T_3926 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 501:58] + node _T_3927 = and(_T_3925, _T_3926) @[el2_lsu_bus_buffer.scala 501:38] + node _T_3928 = or(_T_3923, _T_3927) @[el2_lsu_bus_buffer.scala 500:95] + node _T_3929 = and(bus_rsp_read, _T_3928) @[el2_lsu_bus_buffer.scala 500:45] + buf_state_bus_en[2] <= _T_3929 @[el2_lsu_bus_buffer.scala 500:29] + node _T_3930 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] + node _T_3931 = or(_T_3930, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] + buf_state_en[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3932 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_3932 : @[Conditional.scala 39:67] - node _T_3933 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3934 = mux(_T_3933, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[2] <= _T_3934 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3935 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_3936 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_3937 = and(buf_dual[2], _T_3936) @[el2_lsu_bus_buffer.scala 505:80] - node _T_3938 = or(_T_3935, _T_3937) @[el2_lsu_bus_buffer.scala 505:65] - node _T_3939 = or(_T_3938, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[2] <= _T_3939 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3933 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3934 = mux(_T_3933, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[2] <= _T_3934 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3935 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 506:37] + node _T_3936 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] + node _T_3937 = and(buf_dual[2], _T_3936) @[el2_lsu_bus_buffer.scala 506:80] + node _T_3938 = or(_T_3935, _T_3937) @[el2_lsu_bus_buffer.scala 506:65] + node _T_3939 = or(_T_3938, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] + buf_state_en[2] <= _T_3939 @[el2_lsu_bus_buffer.scala 506:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3940 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_3940 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 512:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 513:25] skip @[Conditional.scala 39:67] - node _T_3941 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + node _T_3941 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] reg _T_3942 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3941 : @[Reg.scala 28:19] _T_3942 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_3943 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_3943 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_3944 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3945 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + buf_state[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 516:18] + reg _T_3943 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] + _T_3943 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 517:60] + buf_ageQ[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 517:17] + reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] + _T_3944 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 518:63] + buf_rspageQ[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3945 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] reg _T_3946 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3945 : @[Reg.scala 28:19] _T_3946 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_3946 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3947 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 519:74] - node _T_3948 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + buf_dualtag[2] <= _T_3946 @[el2_lsu_bus_buffer.scala 519:20] + node _T_3947 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:74] + node _T_3948 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] reg _T_3949 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3948 : @[Reg.scala 28:19] _T_3949 <= _T_3947 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 519:17] - node _T_3950 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:78] - node _T_3951 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + buf_dual[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 520:17] + node _T_3950 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:78] + node _T_3951 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] reg _T_3952 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3951 : @[Reg.scala 28:19] _T_3952 <= _T_3950 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 520:19] - node _T_3953 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:80] - node _T_3954 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + buf_samedw[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 521:19] + node _T_3953 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 522:80] + node _T_3954 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] reg _T_3955 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3954 : @[Reg.scala 28:19] _T_3955 <= _T_3953 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3956 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 522:78] - node _T_3957 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + buf_nomerge[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 522:20] + node _T_3956 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 523:78] + node _T_3957 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] reg _T_3958 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3957 : @[Reg.scala 28:19] _T_3958 <= _T_3956 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 522:19] + buf_dualhi[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 523:19] node _T_3959 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_3959 : @[Conditional.scala 40:58] - node _T_3960 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3961 = mux(_T_3960, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[3] <= _T_3961 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3962 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3963 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3964 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3966 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3967 = and(_T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3968 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3969 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3970 = and(_T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3971 = or(_T_3967, _T_3970) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3972 = and(_T_3962, _T_3971) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3973 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3974 = and(ibuf_drain_vld, _T_3973) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3975 = or(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[3] <= _T_3975 @[el2_lsu_bus_buffer.scala 460:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 461:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3976 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3977 = and(ibuf_drain_vld, _T_3976) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3978 = bits(_T_3977, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3979 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3980 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3981 = mux(_T_3978, _T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[3] <= _T_3981 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3960 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 460:56] + node _T_3961 = mux(_T_3960, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:31] + buf_nxtstate[3] <= _T_3961 @[el2_lsu_bus_buffer.scala 460:25] + node _T_3962 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 461:45] + node _T_3963 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3964 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:97] + node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 461:95] + node _T_3966 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 461:117] + node _T_3967 = and(_T_3965, _T_3966) @[el2_lsu_bus_buffer.scala 461:112] + node _T_3968 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:144] + node _T_3969 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 461:166] + node _T_3970 = and(_T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 461:161] + node _T_3971 = or(_T_3967, _T_3970) @[el2_lsu_bus_buffer.scala 461:132] + node _T_3972 = and(_T_3962, _T_3971) @[el2_lsu_bus_buffer.scala 461:63] + node _T_3973 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 461:206] + node _T_3974 = and(ibuf_drain_vld, _T_3973) @[el2_lsu_bus_buffer.scala 461:201] + node _T_3975 = or(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 461:183] + buf_state_en[3] <= _T_3975 @[el2_lsu_bus_buffer.scala 461:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 462:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 463:24] + node _T_3976 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 464:52] + node _T_3977 = and(ibuf_drain_vld, _T_3976) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3978 = bits(_T_3977, 0, 0) @[el2_lsu_bus_buffer.scala 464:73] + node _T_3979 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 464:90] + node _T_3980 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 464:114] + node _T_3981 = mux(_T_3978, _T_3979, _T_3980) @[el2_lsu_bus_buffer.scala 464:30] + buf_data_in[3] <= _T_3981 @[el2_lsu_bus_buffer.scala 464:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3982 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_3982 : @[Conditional.scala 39:67] - node _T_3983 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3984 = mux(_T_3983, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[3] <= _T_3984 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3985 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[3] <= _T_3985 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3983 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 467:60] + node _T_3984 = mux(_T_3983, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 467:31] + buf_nxtstate[3] <= _T_3984 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3985 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 468:46] + buf_state_en[3] <= _T_3985 @[el2_lsu_bus_buffer.scala 468:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3986 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_3986 : @[Conditional.scala 39:67] - node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3988 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3989 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3990 = and(_T_3988, _T_3989) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3991 = mux(_T_3990, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3992 = mux(_T_3987, UInt<3>("h00"), _T_3991) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[3] <= _T_3992 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3993 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3994 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3995 = and(obuf_merge, _T_3994) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3996 = or(_T_3993, _T_3995) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3997 = and(_T_3996, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3998 = and(_T_3997, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[3] <= _T_3998 @[el2_lsu_bus_buffer.scala 471:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3999 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_4000 = or(_T_3999, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[3] <= _T_4000 @[el2_lsu_bus_buffer.scala 473:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_4001 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 475:56] - node _T_4002 = eq(_T_4001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_4003 = and(buf_state_en[3], _T_4002) @[el2_lsu_bus_buffer.scala 475:44] - node _T_4004 = and(_T_4003, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_4005 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_4006 = and(_T_4004, _T_4005) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[3] <= _T_4006 @[el2_lsu_bus_buffer.scala 475:25] - node _T_4007 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[3] <= _T_4007 @[el2_lsu_bus_buffer.scala 476:28] - node _T_4008 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_4009 = and(_T_4008, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_4010 = and(_T_4009, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[3] <= _T_4010 @[el2_lsu_bus_buffer.scala 477:24] - node _T_4011 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_4012 = and(_T_4011, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_4013 = and(_T_4012, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[3] <= _T_4013 @[el2_lsu_bus_buffer.scala 478:25] - node _T_4014 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_4015 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_4016 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_4017 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_4018 = mux(_T_4015, _T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 479:73] - node _T_4019 = mux(buf_error_en[3], _T_4014, _T_4018) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[3] <= _T_4019 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3987 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 471:60] + node _T_3988 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 471:89] + node _T_3989 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 471:124] + node _T_3990 = and(_T_3988, _T_3989) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3991 = mux(_T_3990, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:75] + node _T_3992 = mux(_T_3987, UInt<3>("h00"), _T_3991) @[el2_lsu_bus_buffer.scala 471:31] + buf_nxtstate[3] <= _T_3992 @[el2_lsu_bus_buffer.scala 471:25] + node _T_3993 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3994 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 472:104] + node _T_3995 = and(obuf_merge, _T_3994) @[el2_lsu_bus_buffer.scala 472:91] + node _T_3996 = or(_T_3993, _T_3995) @[el2_lsu_bus_buffer.scala 472:77] + node _T_3997 = and(_T_3996, obuf_valid) @[el2_lsu_bus_buffer.scala 472:135] + node _T_3998 = and(_T_3997, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 472:148] + buf_cmd_state_bus_en[3] <= _T_3998 @[el2_lsu_bus_buffer.scala 472:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 473:29] + node _T_3999 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 474:49] + node _T_4000 = or(_T_3999, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:70] + buf_state_en[3] <= _T_4000 @[el2_lsu_bus_buffer.scala 474:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 475:25] + node _T_4001 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 476:56] + node _T_4002 = eq(_T_4001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:46] + node _T_4003 = and(buf_state_en[3], _T_4002) @[el2_lsu_bus_buffer.scala 476:44] + node _T_4004 = and(_T_4003, obuf_nosend) @[el2_lsu_bus_buffer.scala 476:60] + node _T_4005 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:76] + node _T_4006 = and(_T_4004, _T_4005) @[el2_lsu_bus_buffer.scala 476:74] + buf_ldfwd_en[3] <= _T_4006 @[el2_lsu_bus_buffer.scala 476:25] + node _T_4007 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 477:46] + buf_ldfwdtag_in[3] <= _T_4007 @[el2_lsu_bus_buffer.scala 477:28] + node _T_4008 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:47] + node _T_4009 = and(_T_4008, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:67] + node _T_4010 = and(_T_4009, bus_rsp_read) @[el2_lsu_bus_buffer.scala 478:81] + buf_data_en[3] <= _T_4010 @[el2_lsu_bus_buffer.scala 478:24] + node _T_4011 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 479:48] + node _T_4012 = and(_T_4011, obuf_nosend) @[el2_lsu_bus_buffer.scala 479:68] + node _T_4013 = and(_T_4012, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 479:82] + buf_error_en[3] <= _T_4013 @[el2_lsu_bus_buffer.scala 479:25] + node _T_4014 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:61] + node _T_4015 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:85] + node _T_4016 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 480:103] + node _T_4017 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 480:126] + node _T_4018 = mux(_T_4015, _T_4016, _T_4017) @[el2_lsu_bus_buffer.scala 480:73] + node _T_4019 = mux(buf_error_en[3], _T_4014, _T_4018) @[el2_lsu_bus_buffer.scala 480:30] + buf_data_in[3] <= _T_4019 @[el2_lsu_bus_buffer.scala 480:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4020 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4020 : @[Conditional.scala 39:67] - node _T_4021 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] - node _T_4022 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_4023 = eq(_T_4022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_4024 = and(_T_4021, _T_4023) @[el2_lsu_bus_buffer.scala 482:71] - node _T_4025 = or(io.dec_tlu_force_halt, _T_4024) @[el2_lsu_bus_buffer.scala 482:55] - node _T_4026 = bits(_T_4025, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_4027 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_4028 = and(buf_dual[3], _T_4027) @[el2_lsu_bus_buffer.scala 483:28] - node _T_4029 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:57] - node _T_4030 = eq(_T_4029, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_4031 = and(_T_4028, _T_4030) @[el2_lsu_bus_buffer.scala 483:45] - node _T_4032 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_4033 = and(_T_4031, _T_4032) @[el2_lsu_bus_buffer.scala 483:61] - node _T_4034 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 484:27] - node _T_4035 = or(_T_4034, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_4036 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_4037 = and(buf_dual[3], _T_4036) @[el2_lsu_bus_buffer.scala 484:68] - node _T_4038 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:97] - node _T_4039 = eq(_T_4038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_4040 = and(_T_4037, _T_4039) @[el2_lsu_bus_buffer.scala 484:85] + node _T_4021 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] + node _T_4022 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 483:94] + node _T_4023 = eq(_T_4022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:73] + node _T_4024 = and(_T_4021, _T_4023) @[el2_lsu_bus_buffer.scala 483:71] + node _T_4025 = or(io.dec_tlu_force_halt, _T_4024) @[el2_lsu_bus_buffer.scala 483:55] + node _T_4026 = bits(_T_4025, 0, 0) @[el2_lsu_bus_buffer.scala 483:125] + node _T_4027 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:30] + node _T_4028 = and(buf_dual[3], _T_4027) @[el2_lsu_bus_buffer.scala 484:28] + node _T_4029 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:57] + node _T_4030 = eq(_T_4029, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:47] + node _T_4031 = and(_T_4028, _T_4030) @[el2_lsu_bus_buffer.scala 484:45] + node _T_4032 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:90] + node _T_4033 = and(_T_4031, _T_4032) @[el2_lsu_bus_buffer.scala 484:61] + node _T_4034 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 485:27] + node _T_4035 = or(_T_4034, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:31] + node _T_4036 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:70] + node _T_4037 = and(buf_dual[3], _T_4036) @[el2_lsu_bus_buffer.scala 485:68] + node _T_4038 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 485:97] + node _T_4039 = eq(_T_4038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:87] + node _T_4040 = and(_T_4037, _T_4039) @[el2_lsu_bus_buffer.scala 485:85] node _T_4041 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] node _T_4042 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] node _T_4043 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] @@ -5250,172 +5250,172 @@ circuit el2_lsu_bus_buffer : node _T_4055 = or(_T_4054, _T_4052) @[Mux.scala 27:72] wire _T_4056 : UInt<1> @[Mux.scala 27:72] _T_4056 <= _T_4055 @[Mux.scala 27:72] - node _T_4057 = and(_T_4040, _T_4056) @[el2_lsu_bus_buffer.scala 484:101] - node _T_4058 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 484:138] - node _T_4060 = and(_T_4059, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_4061 = or(_T_4035, _T_4060) @[el2_lsu_bus_buffer.scala 484:53] - node _T_4062 = mux(_T_4061, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_4063 = mux(_T_4033, UInt<3>("h04"), _T_4062) @[el2_lsu_bus_buffer.scala 483:14] - node _T_4064 = mux(_T_4026, UInt<3>("h00"), _T_4063) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 482:25] - node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_4066 = and(bus_rsp_write, _T_4065) @[el2_lsu_bus_buffer.scala 485:52] - node _T_4067 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_4068 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 487:23] - node _T_4069 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_4070 = and(_T_4068, _T_4069) @[el2_lsu_bus_buffer.scala 487:27] - node _T_4071 = or(_T_4067, _T_4070) @[el2_lsu_bus_buffer.scala 486:77] - node _T_4072 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_4073 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 488:54] - node _T_4074 = not(_T_4073) @[el2_lsu_bus_buffer.scala 488:44] - node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 488:42] - node _T_4076 = and(_T_4075, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_4077 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_4078 = and(_T_4076, _T_4077) @[el2_lsu_bus_buffer.scala 488:74] - node _T_4079 = or(_T_4071, _T_4078) @[el2_lsu_bus_buffer.scala 487:71] - node _T_4080 = and(bus_rsp_read, _T_4079) @[el2_lsu_bus_buffer.scala 486:25] - node _T_4081 = or(_T_4066, _T_4080) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[3] <= _T_4081 @[el2_lsu_bus_buffer.scala 485:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 489:29] - node _T_4082 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[3] <= _T_4083 @[el2_lsu_bus_buffer.scala 490:25] - node _T_4084 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_4085 = and(_T_4084, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[3] <= _T_4085 @[el2_lsu_bus_buffer.scala 491:24] - node _T_4086 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_4087 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 492:91] - node _T_4089 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 493:42] - node _T_4090 = and(bus_rsp_read_error, _T_4089) @[el2_lsu_bus_buffer.scala 493:31] - node _T_4091 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_4092 = and(_T_4090, _T_4091) @[el2_lsu_bus_buffer.scala 493:46] - node _T_4093 = or(_T_4088, _T_4092) @[el2_lsu_bus_buffer.scala 492:143] - node _T_4094 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_4095 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 494:53] - node _T_4097 = or(_T_4093, _T_4096) @[el2_lsu_bus_buffer.scala 493:88] - node _T_4098 = and(_T_4086, _T_4097) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[3] <= _T_4098 @[el2_lsu_bus_buffer.scala 492:25] - node _T_4099 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_4100 = and(buf_state_en[3], _T_4099) @[el2_lsu_bus_buffer.scala 495:48] - node _T_4101 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_4102 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_4104 = mux(_T_4101, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 495:72] - node _T_4105 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_4106 = mux(_T_4100, _T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[3] <= _T_4106 @[el2_lsu_bus_buffer.scala 495:24] + node _T_4057 = and(_T_4040, _T_4056) @[el2_lsu_bus_buffer.scala 485:101] + node _T_4058 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 485:167] + node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 485:138] + node _T_4060 = and(_T_4059, any_done_wait_state) @[el2_lsu_bus_buffer.scala 485:187] + node _T_4061 = or(_T_4035, _T_4060) @[el2_lsu_bus_buffer.scala 485:53] + node _T_4062 = mux(_T_4061, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 485:16] + node _T_4063 = mux(_T_4033, UInt<3>("h04"), _T_4062) @[el2_lsu_bus_buffer.scala 484:14] + node _T_4064 = mux(_T_4026, UInt<3>("h00"), _T_4063) @[el2_lsu_bus_buffer.scala 483:31] + buf_nxtstate[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 483:25] + node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:73] + node _T_4066 = and(bus_rsp_write, _T_4065) @[el2_lsu_bus_buffer.scala 486:52] + node _T_4067 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 487:46] + node _T_4068 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 488:23] + node _T_4069 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 488:47] + node _T_4070 = and(_T_4068, _T_4069) @[el2_lsu_bus_buffer.scala 488:27] + node _T_4071 = or(_T_4067, _T_4070) @[el2_lsu_bus_buffer.scala 487:77] + node _T_4072 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 489:26] + node _T_4073 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 489:54] + node _T_4074 = not(_T_4073) @[el2_lsu_bus_buffer.scala 489:44] + node _T_4075 = and(_T_4072, _T_4074) @[el2_lsu_bus_buffer.scala 489:42] + node _T_4076 = and(_T_4075, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 489:58] + node _T_4077 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 489:94] + node _T_4078 = and(_T_4076, _T_4077) @[el2_lsu_bus_buffer.scala 489:74] + node _T_4079 = or(_T_4071, _T_4078) @[el2_lsu_bus_buffer.scala 488:71] + node _T_4080 = and(bus_rsp_read, _T_4079) @[el2_lsu_bus_buffer.scala 487:25] + node _T_4081 = or(_T_4066, _T_4080) @[el2_lsu_bus_buffer.scala 486:105] + buf_resp_state_bus_en[3] <= _T_4081 @[el2_lsu_bus_buffer.scala 486:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 490:29] + node _T_4082 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[3] <= _T_4083 @[el2_lsu_bus_buffer.scala 491:25] + node _T_4084 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:47] + node _T_4085 = and(_T_4084, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:62] + buf_data_en[3] <= _T_4085 @[el2_lsu_bus_buffer.scala 492:24] + node _T_4086 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_4087 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 493:111] + node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 493:91] + node _T_4089 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:42] + node _T_4090 = and(bus_rsp_read_error, _T_4089) @[el2_lsu_bus_buffer.scala 494:31] + node _T_4091 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 494:66] + node _T_4092 = and(_T_4090, _T_4091) @[el2_lsu_bus_buffer.scala 494:46] + node _T_4093 = or(_T_4088, _T_4092) @[el2_lsu_bus_buffer.scala 493:143] + node _T_4094 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:32] + node _T_4095 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 495:74] + node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 495:53] + node _T_4097 = or(_T_4093, _T_4096) @[el2_lsu_bus_buffer.scala 494:88] + node _T_4098 = and(_T_4086, _T_4097) @[el2_lsu_bus_buffer.scala 493:68] + buf_error_en[3] <= _T_4098 @[el2_lsu_bus_buffer.scala 493:25] + node _T_4099 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:50] + node _T_4100 = and(buf_state_en[3], _T_4099) @[el2_lsu_bus_buffer.scala 496:48] + node _T_4101 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 496:84] + node _T_4102 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 496:102] + node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:125] + node _T_4104 = mux(_T_4101, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 496:72] + node _T_4105 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 496:148] + node _T_4106 = mux(_T_4100, _T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 496:30] + buf_data_in[3] <= _T_4106 @[el2_lsu_bus_buffer.scala 496:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4107 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4107 : @[Conditional.scala 39:67] - node _T_4108 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_4109 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 498:86] - node _T_4110 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_4111 = bits(_T_4110, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_4112 = or(_T_4109, _T_4111) @[el2_lsu_bus_buffer.scala 498:90] - node _T_4113 = or(_T_4112, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_4114 = mux(_T_4113, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_4115 = mux(_T_4108, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[3] <= _T_4115 @[el2_lsu_bus_buffer.scala 498:25] - node _T_4116 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_4117 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_4118 = bits(_T_4117, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_4119 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_4120 = and(_T_4118, _T_4119) @[el2_lsu_bus_buffer.scala 500:38] - node _T_4121 = or(_T_4116, _T_4120) @[el2_lsu_bus_buffer.scala 499:95] - node _T_4122 = and(bus_rsp_read, _T_4121) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[3] <= _T_4122 @[el2_lsu_bus_buffer.scala 499:29] - node _T_4123 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_4124 = or(_T_4123, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 501:25] + node _T_4108 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] + node _T_4109 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 499:86] + node _T_4110 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:101] + node _T_4111 = bits(_T_4110, 0, 0) @[el2_lsu_bus_buffer.scala 499:101] + node _T_4112 = or(_T_4109, _T_4111) @[el2_lsu_bus_buffer.scala 499:90] + node _T_4113 = or(_T_4112, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:118] + node _T_4114 = mux(_T_4113, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:75] + node _T_4115 = mux(_T_4108, UInt<3>("h00"), _T_4114) @[el2_lsu_bus_buffer.scala 499:31] + buf_nxtstate[3] <= _T_4115 @[el2_lsu_bus_buffer.scala 499:25] + node _T_4116 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 500:66] + node _T_4117 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 501:21] + node _T_4118 = bits(_T_4117, 0, 0) @[el2_lsu_bus_buffer.scala 501:21] + node _T_4119 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 501:58] + node _T_4120 = and(_T_4118, _T_4119) @[el2_lsu_bus_buffer.scala 501:38] + node _T_4121 = or(_T_4116, _T_4120) @[el2_lsu_bus_buffer.scala 500:95] + node _T_4122 = and(bus_rsp_read, _T_4121) @[el2_lsu_bus_buffer.scala 500:45] + buf_state_bus_en[3] <= _T_4122 @[el2_lsu_bus_buffer.scala 500:29] + node _T_4123 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:49] + node _T_4124 = or(_T_4123, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:70] + buf_state_en[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4125 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4125 : @[Conditional.scala 39:67] - node _T_4126 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_4127 = mux(_T_4126, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[3] <= _T_4127 @[el2_lsu_bus_buffer.scala 504:25] - node _T_4128 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_4129 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_4130 = and(buf_dual[3], _T_4129) @[el2_lsu_bus_buffer.scala 505:80] - node _T_4131 = or(_T_4128, _T_4130) @[el2_lsu_bus_buffer.scala 505:65] - node _T_4132 = or(_T_4131, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[3] <= _T_4132 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4126 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_4127 = mux(_T_4126, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[3] <= _T_4127 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4128 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 506:37] + node _T_4129 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 506:98] + node _T_4130 = and(buf_dual[3], _T_4129) @[el2_lsu_bus_buffer.scala 506:80] + node _T_4131 = or(_T_4128, _T_4130) @[el2_lsu_bus_buffer.scala 506:65] + node _T_4132 = or(_T_4131, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:112] + buf_state_en[3] <= _T_4132 @[el2_lsu_bus_buffer.scala 506:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4133 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4133 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 512:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 509:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 512:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 513:25] skip @[Conditional.scala 39:67] - node _T_4134 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + node _T_4134 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 516:108] reg _T_4135 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4134 : @[Reg.scala 28:19] _T_4135 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_4136 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_4136 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_4137 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 517:20] - node _T_4138 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + buf_state[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 516:18] + reg _T_4136 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:60] + _T_4136 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 517:60] + buf_ageQ[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 517:17] + reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 518:63] + _T_4137 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 518:63] + buf_rspageQ[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 518:20] + node _T_4138 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:109] reg _T_4139 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4138 : @[Reg.scala 28:19] _T_4139 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4139 @[el2_lsu_bus_buffer.scala 518:20] - node _T_4140 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 519:74] - node _T_4141 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + buf_dualtag[3] <= _T_4139 @[el2_lsu_bus_buffer.scala 519:20] + node _T_4140 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:74] + node _T_4141 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:107] reg _T_4142 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4141 : @[Reg.scala 28:19] _T_4142 <= _T_4140 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 519:17] - node _T_4143 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:78] - node _T_4144 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + buf_dual[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 520:17] + node _T_4143 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:78] + node _T_4144 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:111] reg _T_4145 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4144 : @[Reg.scala 28:19] _T_4145 <= _T_4143 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 520:19] - node _T_4146 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:80] - node _T_4147 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + buf_samedw[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 521:19] + node _T_4146 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 522:80] + node _T_4147 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:113] reg _T_4148 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4147 : @[Reg.scala 28:19] _T_4148 <= _T_4146 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 521:20] - node _T_4149 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 522:78] - node _T_4150 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + buf_nomerge[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 522:20] + node _T_4149 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 523:78] + node _T_4150 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 523:111] reg _T_4151 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4150 : @[Reg.scala 28:19] _T_4151 <= _T_4149 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 522:19] - node _T_4152 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + buf_dualhi[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 523:19] + node _T_4152 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] reg _T_4153 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4152 : @[Reg.scala 28:19] _T_4153 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4154 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + node _T_4154 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] reg _T_4155 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4154 : @[Reg.scala 28:19] _T_4155 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4156 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + node _T_4156 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] reg _T_4157 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4156 : @[Reg.scala 28:19] _T_4157 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4158 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + node _T_4158 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:133] reg _T_4159 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4158 : @[Reg.scala 28:19] _T_4159 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5423,51 +5423,51 @@ circuit el2_lsu_bus_buffer : node _T_4160 = cat(_T_4159, _T_4157) @[Cat.scala 29:58] node _T_4161 = cat(_T_4160, _T_4155) @[Cat.scala 29:58] node _T_4162 = cat(_T_4161, _T_4153) @[Cat.scala 29:58] - buf_ldfwd <= _T_4162 @[el2_lsu_bus_buffer.scala 525:15] - node _T_4163 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + buf_ldfwd <= _T_4162 @[el2_lsu_bus_buffer.scala 526:15] + node _T_4163 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] reg _T_4164 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4163 : @[Reg.scala 28:19] _T_4164 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4165 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + node _T_4165 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] reg _T_4166 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4165 : @[Reg.scala 28:19] _T_4166 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4167 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + node _T_4167 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] reg _T_4168 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4167 : @[Reg.scala 28:19] _T_4168 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4169 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + node _T_4169 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:134] reg _T_4170 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4169 : @[Reg.scala 28:19] _T_4170 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4164 @[el2_lsu_bus_buffer.scala 526:18] - buf_ldfwdtag[1] <= _T_4166 @[el2_lsu_bus_buffer.scala 526:18] - buf_ldfwdtag[2] <= _T_4168 @[el2_lsu_bus_buffer.scala 526:18] - buf_ldfwdtag[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 526:18] - node _T_4171 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4172 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + buf_ldfwdtag[0] <= _T_4164 @[el2_lsu_bus_buffer.scala 527:18] + buf_ldfwdtag[1] <= _T_4166 @[el2_lsu_bus_buffer.scala 527:18] + buf_ldfwdtag[2] <= _T_4168 @[el2_lsu_bus_buffer.scala 527:18] + buf_ldfwdtag[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 527:18] + node _T_4171 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:107] + node _T_4172 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] reg _T_4173 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4172 : @[Reg.scala 28:19] _T_4173 <= _T_4171 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4174 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4175 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + node _T_4174 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:107] + node _T_4175 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] reg _T_4176 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4175 : @[Reg.scala 28:19] _T_4176 <= _T_4174 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4177 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4178 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + node _T_4177 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:107] + node _T_4178 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] reg _T_4179 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4178 : @[Reg.scala 28:19] _T_4179 <= _T_4177 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4180 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4181 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + node _T_4180 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:107] + node _T_4181 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:140] reg _T_4182 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4181 : @[Reg.scala 28:19] _T_4182 <= _T_4180 @[Reg.scala 28:23] @@ -5475,27 +5475,27 @@ circuit el2_lsu_bus_buffer : node _T_4183 = cat(_T_4182, _T_4179) @[Cat.scala 29:58] node _T_4184 = cat(_T_4183, _T_4176) @[Cat.scala 29:58] node _T_4185 = cat(_T_4184, _T_4173) @[Cat.scala 29:58] - buf_sideeffect <= _T_4185 @[el2_lsu_bus_buffer.scala 527:20] - node _T_4186 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4187 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + buf_sideeffect <= _T_4185 @[el2_lsu_bus_buffer.scala 528:20] + node _T_4186 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:99] + node _T_4187 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] reg _T_4188 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4187 : @[Reg.scala 28:19] _T_4188 <= _T_4186 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4189 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4190 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + node _T_4189 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:99] + node _T_4190 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] reg _T_4191 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4190 : @[Reg.scala 28:19] _T_4191 <= _T_4189 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4192 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4193 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + node _T_4192 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:99] + node _T_4193 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] reg _T_4194 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4193 : @[Reg.scala 28:19] _T_4194 <= _T_4192 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4195 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4196 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + node _T_4195 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:99] + node _T_4196 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:132] reg _T_4197 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4196 : @[Reg.scala 28:19] _T_4197 <= _T_4195 @[Reg.scala 28:23] @@ -5503,27 +5503,27 @@ circuit el2_lsu_bus_buffer : node _T_4198 = cat(_T_4197, _T_4194) @[Cat.scala 29:58] node _T_4199 = cat(_T_4198, _T_4191) @[Cat.scala 29:58] node _T_4200 = cat(_T_4199, _T_4188) @[Cat.scala 29:58] - buf_unsign <= _T_4200 @[el2_lsu_bus_buffer.scala 528:16] - node _T_4201 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4202 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] + buf_unsign <= _T_4200 @[el2_lsu_bus_buffer.scala 529:16] + node _T_4201 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 530:97] + node _T_4202 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] reg _T_4203 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4202 : @[Reg.scala 28:19] _T_4203 <= _T_4201 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4204 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4205 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] + node _T_4204 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 530:97] + node _T_4205 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] reg _T_4206 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4205 : @[Reg.scala 28:19] _T_4206 <= _T_4204 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4207 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4208 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] + node _T_4207 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 530:97] + node _T_4208 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] reg _T_4209 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4208 : @[Reg.scala 28:19] _T_4209 <= _T_4207 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4210 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4211 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] + node _T_4210 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 530:97] + node _T_4211 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:130] reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4211 : @[Reg.scala 28:19] _T_4212 <= _T_4210 @[Reg.scala 28:23] @@ -5531,32 +5531,32 @@ circuit el2_lsu_bus_buffer : node _T_4213 = cat(_T_4212, _T_4209) @[Cat.scala 29:58] node _T_4214 = cat(_T_4213, _T_4206) @[Cat.scala 29:58] node _T_4215 = cat(_T_4214, _T_4203) @[Cat.scala 29:58] - buf_write <= _T_4215 @[el2_lsu_bus_buffer.scala 529:15] - node _T_4216 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + buf_write <= _T_4215 @[el2_lsu_bus_buffer.scala 530:15] + node _T_4216 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] reg _T_4217 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4216 : @[Reg.scala 28:19] _T_4217 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4218 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + node _T_4218 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] reg _T_4219 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4218 : @[Reg.scala 28:19] _T_4219 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4220 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + node _T_4220 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] _T_4221 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + node _T_4222 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:119] reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4222 : @[Reg.scala 28:19] _T_4223 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4217 @[el2_lsu_bus_buffer.scala 530:12] - buf_sz[1] <= _T_4219 @[el2_lsu_bus_buffer.scala 530:12] - buf_sz[2] <= _T_4221 @[el2_lsu_bus_buffer.scala 530:12] - buf_sz[3] <= _T_4223 @[el2_lsu_bus_buffer.scala 530:12] - node _T_4224 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + buf_sz[0] <= _T_4217 @[el2_lsu_bus_buffer.scala 531:12] + buf_sz[1] <= _T_4219 @[el2_lsu_bus_buffer.scala 531:12] + buf_sz[2] <= _T_4221 @[el2_lsu_bus_buffer.scala 531:12] + buf_sz[3] <= _T_4223 @[el2_lsu_bus_buffer.scala 531:12] + node _T_4224 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5565,7 +5565,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4225 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4225 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4226 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + node _T_4226 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5574,7 +5574,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4227 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4227 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4228 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + node _T_4228 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5583,7 +5583,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4229 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4229 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4230 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + node _T_4230 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5592,34 +5592,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4231 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4231 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4225 @[el2_lsu_bus_buffer.scala 531:14] - buf_addr[1] <= _T_4227 @[el2_lsu_bus_buffer.scala 531:14] - buf_addr[2] <= _T_4229 @[el2_lsu_bus_buffer.scala 531:14] - buf_addr[3] <= _T_4231 @[el2_lsu_bus_buffer.scala 531:14] - node _T_4232 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + buf_addr[0] <= _T_4225 @[el2_lsu_bus_buffer.scala 532:14] + buf_addr[1] <= _T_4227 @[el2_lsu_bus_buffer.scala 532:14] + buf_addr[2] <= _T_4229 @[el2_lsu_bus_buffer.scala 532:14] + buf_addr[3] <= _T_4231 @[el2_lsu_bus_buffer.scala 532:14] + node _T_4232 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] reg _T_4233 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + node _T_4234 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] reg _T_4235 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4234 : @[Reg.scala 28:19] _T_4235 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4236 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + node _T_4236 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] reg _T_4237 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4236 : @[Reg.scala 28:19] _T_4237 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:127] reg _T_4239 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4238 : @[Reg.scala 28:19] _T_4239 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4233 @[el2_lsu_bus_buffer.scala 532:16] - buf_byteen[1] <= _T_4235 @[el2_lsu_bus_buffer.scala 532:16] - buf_byteen[2] <= _T_4237 @[el2_lsu_bus_buffer.scala 532:16] - buf_byteen[3] <= _T_4239 @[el2_lsu_bus_buffer.scala 532:16] + buf_byteen[0] <= _T_4233 @[el2_lsu_bus_buffer.scala 533:16] + buf_byteen[1] <= _T_4235 @[el2_lsu_bus_buffer.scala 533:16] + buf_byteen[2] <= _T_4237 @[el2_lsu_bus_buffer.scala 533:16] + buf_byteen[3] <= _T_4239 @[el2_lsu_bus_buffer.scala 533:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5652,183 +5652,183 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4243 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4243 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4240 @[el2_lsu_bus_buffer.scala 533:14] - buf_data[1] <= _T_4241 @[el2_lsu_bus_buffer.scala 533:14] - buf_data[2] <= _T_4242 @[el2_lsu_bus_buffer.scala 533:14] - buf_data[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 533:14] - node _T_4244 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4245 = mux(buf_error_en[0], UInt<1>("h01"), _T_4244) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4246 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 534:128] - node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4248 <= _T_4247 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4249 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4250 = mux(buf_error_en[1], UInt<1>("h01"), _T_4249) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4251 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 534:128] - node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4253 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4253 <= _T_4252 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4254 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4255 = mux(buf_error_en[2], UInt<1>("h01"), _T_4254) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4256 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 534:128] - node _T_4257 = and(_T_4255, _T_4256) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4258 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4258 <= _T_4257 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4259 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4260 = mux(buf_error_en[3], UInt<1>("h01"), _T_4259) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4261 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 534:128] - node _T_4262 = and(_T_4260, _T_4261) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4263 <= _T_4262 @[el2_lsu_bus_buffer.scala 534:82] + buf_data[0] <= _T_4240 @[el2_lsu_bus_buffer.scala 534:14] + buf_data[1] <= _T_4241 @[el2_lsu_bus_buffer.scala 534:14] + buf_data[2] <= _T_4242 @[el2_lsu_bus_buffer.scala 534:14] + buf_data[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 534:14] + node _T_4244 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 535:121] + node _T_4245 = mux(buf_error_en[0], UInt<1>("h01"), _T_4244) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4246 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] + node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 535:126] + reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] + _T_4248 <= _T_4247 @[el2_lsu_bus_buffer.scala 535:82] + node _T_4249 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 535:121] + node _T_4250 = mux(buf_error_en[1], UInt<1>("h01"), _T_4249) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4251 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] + node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 535:126] + reg _T_4253 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] + _T_4253 <= _T_4252 @[el2_lsu_bus_buffer.scala 535:82] + node _T_4254 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 535:121] + node _T_4255 = mux(buf_error_en[2], UInt<1>("h01"), _T_4254) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4256 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] + node _T_4257 = and(_T_4255, _T_4256) @[el2_lsu_bus_buffer.scala 535:126] + reg _T_4258 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] + _T_4258 <= _T_4257 @[el2_lsu_bus_buffer.scala 535:82] + node _T_4259 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 535:121] + node _T_4260 = mux(buf_error_en[3], UInt<1>("h01"), _T_4259) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4261 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 535:128] + node _T_4262 = and(_T_4260, _T_4261) @[el2_lsu_bus_buffer.scala 535:126] + reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:82] + _T_4263 <= _T_4262 @[el2_lsu_bus_buffer.scala 535:82] node _T_4264 = cat(_T_4263, _T_4258) @[Cat.scala 29:58] node _T_4265 = cat(_T_4264, _T_4253) @[Cat.scala 29:58] node _T_4266 = cat(_T_4265, _T_4248) @[Cat.scala 29:58] - buf_error <= _T_4266 @[el2_lsu_bus_buffer.scala 534:15] - node _T_4267 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4268 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4269 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4270 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4271 = add(_T_4270, _T_4269) @[el2_lsu_bus_buffer.scala 536:96] - node _T_4272 = add(_T_4271, _T_4268) @[el2_lsu_bus_buffer.scala 536:96] - node buf_numvld_any = add(_T_4272, _T_4267) @[el2_lsu_bus_buffer.scala 536:96] - node _T_4273 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4274 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4275 = eq(_T_4274, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4276 = and(_T_4273, _T_4275) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4277 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4278 = and(_T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4279 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4280 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4281 = eq(_T_4280, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4282 = and(_T_4279, _T_4281) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4283 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4284 = and(_T_4282, _T_4283) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4285 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4286 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4287 = eq(_T_4286, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4288 = and(_T_4285, _T_4287) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4289 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4290 = and(_T_4288, _T_4289) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4291 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4292 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4293 = eq(_T_4292, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4294 = and(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4295 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4296 = and(_T_4294, _T_4295) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4297 = add(_T_4296, _T_4290) @[el2_lsu_bus_buffer.scala 537:142] - node _T_4298 = add(_T_4297, _T_4284) @[el2_lsu_bus_buffer.scala 537:142] - node _T_4299 = add(_T_4298, _T_4278) @[el2_lsu_bus_buffer.scala 537:142] - buf_numvld_wrcmd_any <= _T_4299 @[el2_lsu_bus_buffer.scala 537:24] - node _T_4300 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4301 = eq(_T_4300, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4302 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4303 = and(_T_4301, _T_4302) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4304 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4305 = eq(_T_4304, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4306 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4307 = and(_T_4305, _T_4306) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4308 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4309 = eq(_T_4308, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4310 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4311 = and(_T_4309, _T_4310) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4312 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4313 = eq(_T_4312, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4314 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4315 = and(_T_4313, _T_4314) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4316 = add(_T_4315, _T_4311) @[el2_lsu_bus_buffer.scala 538:126] - node _T_4317 = add(_T_4316, _T_4307) @[el2_lsu_bus_buffer.scala 538:126] - node _T_4318 = add(_T_4317, _T_4303) @[el2_lsu_bus_buffer.scala 538:126] - buf_numvld_cmd_any <= _T_4318 @[el2_lsu_bus_buffer.scala 538:22] - node _T_4319 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4320 = eq(_T_4319, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4321 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4322 = eq(_T_4321, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4323 = or(_T_4320, _T_4322) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4324 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4325 = and(_T_4323, _T_4324) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4326 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4327 = eq(_T_4326, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4328 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4329 = eq(_T_4328, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4330 = or(_T_4327, _T_4329) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4333 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4334 = eq(_T_4333, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4335 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4336 = eq(_T_4335, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4337 = or(_T_4334, _T_4336) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4338 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4340 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4341 = eq(_T_4340, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4342 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4343 = eq(_T_4342, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4344 = or(_T_4341, _T_4343) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4345 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4346 = and(_T_4344, _T_4345) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4347 = add(_T_4346, _T_4339) @[el2_lsu_bus_buffer.scala 539:153] - node _T_4348 = add(_T_4347, _T_4332) @[el2_lsu_bus_buffer.scala 539:153] - node _T_4349 = add(_T_4348, _T_4325) @[el2_lsu_bus_buffer.scala 539:153] - buf_numvld_pend_any <= _T_4349 @[el2_lsu_bus_buffer.scala 539:23] - node _T_4350 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4351 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4352 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4353 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4354 = or(_T_4353, _T_4352) @[el2_lsu_bus_buffer.scala 540:93] - node _T_4355 = or(_T_4354, _T_4351) @[el2_lsu_bus_buffer.scala 540:93] - node _T_4356 = or(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 540:93] - any_done_wait_state <= _T_4356 @[el2_lsu_bus_buffer.scala 540:23] - node _T_4357 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 541:53] - io.lsu_bus_buffer_pend_any <= _T_4357 @[el2_lsu_bus_buffer.scala 541:30] - node _T_4358 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 542:52] - node _T_4359 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:92] - node _T_4360 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:119] - node _T_4361 = mux(_T_4358, _T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 542:36] - io.lsu_bus_buffer_full_any <= _T_4361 @[el2_lsu_bus_buffer.scala 542:30] - node _T_4362 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4363 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4364 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4365 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4366 = or(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 543:65] - node _T_4367 = or(_T_4366, _T_4364) @[el2_lsu_bus_buffer.scala 543:65] - node _T_4368 = or(_T_4367, _T_4365) @[el2_lsu_bus_buffer.scala 543:65] - node _T_4369 = eq(_T_4368, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:34] - node _T_4370 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:72] - node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 543:70] - node _T_4372 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4373 = and(_T_4371, _T_4372) @[el2_lsu_bus_buffer.scala 543:84] - io.lsu_bus_buffer_empty_any <= _T_4373 @[el2_lsu_bus_buffer.scala 543:31] - node _T_4374 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 545:51] - node _T_4375 = and(_T_4374, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 545:72] - node _T_4376 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:94] - node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 545:92] - node _T_4378 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:111] - node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 545:109] - io.lsu_nonblock_load_valid_m <= _T_4379 @[el2_lsu_bus_buffer.scala 545:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 546:30] + buf_error <= _T_4266 @[el2_lsu_bus_buffer.scala 535:15] + node _T_4267 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4268 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4269 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4270 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4271 = add(_T_4270, _T_4269) @[el2_lsu_bus_buffer.scala 537:96] + node _T_4272 = add(_T_4271, _T_4268) @[el2_lsu_bus_buffer.scala 537:96] + node buf_numvld_any = add(_T_4272, _T_4267) @[el2_lsu_bus_buffer.scala 537:96] + node _T_4273 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4274 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:76] + node _T_4275 = eq(_T_4274, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] + node _T_4276 = and(_T_4273, _T_4275) @[el2_lsu_bus_buffer.scala 538:64] + node _T_4277 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] + node _T_4278 = and(_T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 538:89] + node _T_4279 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4280 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:76] + node _T_4281 = eq(_T_4280, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] + node _T_4282 = and(_T_4279, _T_4281) @[el2_lsu_bus_buffer.scala 538:64] + node _T_4283 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] + node _T_4284 = and(_T_4282, _T_4283) @[el2_lsu_bus_buffer.scala 538:89] + node _T_4285 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4286 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:76] + node _T_4287 = eq(_T_4286, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] + node _T_4288 = and(_T_4285, _T_4287) @[el2_lsu_bus_buffer.scala 538:64] + node _T_4289 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] + node _T_4290 = and(_T_4288, _T_4289) @[el2_lsu_bus_buffer.scala 538:89] + node _T_4291 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4292 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:76] + node _T_4293 = eq(_T_4292, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:79] + node _T_4294 = and(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 538:64] + node _T_4295 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:91] + node _T_4296 = and(_T_4294, _T_4295) @[el2_lsu_bus_buffer.scala 538:89] + node _T_4297 = add(_T_4296, _T_4290) @[el2_lsu_bus_buffer.scala 538:142] + node _T_4298 = add(_T_4297, _T_4284) @[el2_lsu_bus_buffer.scala 538:142] + node _T_4299 = add(_T_4298, _T_4278) @[el2_lsu_bus_buffer.scala 538:142] + buf_numvld_wrcmd_any <= _T_4299 @[el2_lsu_bus_buffer.scala 538:24] + node _T_4300 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4301 = eq(_T_4300, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] + node _T_4302 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] + node _T_4303 = and(_T_4301, _T_4302) @[el2_lsu_bus_buffer.scala 539:73] + node _T_4304 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4305 = eq(_T_4304, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] + node _T_4306 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] + node _T_4307 = and(_T_4305, _T_4306) @[el2_lsu_bus_buffer.scala 539:73] + node _T_4308 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4309 = eq(_T_4308, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] + node _T_4310 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] + node _T_4311 = and(_T_4309, _T_4310) @[el2_lsu_bus_buffer.scala 539:73] + node _T_4312 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4313 = eq(_T_4312, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:63] + node _T_4314 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:75] + node _T_4315 = and(_T_4313, _T_4314) @[el2_lsu_bus_buffer.scala 539:73] + node _T_4316 = add(_T_4315, _T_4311) @[el2_lsu_bus_buffer.scala 539:126] + node _T_4317 = add(_T_4316, _T_4307) @[el2_lsu_bus_buffer.scala 539:126] + node _T_4318 = add(_T_4317, _T_4303) @[el2_lsu_bus_buffer.scala 539:126] + buf_numvld_cmd_any <= _T_4318 @[el2_lsu_bus_buffer.scala 539:22] + node _T_4319 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4320 = eq(_T_4319, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] + node _T_4321 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 540:85] + node _T_4322 = eq(_T_4321, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] + node _T_4323 = or(_T_4320, _T_4322) @[el2_lsu_bus_buffer.scala 540:74] + node _T_4324 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4325 = and(_T_4323, _T_4324) @[el2_lsu_bus_buffer.scala 540:100] + node _T_4326 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4327 = eq(_T_4326, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] + node _T_4328 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 540:85] + node _T_4329 = eq(_T_4328, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] + node _T_4330 = or(_T_4327, _T_4329) @[el2_lsu_bus_buffer.scala 540:74] + node _T_4331 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 540:100] + node _T_4333 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4334 = eq(_T_4333, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] + node _T_4335 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 540:85] + node _T_4336 = eq(_T_4335, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] + node _T_4337 = or(_T_4334, _T_4336) @[el2_lsu_bus_buffer.scala 540:74] + node _T_4338 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 540:100] + node _T_4340 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4341 = eq(_T_4340, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 540:64] + node _T_4342 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 540:85] + node _T_4343 = eq(_T_4342, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 540:88] + node _T_4344 = or(_T_4341, _T_4343) @[el2_lsu_bus_buffer.scala 540:74] + node _T_4345 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:102] + node _T_4346 = and(_T_4344, _T_4345) @[el2_lsu_bus_buffer.scala 540:100] + node _T_4347 = add(_T_4346, _T_4339) @[el2_lsu_bus_buffer.scala 540:153] + node _T_4348 = add(_T_4347, _T_4332) @[el2_lsu_bus_buffer.scala 540:153] + node _T_4349 = add(_T_4348, _T_4325) @[el2_lsu_bus_buffer.scala 540:153] + buf_numvld_pend_any <= _T_4349 @[el2_lsu_bus_buffer.scala 540:23] + node _T_4350 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] + node _T_4351 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] + node _T_4352 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] + node _T_4353 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 541:61] + node _T_4354 = or(_T_4353, _T_4352) @[el2_lsu_bus_buffer.scala 541:93] + node _T_4355 = or(_T_4354, _T_4351) @[el2_lsu_bus_buffer.scala 541:93] + node _T_4356 = or(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 541:93] + any_done_wait_state <= _T_4356 @[el2_lsu_bus_buffer.scala 541:23] + node _T_4357 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 542:53] + io.lsu_bus_buffer_pend_any <= _T_4357 @[el2_lsu_bus_buffer.scala 542:30] + node _T_4358 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4359 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 543:92] + node _T_4360 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 543:119] + node _T_4361 = mux(_T_4358, _T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 543:36] + io.lsu_bus_buffer_full_any <= _T_4361 @[el2_lsu_bus_buffer.scala 543:30] + node _T_4362 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 544:52] + node _T_4363 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 544:52] + node _T_4364 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 544:52] + node _T_4365 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 544:52] + node _T_4366 = or(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 544:65] + node _T_4367 = or(_T_4366, _T_4364) @[el2_lsu_bus_buffer.scala 544:65] + node _T_4368 = or(_T_4367, _T_4365) @[el2_lsu_bus_buffer.scala 544:65] + node _T_4369 = eq(_T_4368, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:34] + node _T_4370 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:72] + node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 544:70] + node _T_4372 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:86] + node _T_4373 = and(_T_4371, _T_4372) @[el2_lsu_bus_buffer.scala 544:84] + io.lsu_bus_buffer_empty_any <= _T_4373 @[el2_lsu_bus_buffer.scala 544:31] + node _T_4374 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 546:51] + node _T_4375 = and(_T_4374, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 546:72] + node _T_4376 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:94] + node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 546:92] + node _T_4378 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:111] + node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 546:109] + io.lsu_nonblock_load_valid_m <= _T_4379 @[el2_lsu_bus_buffer.scala 546:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 547:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4380 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:61] - node _T_4381 = and(lsu_nonblock_load_valid_r, _T_4380) @[el2_lsu_bus_buffer.scala 548:59] - io.lsu_nonblock_load_inv_r <= _T_4381 @[el2_lsu_bus_buffer.scala 548:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 549:34] - node _T_4382 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4383 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4384 = and(UInt<1>("h01"), _T_4383) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4385 = eq(_T_4384, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4386 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4387 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4388 = and(UInt<1>("h01"), _T_4387) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4389 = eq(_T_4388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4390 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4391 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4392 = and(UInt<1>("h01"), _T_4391) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4393 = eq(_T_4392, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4394 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4395 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4396 = and(UInt<1>("h01"), _T_4395) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4397 = eq(_T_4396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] + node _T_4380 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:61] + node _T_4381 = and(lsu_nonblock_load_valid_r, _T_4380) @[el2_lsu_bus_buffer.scala 549:59] + io.lsu_nonblock_load_inv_r <= _T_4381 @[el2_lsu_bus_buffer.scala 549:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 550:34] + node _T_4382 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4383 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:127] + node _T_4384 = and(UInt<1>("h01"), _T_4383) @[el2_lsu_bus_buffer.scala 551:116] + node _T_4385 = eq(_T_4384, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] + node _T_4386 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4387 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:127] + node _T_4388 = and(UInt<1>("h01"), _T_4387) @[el2_lsu_bus_buffer.scala 551:116] + node _T_4389 = eq(_T_4388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] + node _T_4390 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4391 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:127] + node _T_4392 = and(UInt<1>("h01"), _T_4391) @[el2_lsu_bus_buffer.scala 551:116] + node _T_4393 = eq(_T_4392, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] + node _T_4394 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4395 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:127] + node _T_4396 = and(UInt<1>("h01"), _T_4395) @[el2_lsu_bus_buffer.scala 551:116] + node _T_4397 = eq(_T_4396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:95] node _T_4398 = mux(_T_4382, _T_4385, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4399 = mux(_T_4386, _T_4389, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4400 = mux(_T_4390, _T_4393, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5838,26 +5838,26 @@ circuit el2_lsu_bus_buffer : node _T_4404 = or(_T_4403, _T_4401) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4404 @[Mux.scala 27:72] - node _T_4405 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4406 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4407 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4408 = eq(_T_4407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4409 = and(_T_4406, _T_4408) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4410 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4411 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4412 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4413 = eq(_T_4412, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4414 = and(_T_4411, _T_4413) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4415 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4416 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4417 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4418 = eq(_T_4417, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4419 = and(_T_4416, _T_4418) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4420 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4421 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4422 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4423 = eq(_T_4422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4424 = and(_T_4421, _T_4423) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4405 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] + node _T_4406 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 552:104] + node _T_4407 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:120] + node _T_4408 = eq(_T_4407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] + node _T_4409 = and(_T_4406, _T_4408) @[el2_lsu_bus_buffer.scala 552:108] + node _T_4410 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] + node _T_4411 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 552:104] + node _T_4412 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:120] + node _T_4413 = eq(_T_4412, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] + node _T_4414 = and(_T_4411, _T_4413) @[el2_lsu_bus_buffer.scala 552:108] + node _T_4415 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] + node _T_4416 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 552:104] + node _T_4417 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:120] + node _T_4418 = eq(_T_4417, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] + node _T_4419 = and(_T_4416, _T_4418) @[el2_lsu_bus_buffer.scala 552:108] + node _T_4420 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:80] + node _T_4421 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 552:104] + node _T_4422 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:120] + node _T_4423 = eq(_T_4422, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:110] + node _T_4424 = and(_T_4421, _T_4423) @[el2_lsu_bus_buffer.scala 552:108] node _T_4425 = mux(_T_4405, _T_4409, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4426 = mux(_T_4410, _T_4414, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4427 = mux(_T_4415, _T_4419, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5867,39 +5867,39 @@ circuit el2_lsu_bus_buffer : node _T_4431 = or(_T_4430, _T_4428) @[Mux.scala 27:72] wire _T_4432 : UInt<1> @[Mux.scala 27:72] _T_4432 <= _T_4431 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4432 @[el2_lsu_bus_buffer.scala 551:35] - node _T_4433 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4434 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4435 = eq(_T_4434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4436 = and(_T_4433, _T_4435) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4437 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4438 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4439 = or(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4440 = and(_T_4436, _T_4439) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4441 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4442 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4443 = eq(_T_4442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4444 = and(_T_4441, _T_4443) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4445 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4446 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4447 = or(_T_4445, _T_4446) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4448 = and(_T_4444, _T_4447) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4449 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4450 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4451 = eq(_T_4450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4452 = and(_T_4449, _T_4451) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4453 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4454 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4455 = or(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4456 = and(_T_4452, _T_4455) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4457 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4458 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4461 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4462 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4463 = or(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4464 = and(_T_4460, _T_4463) @[el2_lsu_bus_buffer.scala 552:106] + io.lsu_nonblock_load_data_error <= _T_4432 @[el2_lsu_bus_buffer.scala 552:35] + node _T_4433 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4434 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:102] + node _T_4435 = eq(_T_4434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] + node _T_4436 = and(_T_4433, _T_4435) @[el2_lsu_bus_buffer.scala 553:90] + node _T_4437 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] + node _T_4438 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] + node _T_4439 = or(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 553:122] + node _T_4440 = and(_T_4436, _T_4439) @[el2_lsu_bus_buffer.scala 553:106] + node _T_4441 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4442 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:102] + node _T_4443 = eq(_T_4442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] + node _T_4444 = and(_T_4441, _T_4443) @[el2_lsu_bus_buffer.scala 553:90] + node _T_4445 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] + node _T_4446 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] + node _T_4447 = or(_T_4445, _T_4446) @[el2_lsu_bus_buffer.scala 553:122] + node _T_4448 = and(_T_4444, _T_4447) @[el2_lsu_bus_buffer.scala 553:106] + node _T_4449 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4450 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:102] + node _T_4451 = eq(_T_4450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] + node _T_4452 = and(_T_4449, _T_4451) @[el2_lsu_bus_buffer.scala 553:90] + node _T_4453 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] + node _T_4454 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] + node _T_4455 = or(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 553:122] + node _T_4456 = and(_T_4452, _T_4455) @[el2_lsu_bus_buffer.scala 553:106] + node _T_4457 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4458 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:102] + node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:92] + node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 553:90] + node _T_4461 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:109] + node _T_4462 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:124] + node _T_4463 = or(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 553:122] + node _T_4464 = and(_T_4460, _T_4463) @[el2_lsu_bus_buffer.scala 553:106] node _T_4465 = mux(_T_4440, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4466 = mux(_T_4448, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4467 = mux(_T_4456, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -5909,39 +5909,39 @@ circuit el2_lsu_bus_buffer : node _T_4471 = or(_T_4470, _T_4468) @[Mux.scala 27:72] wire _T_4472 : UInt<2> @[Mux.scala 27:72] _T_4472 <= _T_4471 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4472 @[el2_lsu_bus_buffer.scala 552:33] - node _T_4473 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4474 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4475 = eq(_T_4474, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4476 = and(_T_4473, _T_4475) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4477 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4478 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4479 = or(_T_4477, _T_4478) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4480 = and(_T_4476, _T_4479) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4481 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4482 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4483 = eq(_T_4482, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4484 = and(_T_4481, _T_4483) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4485 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4486 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4487 = or(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4488 = and(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4489 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4490 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4491 = eq(_T_4490, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4492 = and(_T_4489, _T_4491) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4493 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4494 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4495 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4496 = and(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4497 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4498 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4499 = eq(_T_4498, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4500 = and(_T_4497, _T_4499) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4501 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4502 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4503 = or(_T_4501, _T_4502) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4504 = and(_T_4500, _T_4503) @[el2_lsu_bus_buffer.scala 553:105] + io.lsu_nonblock_load_data_tag <= _T_4472 @[el2_lsu_bus_buffer.scala 553:33] + node _T_4473 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4474 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4475 = eq(_T_4474, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4476 = and(_T_4473, _T_4475) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4477 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] + node _T_4478 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] + node _T_4479 = or(_T_4477, _T_4478) @[el2_lsu_bus_buffer.scala 554:121] + node _T_4480 = and(_T_4476, _T_4479) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4481 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4482 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4483 = eq(_T_4482, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4484 = and(_T_4481, _T_4483) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4485 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] + node _T_4486 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] + node _T_4487 = or(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 554:121] + node _T_4488 = and(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4489 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4490 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4491 = eq(_T_4490, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4492 = and(_T_4489, _T_4491) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4493 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] + node _T_4494 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] + node _T_4495 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 554:121] + node _T_4496 = and(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4497 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4498 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4499 = eq(_T_4498, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4500 = and(_T_4497, _T_4499) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4501 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:108] + node _T_4502 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:123] + node _T_4503 = or(_T_4501, _T_4502) @[el2_lsu_bus_buffer.scala 554:121] + node _T_4504 = and(_T_4500, _T_4503) @[el2_lsu_bus_buffer.scala 554:105] node _T_4505 = mux(_T_4480, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4506 = mux(_T_4488, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4507 = mux(_T_4496, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -5951,30 +5951,30 @@ circuit el2_lsu_bus_buffer : node _T_4511 = or(_T_4510, _T_4508) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4511 @[Mux.scala 27:72] - node _T_4512 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4513 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4515 = and(_T_4512, _T_4514) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4516 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4517 = and(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4518 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4519 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4521 = and(_T_4518, _T_4520) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4522 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4524 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4525 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4528 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4530 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4531 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4534 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4535 = and(_T_4533, _T_4534) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4512 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4513 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 555:101] + node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] + node _T_4515 = and(_T_4512, _T_4514) @[el2_lsu_bus_buffer.scala 555:89] + node _T_4516 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 555:120] + node _T_4517 = and(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 555:105] + node _T_4518 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4519 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 555:101] + node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] + node _T_4521 = and(_T_4518, _T_4520) @[el2_lsu_bus_buffer.scala 555:89] + node _T_4522 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 555:120] + node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 555:105] + node _T_4524 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4525 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 555:101] + node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] + node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 555:89] + node _T_4528 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 555:120] + node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 555:105] + node _T_4530 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4531 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 555:101] + node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:91] + node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 555:89] + node _T_4534 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 555:120] + node _T_4535 = and(_T_4533, _T_4534) @[el2_lsu_bus_buffer.scala 555:105] node _T_4536 = mux(_T_4517, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4537 = mux(_T_4523, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4538 = mux(_T_4529, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6048,36 +6048,36 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4597 @[Mux.scala 27:72] node _T_4598 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4599 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 559:121] - node lsu_nonblock_data_unalgn = dshr(_T_4598, _T_4599) @[el2_lsu_bus_buffer.scala 559:92] - node _T_4600 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:69] - node _T_4601 = and(lsu_nonblock_load_data_ready, _T_4600) @[el2_lsu_bus_buffer.scala 560:67] - io.lsu_nonblock_load_data_valid <= _T_4601 @[el2_lsu_bus_buffer.scala 560:35] - node _T_4602 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:81] - node _T_4603 = and(lsu_nonblock_unsign, _T_4602) @[el2_lsu_bus_buffer.scala 561:63] - node _T_4604 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 561:131] + node _T_4599 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 560:121] + node lsu_nonblock_data_unalgn = dshr(_T_4598, _T_4599) @[el2_lsu_bus_buffer.scala 560:92] + node _T_4600 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:69] + node _T_4601 = and(lsu_nonblock_load_data_ready, _T_4600) @[el2_lsu_bus_buffer.scala 561:67] + io.lsu_nonblock_load_data_valid <= _T_4601 @[el2_lsu_bus_buffer.scala 561:35] + node _T_4602 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:81] + node _T_4603 = and(lsu_nonblock_unsign, _T_4602) @[el2_lsu_bus_buffer.scala 562:63] + node _T_4604 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 562:131] node _T_4605 = cat(UInt<24>("h00"), _T_4604) @[Cat.scala 29:58] - node _T_4606 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 562:45] - node _T_4607 = and(lsu_nonblock_unsign, _T_4606) @[el2_lsu_bus_buffer.scala 562:26] - node _T_4608 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 562:95] + node _T_4606 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 563:45] + node _T_4607 = and(lsu_nonblock_unsign, _T_4606) @[el2_lsu_bus_buffer.scala 563:26] + node _T_4608 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 563:95] node _T_4609 = cat(UInt<16>("h00"), _T_4608) @[Cat.scala 29:58] - node _T_4610 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:6] - node _T_4611 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:45] - node _T_4612 = and(_T_4610, _T_4611) @[el2_lsu_bus_buffer.scala 563:27] - node _T_4613 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 563:93] + node _T_4610 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:6] + node _T_4611 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:45] + node _T_4612 = and(_T_4610, _T_4611) @[el2_lsu_bus_buffer.scala 564:27] + node _T_4613 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 564:93] node _T_4614 = bits(_T_4613, 0, 0) @[Bitwise.scala 72:15] node _T_4615 = mux(_T_4614, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4616 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 563:123] + node _T_4616 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 564:123] node _T_4617 = cat(_T_4615, _T_4616) @[Cat.scala 29:58] - node _T_4618 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:6] - node _T_4619 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 564:45] - node _T_4620 = and(_T_4618, _T_4619) @[el2_lsu_bus_buffer.scala 564:27] - node _T_4621 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 564:93] + node _T_4618 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:6] + node _T_4619 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 565:45] + node _T_4620 = and(_T_4618, _T_4619) @[el2_lsu_bus_buffer.scala 565:27] + node _T_4621 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 565:93] node _T_4622 = bits(_T_4621, 0, 0) @[Bitwise.scala 72:15] node _T_4623 = mux(_T_4622, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4624 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 564:124] + node _T_4624 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 565:124] node _T_4625 = cat(_T_4623, _T_4624) @[Cat.scala 29:58] - node _T_4626 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 565:21] + node _T_4626 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 566:21] node _T_4627 = mux(_T_4603, _T_4605, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4628 = mux(_T_4607, _T_4609, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4629 = mux(_T_4612, _T_4617, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6089,75 +6089,75 @@ circuit el2_lsu_bus_buffer : node _T_4635 = or(_T_4634, _T_4631) @[Mux.scala 27:72] wire _T_4636 : UInt<64> @[Mux.scala 27:72] _T_4636 <= _T_4635 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4636 @[el2_lsu_bus_buffer.scala 561:29] - node _T_4637 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:62] - node _T_4638 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 566:89] - node _T_4639 = and(_T_4637, _T_4638) @[el2_lsu_bus_buffer.scala 566:73] - node _T_4640 = and(_T_4639, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:93] - node _T_4641 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:62] - node _T_4642 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 566:89] - node _T_4643 = and(_T_4641, _T_4642) @[el2_lsu_bus_buffer.scala 566:73] - node _T_4644 = and(_T_4643, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:93] - node _T_4645 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:62] - node _T_4646 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 566:89] - node _T_4647 = and(_T_4645, _T_4646) @[el2_lsu_bus_buffer.scala 566:73] - node _T_4648 = and(_T_4647, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:93] - node _T_4649 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:62] - node _T_4650 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 566:89] - node _T_4651 = and(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 566:73] - node _T_4652 = and(_T_4651, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:93] - node _T_4653 = or(_T_4640, _T_4644) @[el2_lsu_bus_buffer.scala 566:141] - node _T_4654 = or(_T_4653, _T_4648) @[el2_lsu_bus_buffer.scala 566:141] - node _T_4655 = or(_T_4654, _T_4652) @[el2_lsu_bus_buffer.scala 566:141] - bus_sideeffect_pend <= _T_4655 @[el2_lsu_bus_buffer.scala 566:23] - node _T_4656 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4657 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4658 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4659 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4660 = eq(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4661 = and(_T_4657, _T_4660) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4662 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4663 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4664 = and(obuf_merge, _T_4663) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4665 = or(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4667 = and(_T_4661, _T_4666) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4668 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4669 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4670 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4671 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4672 = eq(_T_4670, _T_4671) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4673 = and(_T_4669, _T_4672) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4674 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4675 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4676 = and(obuf_merge, _T_4675) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4677 = or(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4678 = eq(_T_4677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4679 = and(_T_4673, _T_4678) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4680 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4681 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4682 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4683 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4684 = eq(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4685 = and(_T_4681, _T_4684) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4686 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4687 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4688 = and(obuf_merge, _T_4687) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4689 = or(_T_4686, _T_4688) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4690 = eq(_T_4689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4691 = and(_T_4685, _T_4690) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4692 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4693 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4694 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4695 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4696 = eq(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4697 = and(_T_4693, _T_4696) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4698 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4699 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4700 = and(obuf_merge, _T_4699) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4701 = or(_T_4698, _T_4700) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4702 = eq(_T_4701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4703 = and(_T_4697, _T_4702) @[el2_lsu_bus_buffer.scala 568:78] + io.lsu_nonblock_load_data <= _T_4636 @[el2_lsu_bus_buffer.scala 562:29] + node _T_4637 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] + node _T_4638 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 567:89] + node _T_4639 = and(_T_4637, _T_4638) @[el2_lsu_bus_buffer.scala 567:73] + node _T_4640 = and(_T_4639, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] + node _T_4641 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] + node _T_4642 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 567:89] + node _T_4643 = and(_T_4641, _T_4642) @[el2_lsu_bus_buffer.scala 567:73] + node _T_4644 = and(_T_4643, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] + node _T_4645 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] + node _T_4646 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 567:89] + node _T_4647 = and(_T_4645, _T_4646) @[el2_lsu_bus_buffer.scala 567:73] + node _T_4648 = and(_T_4647, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] + node _T_4649 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:62] + node _T_4650 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 567:89] + node _T_4651 = and(_T_4649, _T_4650) @[el2_lsu_bus_buffer.scala 567:73] + node _T_4652 = and(_T_4651, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 567:93] + node _T_4653 = or(_T_4640, _T_4644) @[el2_lsu_bus_buffer.scala 567:141] + node _T_4654 = or(_T_4653, _T_4648) @[el2_lsu_bus_buffer.scala 567:141] + node _T_4655 = or(_T_4654, _T_4652) @[el2_lsu_bus_buffer.scala 567:141] + bus_sideeffect_pend <= _T_4655 @[el2_lsu_bus_buffer.scala 567:23] + node _T_4656 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] + node _T_4657 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] + node _T_4658 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] + node _T_4659 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] + node _T_4660 = eq(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 569:56] + node _T_4661 = and(_T_4657, _T_4660) @[el2_lsu_bus_buffer.scala 569:38] + node _T_4662 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:92] + node _T_4663 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:126] + node _T_4664 = and(obuf_merge, _T_4663) @[el2_lsu_bus_buffer.scala 569:114] + node _T_4665 = or(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 569:100] + node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4667 = and(_T_4661, _T_4666) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4668 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] + node _T_4669 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] + node _T_4670 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] + node _T_4671 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] + node _T_4672 = eq(_T_4670, _T_4671) @[el2_lsu_bus_buffer.scala 569:56] + node _T_4673 = and(_T_4669, _T_4672) @[el2_lsu_bus_buffer.scala 569:38] + node _T_4674 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:92] + node _T_4675 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:126] + node _T_4676 = and(obuf_merge, _T_4675) @[el2_lsu_bus_buffer.scala 569:114] + node _T_4677 = or(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 569:100] + node _T_4678 = eq(_T_4677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4679 = and(_T_4673, _T_4678) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4680 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] + node _T_4681 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] + node _T_4682 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] + node _T_4683 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] + node _T_4684 = eq(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 569:56] + node _T_4685 = and(_T_4681, _T_4684) @[el2_lsu_bus_buffer.scala 569:38] + node _T_4686 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:92] + node _T_4687 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 569:126] + node _T_4688 = and(obuf_merge, _T_4687) @[el2_lsu_bus_buffer.scala 569:114] + node _T_4689 = or(_T_4686, _T_4688) @[el2_lsu_bus_buffer.scala 569:100] + node _T_4690 = eq(_T_4689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4691 = and(_T_4685, _T_4690) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4692 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 568:71] + node _T_4693 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 569:25] + node _T_4694 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 569:50] + node _T_4695 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 569:70] + node _T_4696 = eq(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 569:56] + node _T_4697 = and(_T_4693, _T_4696) @[el2_lsu_bus_buffer.scala 569:38] + node _T_4698 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 569:92] + node _T_4699 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 569:126] + node _T_4700 = and(obuf_merge, _T_4699) @[el2_lsu_bus_buffer.scala 569:114] + node _T_4701 = or(_T_4698, _T_4700) @[el2_lsu_bus_buffer.scala 569:100] + node _T_4702 = eq(_T_4701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4703 = and(_T_4697, _T_4702) @[el2_lsu_bus_buffer.scala 569:78] node _T_4704 = mux(_T_4656, _T_4667, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4705 = mux(_T_4668, _T_4679, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4706 = mux(_T_4680, _T_4691, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6167,117 +6167,117 @@ circuit el2_lsu_bus_buffer : node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] wire _T_4711 : UInt<1> @[Mux.scala 27:72] _T_4711 <= _T_4710 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4711 @[el2_lsu_bus_buffer.scala 567:26] - node _T_4712 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 570:54] - node _T_4713 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:75] - node _T_4714 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:150] - node _T_4715 = mux(_T_4712, _T_4713, _T_4714) @[el2_lsu_bus_buffer.scala 570:39] - node _T_4716 = mux(obuf_write, _T_4715, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 570:23] - bus_cmd_ready <= _T_4716 @[el2_lsu_bus_buffer.scala 570:17] - node _T_4717 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:39] - bus_wcmd_sent <= _T_4717 @[el2_lsu_bus_buffer.scala 571:17] - node _T_4718 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 572:39] - bus_wdata_sent <= _T_4718 @[el2_lsu_bus_buffer.scala 572:18] - node _T_4719 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 573:35] - node _T_4720 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 573:70] - node _T_4721 = and(_T_4719, _T_4720) @[el2_lsu_bus_buffer.scala 573:52] - node _T_4722 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 573:111] - node _T_4723 = or(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 573:89] - bus_cmd_sent <= _T_4723 @[el2_lsu_bus_buffer.scala 573:16] - node _T_4724 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 574:37] - bus_rsp_read <= _T_4724 @[el2_lsu_bus_buffer.scala 574:16] - node _T_4725 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 575:38] - bus_rsp_write <= _T_4725 @[el2_lsu_bus_buffer.scala 575:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 576:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 577:21] - node _T_4726 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:60] - node _T_4727 = and(bus_rsp_write, _T_4726) @[el2_lsu_bus_buffer.scala 578:40] - bus_rsp_write_error <= _T_4727 @[el2_lsu_bus_buffer.scala 578:23] - node _T_4728 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:58] - node _T_4729 = and(bus_rsp_read, _T_4728) @[el2_lsu_bus_buffer.scala 579:38] - bus_rsp_read_error <= _T_4729 @[el2_lsu_bus_buffer.scala 579:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 580:17] - node _T_4730 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 583:36] - node _T_4731 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:51] - node _T_4732 = and(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 583:49] - node _T_4733 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:68] - node _T_4734 = and(_T_4732, _T_4733) @[el2_lsu_bus_buffer.scala 583:66] - io.lsu_axi_awvalid <= _T_4734 @[el2_lsu_bus_buffer.scala 583:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 584:19] - node _T_4735 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 585:69] + bus_addr_match_pending <= _T_4711 @[el2_lsu_bus_buffer.scala 568:26] + node _T_4712 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 571:54] + node _T_4713 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:75] + node _T_4714 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:150] + node _T_4715 = mux(_T_4712, _T_4713, _T_4714) @[el2_lsu_bus_buffer.scala 571:39] + node _T_4716 = mux(obuf_write, _T_4715, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 571:23] + bus_cmd_ready <= _T_4716 @[el2_lsu_bus_buffer.scala 571:17] + node _T_4717 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 572:39] + bus_wcmd_sent <= _T_4717 @[el2_lsu_bus_buffer.scala 572:17] + node _T_4718 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 573:39] + bus_wdata_sent <= _T_4718 @[el2_lsu_bus_buffer.scala 573:18] + node _T_4719 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 574:35] + node _T_4720 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 574:70] + node _T_4721 = and(_T_4719, _T_4720) @[el2_lsu_bus_buffer.scala 574:52] + node _T_4722 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 574:111] + node _T_4723 = or(_T_4721, _T_4722) @[el2_lsu_bus_buffer.scala 574:89] + bus_cmd_sent <= _T_4723 @[el2_lsu_bus_buffer.scala 574:16] + node _T_4724 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 575:37] + bus_rsp_read <= _T_4724 @[el2_lsu_bus_buffer.scala 575:16] + node _T_4725 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 576:38] + bus_rsp_write <= _T_4725 @[el2_lsu_bus_buffer.scala 576:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 577:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 578:21] + node _T_4726 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:60] + node _T_4727 = and(bus_rsp_write, _T_4726) @[el2_lsu_bus_buffer.scala 579:40] + bus_rsp_write_error <= _T_4727 @[el2_lsu_bus_buffer.scala 579:23] + node _T_4728 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:58] + node _T_4729 = and(bus_rsp_read, _T_4728) @[el2_lsu_bus_buffer.scala 580:38] + bus_rsp_read_error <= _T_4729 @[el2_lsu_bus_buffer.scala 580:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 581:17] + node _T_4730 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 584:36] + node _T_4731 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:51] + node _T_4732 = and(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 584:49] + node _T_4733 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:68] + node _T_4734 = and(_T_4732, _T_4733) @[el2_lsu_bus_buffer.scala 584:66] + io.lsu_axi_awvalid <= _T_4734 @[el2_lsu_bus_buffer.scala 584:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 585:19] + node _T_4735 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:69] node _T_4736 = cat(_T_4735, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4737 = mux(obuf_sideeffect, obuf_addr, _T_4736) @[el2_lsu_bus_buffer.scala 585:27] - io.lsu_axi_awaddr <= _T_4737 @[el2_lsu_bus_buffer.scala 585:21] + node _T_4737 = mux(obuf_sideeffect, obuf_addr, _T_4736) @[el2_lsu_bus_buffer.scala 586:27] + io.lsu_axi_awaddr <= _T_4737 @[el2_lsu_bus_buffer.scala 586:21] node _T_4738 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4739 = mux(obuf_sideeffect, _T_4738, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 586:27] - io.lsu_axi_awsize <= _T_4739 @[el2_lsu_bus_buffer.scala 586:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 587:21] - node _T_4740 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 588:28] - io.lsu_axi_awcache <= _T_4740 @[el2_lsu_bus_buffer.scala 588:22] - node _T_4741 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 589:35] - io.lsu_axi_awregion <= _T_4741 @[el2_lsu_bus_buffer.scala 589:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 590:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 591:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 592:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:21] - node _T_4742 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 595:35] - node _T_4743 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:50] - node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 595:48] - node _T_4745 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:68] - node _T_4746 = and(_T_4744, _T_4745) @[el2_lsu_bus_buffer.scala 595:66] - io.lsu_axi_wvalid <= _T_4746 @[el2_lsu_bus_buffer.scala 595:21] + node _T_4739 = mux(obuf_sideeffect, _T_4738, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 587:27] + io.lsu_axi_awsize <= _T_4739 @[el2_lsu_bus_buffer.scala 587:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 588:21] + node _T_4740 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 589:28] + io.lsu_axi_awcache <= _T_4740 @[el2_lsu_bus_buffer.scala 589:22] + node _T_4741 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 590:35] + io.lsu_axi_awregion <= _T_4741 @[el2_lsu_bus_buffer.scala 590:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 591:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 592:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:21] + node _T_4742 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 596:35] + node _T_4743 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:50] + node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 596:48] + node _T_4745 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:68] + node _T_4746 = and(_T_4744, _T_4745) @[el2_lsu_bus_buffer.scala 596:66] + io.lsu_axi_wvalid <= _T_4746 @[el2_lsu_bus_buffer.scala 596:21] node _T_4747 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4748 = mux(_T_4747, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4749 = and(obuf_byteen, _T_4748) @[el2_lsu_bus_buffer.scala 596:35] - io.lsu_axi_wstrb <= _T_4749 @[el2_lsu_bus_buffer.scala 596:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 597:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 598:20] - node _T_4750 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:38] - node _T_4751 = and(obuf_valid, _T_4750) @[el2_lsu_bus_buffer.scala 600:36] - node _T_4752 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:52] - node _T_4753 = and(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 600:50] - node _T_4754 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:67] - node _T_4755 = and(_T_4753, _T_4754) @[el2_lsu_bus_buffer.scala 600:65] - io.lsu_axi_arvalid <= _T_4755 @[el2_lsu_bus_buffer.scala 600:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 601:19] - node _T_4756 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 602:69] + node _T_4749 = and(obuf_byteen, _T_4748) @[el2_lsu_bus_buffer.scala 597:35] + io.lsu_axi_wstrb <= _T_4749 @[el2_lsu_bus_buffer.scala 597:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 598:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 599:20] + node _T_4750 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:38] + node _T_4751 = and(obuf_valid, _T_4750) @[el2_lsu_bus_buffer.scala 601:36] + node _T_4752 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:52] + node _T_4753 = and(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 601:50] + node _T_4754 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:67] + node _T_4755 = and(_T_4753, _T_4754) @[el2_lsu_bus_buffer.scala 601:65] + io.lsu_axi_arvalid <= _T_4755 @[el2_lsu_bus_buffer.scala 601:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 602:19] + node _T_4756 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:69] node _T_4757 = cat(_T_4756, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4758 = mux(obuf_sideeffect, obuf_addr, _T_4757) @[el2_lsu_bus_buffer.scala 602:27] - io.lsu_axi_araddr <= _T_4758 @[el2_lsu_bus_buffer.scala 602:21] + node _T_4758 = mux(obuf_sideeffect, obuf_addr, _T_4757) @[el2_lsu_bus_buffer.scala 603:27] + io.lsu_axi_araddr <= _T_4758 @[el2_lsu_bus_buffer.scala 603:21] node _T_4759 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4760 = mux(obuf_sideeffect, _T_4759, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:27] - io.lsu_axi_arsize <= _T_4760 @[el2_lsu_bus_buffer.scala 603:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 604:21] - node _T_4761 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 605:28] - io.lsu_axi_arcache <= _T_4761 @[el2_lsu_bus_buffer.scala 605:22] - node _T_4762 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 606:35] - io.lsu_axi_arregion <= _T_4762 @[el2_lsu_bus_buffer.scala 606:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 607:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 608:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 609:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 611:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 612:21] - node _T_4763 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4764 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4765 = and(io.lsu_bus_clk_en_q, _T_4764) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4766 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4768 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4769 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4770 = and(io.lsu_bus_clk_en_q, _T_4769) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4771 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4773 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4774 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4775 = and(io.lsu_bus_clk_en_q, _T_4774) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4776 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4778 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4779 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4780 = and(io.lsu_bus_clk_en_q, _T_4779) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4781 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 613:129] + node _T_4760 = mux(obuf_sideeffect, _T_4759, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:27] + io.lsu_axi_arsize <= _T_4760 @[el2_lsu_bus_buffer.scala 604:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:21] + node _T_4761 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 606:28] + io.lsu_axi_arcache <= _T_4761 @[el2_lsu_bus_buffer.scala 606:22] + node _T_4762 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 607:35] + io.lsu_axi_arregion <= _T_4762 @[el2_lsu_bus_buffer.scala 607:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 609:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 612:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 613:21] + node _T_4763 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] + node _T_4764 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 614:125] + node _T_4765 = and(io.lsu_bus_clk_en_q, _T_4764) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4766 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 614:140] + node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 614:129] + node _T_4768 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] + node _T_4769 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 614:125] + node _T_4770 = and(io.lsu_bus_clk_en_q, _T_4769) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4771 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 614:140] + node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 614:129] + node _T_4773 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] + node _T_4774 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 614:125] + node _T_4775 = and(io.lsu_bus_clk_en_q, _T_4774) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4776 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 614:140] + node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 614:129] + node _T_4778 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:81] + node _T_4779 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 614:125] + node _T_4780 = and(io.lsu_bus_clk_en_q, _T_4779) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4781 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 614:140] + node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 614:129] node _T_4783 = mux(_T_4763, _T_4767, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4784 = mux(_T_4768, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4785 = mux(_T_4773, _T_4777, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6287,25 +6287,25 @@ circuit el2_lsu_bus_buffer : node _T_4789 = or(_T_4788, _T_4786) @[Mux.scala 27:72] wire _T_4790 : UInt<1> @[Mux.scala 27:72] _T_4790 <= _T_4789 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4790 @[el2_lsu_bus_buffer.scala 613:36] - node _T_4791 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] - node _T_4792 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 614:109] - node _T_4793 = and(_T_4791, _T_4792) @[el2_lsu_bus_buffer.scala 614:98] - node _T_4794 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 614:124] - node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 614:113] - node _T_4796 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] - node _T_4797 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 614:109] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 614:98] - node _T_4799 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 614:124] - node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 614:113] + io.lsu_imprecise_error_store_any <= _T_4790 @[el2_lsu_bus_buffer.scala 614:36] + node _T_4791 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 615:87] + node _T_4792 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 615:109] + node _T_4793 = and(_T_4791, _T_4792) @[el2_lsu_bus_buffer.scala 615:98] + node _T_4794 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 615:124] + node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 615:113] + node _T_4796 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 615:87] + node _T_4797 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 615:109] + node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 615:98] + node _T_4799 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 615:124] + node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 615:113] node _T_4801 = mux(_T_4795, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4802 = mux(_T_4800, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4803 = or(_T_4801, _T_4802) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4803 @[Mux.scala 27:72] - node _T_4804 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:72] - node _T_4805 = and(io.lsu_nonblock_load_data_error, _T_4804) @[el2_lsu_bus_buffer.scala 616:70] - io.lsu_imprecise_error_load_any <= _T_4805 @[el2_lsu_bus_buffer.scala 616:35] + node _T_4804 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:72] + node _T_4805 = and(io.lsu_nonblock_load_data_error, _T_4804) @[el2_lsu_bus_buffer.scala 617:70] + io.lsu_imprecise_error_load_any <= _T_4805 @[el2_lsu_bus_buffer.scala 617:35] node _T_4806 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] node _T_4807 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] node _T_4808 = mux(_T_4806, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6326,44 +6326,44 @@ circuit el2_lsu_bus_buffer : node _T_4822 = or(_T_4821, _T_4819) @[Mux.scala 27:72] wire _T_4823 : UInt<32> @[Mux.scala 27:72] _T_4823 <= _T_4822 @[Mux.scala 27:72] - node _T_4824 = mux(io.lsu_imprecise_error_store_any, _T_4811, _T_4823) @[el2_lsu_bus_buffer.scala 617:41] - io.lsu_imprecise_error_addr_any <= _T_4824 @[el2_lsu_bus_buffer.scala 617:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 618:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 620:23] - node _T_4825 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 623:46] - node _T_4826 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 623:89] - node _T_4827 = or(_T_4825, _T_4826) @[el2_lsu_bus_buffer.scala 623:68] - node _T_4828 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 623:132] - node _T_4829 = or(_T_4827, _T_4828) @[el2_lsu_bus_buffer.scala 623:110] - io.lsu_pmu_bus_trxn <= _T_4829 @[el2_lsu_bus_buffer.scala 623:23] - node _T_4830 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 624:48] - node _T_4831 = and(_T_4830, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 624:65] - io.lsu_pmu_bus_misaligned <= _T_4831 @[el2_lsu_bus_buffer.scala 624:29] - node _T_4832 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 625:59] - io.lsu_pmu_bus_error <= _T_4832 @[el2_lsu_bus_buffer.scala 625:24] - node _T_4833 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:48] - node _T_4834 = and(io.lsu_axi_awvalid, _T_4833) @[el2_lsu_bus_buffer.scala 627:46] - node _T_4835 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:92] - node _T_4836 = and(io.lsu_axi_wvalid, _T_4835) @[el2_lsu_bus_buffer.scala 627:90] - node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 627:69] - node _T_4838 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:136] - node _T_4839 = and(io.lsu_axi_arvalid, _T_4838) @[el2_lsu_bus_buffer.scala 627:134] - node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 627:112] - io.lsu_pmu_bus_busy <= _T_4840 @[el2_lsu_bus_buffer.scala 627:23] - reg _T_4841 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 629:49] - _T_4841 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 629:49] - WrPtr0_r <= _T_4841 @[el2_lsu_bus_buffer.scala 629:12] - reg _T_4842 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:49] - _T_4842 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 630:49] - WrPtr1_r <= _T_4842 @[el2_lsu_bus_buffer.scala 630:12] - node _T_4843 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:75] - node _T_4844 = and(io.lsu_busreq_m, _T_4843) @[el2_lsu_bus_buffer.scala 631:73] - node _T_4845 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:89] - node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 631:87] - reg _T_4847 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:56] - _T_4847 <= _T_4846 @[el2_lsu_bus_buffer.scala 631:56] - io.lsu_busreq_r <= _T_4847 @[el2_lsu_bus_buffer.scala 631:19] - reg _T_4848 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 632:66] - _T_4848 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 632:66] - lsu_nonblock_load_valid_r <= _T_4848 @[el2_lsu_bus_buffer.scala 632:29] + node _T_4824 = mux(io.lsu_imprecise_error_store_any, _T_4811, _T_4823) @[el2_lsu_bus_buffer.scala 618:41] + io.lsu_imprecise_error_addr_any <= _T_4824 @[el2_lsu_bus_buffer.scala 618:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 619:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 621:23] + node _T_4825 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 624:46] + node _T_4826 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 624:89] + node _T_4827 = or(_T_4825, _T_4826) @[el2_lsu_bus_buffer.scala 624:68] + node _T_4828 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 624:132] + node _T_4829 = or(_T_4827, _T_4828) @[el2_lsu_bus_buffer.scala 624:110] + io.lsu_pmu_bus_trxn <= _T_4829 @[el2_lsu_bus_buffer.scala 624:23] + node _T_4830 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 625:48] + node _T_4831 = and(_T_4830, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 625:65] + io.lsu_pmu_bus_misaligned <= _T_4831 @[el2_lsu_bus_buffer.scala 625:29] + node _T_4832 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 626:59] + io.lsu_pmu_bus_error <= _T_4832 @[el2_lsu_bus_buffer.scala 626:24] + node _T_4833 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:48] + node _T_4834 = and(io.lsu_axi_awvalid, _T_4833) @[el2_lsu_bus_buffer.scala 628:46] + node _T_4835 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:92] + node _T_4836 = and(io.lsu_axi_wvalid, _T_4835) @[el2_lsu_bus_buffer.scala 628:90] + node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 628:69] + node _T_4838 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:136] + node _T_4839 = and(io.lsu_axi_arvalid, _T_4838) @[el2_lsu_bus_buffer.scala 628:134] + node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 628:112] + io.lsu_pmu_bus_busy <= _T_4840 @[el2_lsu_bus_buffer.scala 628:23] + reg _T_4841 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:49] + _T_4841 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 630:49] + WrPtr0_r <= _T_4841 @[el2_lsu_bus_buffer.scala 630:12] + reg _T_4842 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:49] + _T_4842 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 631:49] + WrPtr1_r <= _T_4842 @[el2_lsu_bus_buffer.scala 631:12] + node _T_4843 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:75] + node _T_4844 = and(io.lsu_busreq_m, _T_4843) @[el2_lsu_bus_buffer.scala 632:73] + node _T_4845 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:89] + node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 632:87] + reg _T_4847 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 632:56] + _T_4847 <= _T_4846 @[el2_lsu_bus_buffer.scala 632:56] + io.lsu_busreq_r <= _T_4847 @[el2_lsu_bus_buffer.scala 632:19] + reg _T_4848 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 633:66] + _T_4848 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 633:66] + lsu_nonblock_load_valid_r <= _T_4848 @[el2_lsu_bus_buffer.scala 633:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 6c3eb4ae..5e50768e 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -352,97 +352,97 @@ module el2_lsu_bus_buffer( wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] reg [2:0] _T_4239; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4239}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4239}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] reg [2:0] _T_4237; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4237}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4237}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] reg [2:0] _T_4235; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4235}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4235}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] reg [2:0] _T_4233; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4233}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4233}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 533:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2474 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 517:60] + wire _T_2474 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_3959 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_3982 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_3986 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 381:13] - wire _T_3993 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:48] + wire _T_3993 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 472:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3994 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3995 = obuf_merge & _T_3994; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3996 = _T_3993 | _T_3995; // @[el2_lsu_bus_buffer.scala 471:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3994 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3995 = obuf_merge & _T_3994; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_3996 = _T_3993 | _T_3995; // @[el2_lsu_bus_buffer.scala 472:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 375:54] - wire _T_3997 = _T_3996 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] + wire _T_3997 = _T_3996 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 374:55] - wire _T_3998 = _T_3997 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _T_3998 = _T_3997 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] wire _GEN_280 = _T_3986 & _T_3998; // @[Conditional.scala 39:67] wire _GEN_293 = _T_3982 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2475 = _T_2474 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2476 = buf_ageQ_3[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2470 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2475 = _T_2474 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 430:104] + wire _T_2476 = buf_ageQ_3[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2470 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_3766 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3789 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3793 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3800 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:48] - wire _T_3801 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3802 = obuf_merge & _T_3801; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3803 = _T_3800 | _T_3802; // @[el2_lsu_bus_buffer.scala 471:77] - wire _T_3804 = _T_3803 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] - wire _T_3805 = _T_3804 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _T_3800 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_3801 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3802 = obuf_merge & _T_3801; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_3803 = _T_3800 | _T_3802; // @[el2_lsu_bus_buffer.scala 472:77] + wire _T_3804 = _T_3803 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] + wire _T_3805 = _T_3804 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] wire _GEN_204 = _T_3793 & _T_3805; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3789 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2471 = _T_2470 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2472 = buf_ageQ_3[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2466 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2471 = _T_2470 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 430:104] + wire _T_2472 = buf_ageQ_3[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2466 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_3573 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3596 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3600 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3607 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:48] - wire _T_3608 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3609 = obuf_merge & _T_3608; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3610 = _T_3607 | _T_3609; // @[el2_lsu_bus_buffer.scala 471:77] - wire _T_3611 = _T_3610 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] - wire _T_3612 = _T_3611 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _T_3607 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_3608 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3609 = obuf_merge & _T_3608; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_3610 = _T_3607 | _T_3609; // @[el2_lsu_bus_buffer.scala 472:77] + wire _T_3611 = _T_3610 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] + wire _T_3612 = _T_3611 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] wire _GEN_128 = _T_3600 & _T_3612; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3596 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2467 = _T_2466 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2468 = buf_ageQ_3[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2462 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_2467 = _T_2466 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 430:104] + wire _T_2468 = buf_ageQ_3[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2462 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 430:94] wire _T_3380 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3403 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3407 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3414 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:48] - wire _T_3415 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3416 = obuf_merge & _T_3415; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3417 = _T_3414 | _T_3416; // @[el2_lsu_bus_buffer.scala 471:77] - wire _T_3418 = _T_3417 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] - wire _T_3419 = _T_3418 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _T_3414 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_3415 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 472:104] + wire _T_3416 = obuf_merge & _T_3415; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_3417 = _T_3414 | _T_3416; // @[el2_lsu_bus_buffer.scala 472:77] + wire _T_3418 = _T_3417 & obuf_valid; // @[el2_lsu_bus_buffer.scala 472:135] + wire _T_3419 = _T_3418 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 472:148] wire _GEN_52 = _T_3407 & _T_3419; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3403 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2463 = _T_2462 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2464 = buf_ageQ_3[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2463 = _T_2462 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 430:104] + wire _T_2464 = buf_ageQ_3[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] wire [3:0] buf_age_3 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2575 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2577 = _T_2575 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2569 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2571 = _T_2569 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2563 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2565 = _T_2563 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2575 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2577 = _T_2575 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2569 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2571 = _T_2569 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2563 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2565 = _T_2563 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2577,_T_2571,_T_2565}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 196:144] @@ -461,54 +461,54 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2457 = buf_ageQ_2[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2453 = buf_ageQ_2[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2449 = buf_ageQ_2[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2445 = buf_ageQ_2[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 429:78] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 517:60] + wire _T_2457 = buf_ageQ_2[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2453 = buf_ageQ_2[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2449 = buf_ageQ_2[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2445 = buf_ageQ_2[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] wire [3:0] buf_age_2 = {_T_2457,_T_2453,_T_2449,_T_2445}; // @[Cat.scala 29:58] - wire _T_2554 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2556 = _T_2554 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2542 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2544 = _T_2542 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2536 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2538 = _T_2536 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2554 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2556 = _T_2554 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2542 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2544 = _T_2542 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2536 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2538 = _T_2536 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] wire [3:0] buf_age_younger_2 = {_T_2556,1'h0,_T_2544,_T_2538}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2438 = buf_ageQ_1[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2434 = buf_ageQ_1[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2430 = buf_ageQ_1[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2426 = buf_ageQ_1[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 429:78] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 517:60] + wire _T_2438 = buf_ageQ_1[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2434 = buf_ageQ_1[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2430 = buf_ageQ_1[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2426 = buf_ageQ_1[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] wire [3:0] buf_age_1 = {_T_2438,_T_2434,_T_2430,_T_2426}; // @[Cat.scala 29:58] - wire _T_2527 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2529 = _T_2527 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2521 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2523 = _T_2521 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2509 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2511 = _T_2509 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2527 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2529 = _T_2527 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2521 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2523 = _T_2521 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2509 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2511 = _T_2509 & _T_5; // @[el2_lsu_bus_buffer.scala 431:106] wire [3:0] buf_age_younger_1 = {_T_2529,_T_2523,1'h0,_T_2511}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2419 = buf_ageQ_0[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2415 = buf_ageQ_0[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2411 = buf_ageQ_0[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2407 = buf_ageQ_0[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 429:78] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 517:60] + wire _T_2419 = buf_ageQ_0[3] & _T_2475; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2415 = buf_ageQ_0[2] & _T_2471; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2411 = buf_ageQ_0[1] & _T_2467; // @[el2_lsu_bus_buffer.scala 430:78] + wire _T_2407 = buf_ageQ_0[0] & _T_2463; // @[el2_lsu_bus_buffer.scala 430:78] wire [3:0] buf_age_0 = {_T_2419,_T_2415,_T_2411,_T_2407}; // @[Cat.scala 29:58] - wire _T_2500 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2502 = _T_2500 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2494 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2496 = _T_2494 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2488 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2490 = _T_2488 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2500 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2502 = _T_2500 & _T_26; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2494 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2496 = _T_2494 & _T_19; // @[el2_lsu_bus_buffer.scala 431:106] + wire _T_2488 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 431:91] + wire _T_2490 = _T_2488 & _T_12; // @[el2_lsu_bus_buffer.scala 431:106] wire [3:0] buf_age_younger_0 = {_T_2502,_T_2496,_T_2490,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 196:144] @@ -760,22 +760,22 @@ module el2_lsu_bus_buffer( wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4240; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4240}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + wire [31:0] buf_data_0 = {{28'd0}, _T_4240}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4241; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4241}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + wire [31:0] buf_data_1 = {{28'd0}, _T_4241}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4242; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4242}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + wire [31:0] buf_data_2 = {{28'd0}, _T_4242}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4243; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4243}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + wire [31:0] buf_data_3 = {{28'd0}, _T_4243}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 534:14] wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 214:123] @@ -928,8 +928,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 247:32] wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 241:34] wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 241:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 630:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 629:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 631:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 630:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 257:77] @@ -970,41 +970,41 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4293 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4294 = buf_write[3] & _T_4293; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4295 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4296 = _T_4294 & _T_4295; // @[el2_lsu_bus_buffer.scala 537:89] - wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4287 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4288 = buf_write[2] & _T_4287; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4289 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4290 = _T_4288 & _T_4289; // @[el2_lsu_bus_buffer.scala 537:89] - wire [1:0] _T_4297 = _T_4296 + _T_4290; // @[el2_lsu_bus_buffer.scala 537:142] - wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4281 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4282 = buf_write[1] & _T_4281; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4283 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4284 = _T_4282 & _T_4283; // @[el2_lsu_bus_buffer.scala 537:89] - wire [1:0] _GEN_368 = {{1'd0}, _T_4284}; // @[el2_lsu_bus_buffer.scala 537:142] - wire [2:0] _T_4298 = _T_4297 + _GEN_368; // @[el2_lsu_bus_buffer.scala 537:142] - wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4275 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4276 = buf_write[0] & _T_4275; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4277 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4278 = _T_4276 & _T_4277; // @[el2_lsu_bus_buffer.scala 537:89] - wire [2:0] _GEN_370 = {{2'd0}, _T_4278}; // @[el2_lsu_bus_buffer.scala 537:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4298 + _GEN_370; // @[el2_lsu_bus_buffer.scala 537:142] + wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4293 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4294 = buf_write[3] & _T_4293; // @[el2_lsu_bus_buffer.scala 538:64] + wire _T_4295 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 538:91] + wire _T_4296 = _T_4294 & _T_4295; // @[el2_lsu_bus_buffer.scala 538:89] + wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4287 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4288 = buf_write[2] & _T_4287; // @[el2_lsu_bus_buffer.scala 538:64] + wire _T_4289 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 538:91] + wire _T_4290 = _T_4288 & _T_4289; // @[el2_lsu_bus_buffer.scala 538:89] + wire [1:0] _T_4297 = _T_4296 + _T_4290; // @[el2_lsu_bus_buffer.scala 538:142] + wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4281 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4282 = buf_write[1] & _T_4281; // @[el2_lsu_bus_buffer.scala 538:64] + wire _T_4283 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 538:91] + wire _T_4284 = _T_4282 & _T_4283; // @[el2_lsu_bus_buffer.scala 538:89] + wire [1:0] _GEN_368 = {{1'd0}, _T_4284}; // @[el2_lsu_bus_buffer.scala 538:142] + wire [2:0] _T_4298 = _T_4297 + _GEN_368; // @[el2_lsu_bus_buffer.scala 538:142] + wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4275 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 538:79] + wire _T_4276 = buf_write[0] & _T_4275; // @[el2_lsu_bus_buffer.scala 538:64] + wire _T_4277 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 538:91] + wire _T_4278 = _T_4276 & _T_4277; // @[el2_lsu_bus_buffer.scala 538:89] + wire [2:0] _GEN_370 = {{2'd0}, _T_4278}; // @[el2_lsu_bus_buffer.scala 538:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4298 + _GEN_370; // @[el2_lsu_bus_buffer.scala 538:142] wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4315 = _T_4293 & _T_4295; // @[el2_lsu_bus_buffer.scala 538:73] - wire _T_4311 = _T_4287 & _T_4289; // @[el2_lsu_bus_buffer.scala 538:73] - wire [1:0] _T_4316 = _T_4315 + _T_4311; // @[el2_lsu_bus_buffer.scala 538:126] - wire _T_4307 = _T_4281 & _T_4283; // @[el2_lsu_bus_buffer.scala 538:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4307}; // @[el2_lsu_bus_buffer.scala 538:126] - wire [2:0] _T_4317 = _T_4316 + _GEN_374; // @[el2_lsu_bus_buffer.scala 538:126] - wire _T_4303 = _T_4275 & _T_4277; // @[el2_lsu_bus_buffer.scala 538:73] - wire [2:0] _GEN_376 = {{2'd0}, _T_4303}; // @[el2_lsu_bus_buffer.scala 538:126] - wire [3:0] buf_numvld_cmd_any = _T_4317 + _GEN_376; // @[el2_lsu_bus_buffer.scala 538:126] + wire _T_4315 = _T_4293 & _T_4295; // @[el2_lsu_bus_buffer.scala 539:73] + wire _T_4311 = _T_4287 & _T_4289; // @[el2_lsu_bus_buffer.scala 539:73] + wire [1:0] _T_4316 = _T_4315 + _T_4311; // @[el2_lsu_bus_buffer.scala 539:126] + wire _T_4307 = _T_4281 & _T_4283; // @[el2_lsu_bus_buffer.scala 539:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4307}; // @[el2_lsu_bus_buffer.scala 539:126] + wire [2:0] _T_4317 = _T_4316 + _GEN_374; // @[el2_lsu_bus_buffer.scala 539:126] + wire _T_4303 = _T_4275 & _T_4277; // @[el2_lsu_bus_buffer.scala 539:73] + wire [2:0] _GEN_376 = {{2'd0}, _T_4303}; // @[el2_lsu_bus_buffer.scala 539:126] + wire [3:0] buf_numvld_cmd_any = _T_4317 + _GEN_376; // @[el2_lsu_bus_buffer.scala 539:126] wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 293:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] @@ -1034,44 +1034,44 @@ module el2_lsu_bus_buffer( wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 296:79] wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4341 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4344 = _T_4341 | _T_4293; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4346 = _T_4344 & _T_4295; // @[el2_lsu_bus_buffer.scala 539:100] - wire _T_4334 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4337 = _T_4334 | _T_4287; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4339 = _T_4337 & _T_4289; // @[el2_lsu_bus_buffer.scala 539:100] - wire [1:0] _T_4347 = _T_4346 + _T_4339; // @[el2_lsu_bus_buffer.scala 539:153] - wire _T_4327 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4330 = _T_4327 | _T_4281; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4332 = _T_4330 & _T_4283; // @[el2_lsu_bus_buffer.scala 539:100] - wire [1:0] _GEN_383 = {{1'd0}, _T_4332}; // @[el2_lsu_bus_buffer.scala 539:153] - wire [2:0] _T_4348 = _T_4347 + _GEN_383; // @[el2_lsu_bus_buffer.scala 539:153] - wire _T_4320 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4323 = _T_4320 | _T_4275; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4325 = _T_4323 & _T_4277; // @[el2_lsu_bus_buffer.scala 539:100] - wire [2:0] _GEN_386 = {{2'd0}, _T_4325}; // @[el2_lsu_bus_buffer.scala 539:153] - wire [3:0] buf_numvld_pend_any = _T_4348 + _GEN_386; // @[el2_lsu_bus_buffer.scala 539:153] + wire _T_4341 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] + wire _T_4344 = _T_4341 | _T_4293; // @[el2_lsu_bus_buffer.scala 540:74] + wire _T_4346 = _T_4344 & _T_4295; // @[el2_lsu_bus_buffer.scala 540:100] + wire _T_4334 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] + wire _T_4337 = _T_4334 | _T_4287; // @[el2_lsu_bus_buffer.scala 540:74] + wire _T_4339 = _T_4337 & _T_4289; // @[el2_lsu_bus_buffer.scala 540:100] + wire [1:0] _T_4347 = _T_4346 + _T_4339; // @[el2_lsu_bus_buffer.scala 540:153] + wire _T_4327 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] + wire _T_4330 = _T_4327 | _T_4281; // @[el2_lsu_bus_buffer.scala 540:74] + wire _T_4332 = _T_4330 & _T_4283; // @[el2_lsu_bus_buffer.scala 540:100] + wire [1:0] _GEN_383 = {{1'd0}, _T_4332}; // @[el2_lsu_bus_buffer.scala 540:153] + wire [2:0] _T_4348 = _T_4347 + _GEN_383; // @[el2_lsu_bus_buffer.scala 540:153] + wire _T_4320 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 540:64] + wire _T_4323 = _T_4320 | _T_4275; // @[el2_lsu_bus_buffer.scala 540:74] + wire _T_4325 = _T_4323 & _T_4277; // @[el2_lsu_bus_buffer.scala 540:100] + wire [2:0] _GEN_386 = {{2'd0}, _T_4325}; // @[el2_lsu_bus_buffer.scala 540:153] + wire [3:0] buf_numvld_pend_any = _T_4348 + _GEN_386; // @[el2_lsu_bus_buffer.scala 540:153] wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 299:31] wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 299:61] wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4637 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:62] - wire _T_4639 = _T_4637 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 566:73] - wire _T_4640 = _T_4639 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:93] - wire _T_4641 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:62] - wire _T_4643 = _T_4641 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 566:73] - wire _T_4644 = _T_4643 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:93] - wire _T_4653 = _T_4640 | _T_4644; // @[el2_lsu_bus_buffer.scala 566:141] - wire _T_4645 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:62] - wire _T_4647 = _T_4645 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 566:73] - wire _T_4648 = _T_4647 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:93] - wire _T_4654 = _T_4653 | _T_4648; // @[el2_lsu_bus_buffer.scala 566:141] - wire _T_4649 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:62] - wire _T_4651 = _T_4649 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 566:73] - wire _T_4652 = _T_4651 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:93] - wire bus_sideeffect_pend = _T_4654 | _T_4652; // @[el2_lsu_bus_buffer.scala 566:141] + wire _T_4637 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] + wire _T_4639 = _T_4637 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 567:73] + wire _T_4640 = _T_4639 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] + wire _T_4641 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] + wire _T_4643 = _T_4641 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 567:73] + wire _T_4644 = _T_4643 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] + wire _T_4653 = _T_4640 | _T_4644; // @[el2_lsu_bus_buffer.scala 567:141] + wire _T_4645 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] + wire _T_4647 = _T_4645 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 567:73] + wire _T_4648 = _T_4647 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] + wire _T_4654 = _T_4653 | _T_4648; // @[el2_lsu_bus_buffer.scala 567:141] + wire _T_4649 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 567:62] + wire _T_4651 = _T_4649 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 567:73] + wire _T_4652 = _T_4651 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 567:93] + wire bus_sideeffect_pend = _T_4654 | _T_4652; // @[el2_lsu_bus_buffer.scala 567:141] wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 314:52] wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 314:50] @@ -1154,10 +1154,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4712 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 570:54] - wire _T_4713 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:75] - wire _T_4715 = _T_4712 ? _T_4713 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:39] - wire bus_cmd_ready = obuf_write ? _T_4715 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 570:23] + wire _T_4712 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 571:54] + wire _T_4713 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:75] + wire _T_4715 = _T_4712 ? _T_4713 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:39] + wire bus_cmd_ready = obuf_write ? _T_4715 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 571:23] wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 318:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1166,52 +1166,52 @@ module el2_lsu_bus_buffer( wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 318:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4660 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4661 = obuf_valid & _T_4660; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4663 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4664 = obuf_merge & _T_4663; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4665 = _T_3414 | _T_4664; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4666 = ~_T_4665; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4667 = _T_4661 & _T_4666; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4660 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] + wire _T_4661 = obuf_valid & _T_4660; // @[el2_lsu_bus_buffer.scala 569:38] + wire _T_4663 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 569:126] + wire _T_4664 = obuf_merge & _T_4663; // @[el2_lsu_bus_buffer.scala 569:114] + wire _T_4665 = _T_3414 | _T_4664; // @[el2_lsu_bus_buffer.scala 569:100] + wire _T_4666 = ~_T_4665; // @[el2_lsu_bus_buffer.scala 569:80] + wire _T_4667 = _T_4661 & _T_4666; // @[el2_lsu_bus_buffer.scala 569:78] wire _T_4704 = _T_4637 & _T_4667; // @[Mux.scala 27:72] - wire _T_4672 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4673 = obuf_valid & _T_4672; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4675 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4676 = obuf_merge & _T_4675; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4677 = _T_3607 | _T_4676; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4678 = ~_T_4677; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4679 = _T_4673 & _T_4678; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4672 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] + wire _T_4673 = obuf_valid & _T_4672; // @[el2_lsu_bus_buffer.scala 569:38] + wire _T_4675 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 569:126] + wire _T_4676 = obuf_merge & _T_4675; // @[el2_lsu_bus_buffer.scala 569:114] + wire _T_4677 = _T_3607 | _T_4676; // @[el2_lsu_bus_buffer.scala 569:100] + wire _T_4678 = ~_T_4677; // @[el2_lsu_bus_buffer.scala 569:80] + wire _T_4679 = _T_4673 & _T_4678; // @[el2_lsu_bus_buffer.scala 569:78] wire _T_4705 = _T_4641 & _T_4679; // @[Mux.scala 27:72] wire _T_4708 = _T_4704 | _T_4705; // @[Mux.scala 27:72] - wire _T_4684 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4685 = obuf_valid & _T_4684; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4687 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4688 = obuf_merge & _T_4687; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4689 = _T_3800 | _T_4688; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4690 = ~_T_4689; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4691 = _T_4685 & _T_4690; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4684 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] + wire _T_4685 = obuf_valid & _T_4684; // @[el2_lsu_bus_buffer.scala 569:38] + wire _T_4687 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 569:126] + wire _T_4688 = obuf_merge & _T_4687; // @[el2_lsu_bus_buffer.scala 569:114] + wire _T_4689 = _T_3800 | _T_4688; // @[el2_lsu_bus_buffer.scala 569:100] + wire _T_4690 = ~_T_4689; // @[el2_lsu_bus_buffer.scala 569:80] + wire _T_4691 = _T_4685 & _T_4690; // @[el2_lsu_bus_buffer.scala 569:78] wire _T_4706 = _T_4645 & _T_4691; // @[Mux.scala 27:72] wire _T_4709 = _T_4708 | _T_4706; // @[Mux.scala 27:72] - wire _T_4696 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4697 = obuf_valid & _T_4696; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4699 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4700 = obuf_merge & _T_4699; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4701 = _T_3993 | _T_4700; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4702 = ~_T_4701; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4703 = _T_4697 & _T_4702; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4696 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 569:56] + wire _T_4697 = obuf_valid & _T_4696; // @[el2_lsu_bus_buffer.scala 569:38] + wire _T_4699 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 569:126] + wire _T_4700 = obuf_merge & _T_4699; // @[el2_lsu_bus_buffer.scala 569:114] + wire _T_4701 = _T_3993 | _T_4700; // @[el2_lsu_bus_buffer.scala 569:100] + wire _T_4702 = ~_T_4701; // @[el2_lsu_bus_buffer.scala 569:80] + wire _T_4703 = _T_4697 & _T_4702; // @[el2_lsu_bus_buffer.scala 569:78] wire _T_4707 = _T_4649 & _T_4703; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4709 | _T_4707; // @[Mux.scala 27:72] wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 318:116] wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:39] - wire _T_4719 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 573:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 572:39] - wire _T_4720 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 573:70] - wire _T_4721 = _T_4719 & _T_4720; // @[el2_lsu_bus_buffer.scala 573:52] - wire _T_4722 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 573:111] - wire bus_cmd_sent = _T_4721 | _T_4722; // @[el2_lsu_bus_buffer.scala 573:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 572:39] + wire _T_4719 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 574:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 573:39] + wire _T_4720 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 574:70] + wire _T_4721 = _T_4719 & _T_4720; // @[el2_lsu_bus_buffer.scala 574:52] + wire _T_4722 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 574:111] + wire bus_cmd_sent = _T_4721 | _T_4722; // @[el2_lsu_bus_buffer.scala 574:89] wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 320:33] wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 320:63] @@ -1249,7 +1249,7 @@ module el2_lsu_bus_buffer( wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 353:20] wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 353:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 379:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 574:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 575:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:55] wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 353:90] wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 353:70] @@ -1397,122 +1397,121 @@ module el2_lsu_bus_buffer( wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:83] wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 395:72] wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 395:70] - wire [1:0] _T_1883 = _T_1882 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1884 = _T_1868 ? 2'h2 : _T_1883; // @[Mux.scala 98:16] - wire [1:0] _T_1885 = _T_1854 ? 2'h1 : _T_1884; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2598 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2599 = buf_rspageQ_0[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2595 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2596 = buf_rspageQ_0[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2592 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2593 = buf_rspageQ_0[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2589 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2590 = buf_rspageQ_0[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 431:89] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 518:63] + wire _T_2598 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] + wire _T_2599 = buf_rspageQ_0[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2595 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] + wire _T_2596 = buf_rspageQ_0[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2592 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] + wire _T_2593 = buf_rspageQ_0[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2589 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 432:104] + wire _T_2590 = buf_rspageQ_0[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] wire [3:0] buf_rsp_pickage_0 = {_T_2599,_T_2596,_T_2593,_T_2590}; // @[Cat.scala 29:58] wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 405:65] wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 405:44] wire _T_1961 = _T_1959 & _T_2589; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2614 = buf_rspageQ_1[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2611 = buf_rspageQ_1[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2608 = buf_rspageQ_1[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2605 = buf_rspageQ_1[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 431:89] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 518:63] + wire _T_2614 = buf_rspageQ_1[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2611 = buf_rspageQ_1[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2608 = buf_rspageQ_1[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2605 = buf_rspageQ_1[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] wire [3:0] buf_rsp_pickage_1 = {_T_2614,_T_2611,_T_2608,_T_2605}; // @[Cat.scala 29:58] wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 405:65] wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 405:44] wire _T_1965 = _T_1963 & _T_2592; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2629 = buf_rspageQ_2[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2626 = buf_rspageQ_2[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2623 = buf_rspageQ_2[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2620 = buf_rspageQ_2[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 431:89] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 518:63] + wire _T_2629 = buf_rspageQ_2[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2626 = buf_rspageQ_2[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2623 = buf_rspageQ_2[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2620 = buf_rspageQ_2[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] wire [3:0] buf_rsp_pickage_2 = {_T_2629,_T_2626,_T_2623,_T_2620}; // @[Cat.scala 29:58] wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 405:65] wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 405:44] wire _T_1969 = _T_1967 & _T_2595; // @[el2_lsu_bus_buffer.scala 405:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2644 = buf_rspageQ_3[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2641 = buf_rspageQ_3[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2638 = buf_rspageQ_3[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2635 = buf_rspageQ_3[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 431:89] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 518:63] + wire _T_2644 = buf_rspageQ_3[3] & _T_2598; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2641 = buf_rspageQ_3[2] & _T_2595; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2638 = buf_rspageQ_3[1] & _T_2592; // @[el2_lsu_bus_buffer.scala 432:89] + wire _T_2635 = buf_rspageQ_3[0] & _T_2589; // @[el2_lsu_bus_buffer.scala 432:89] wire [3:0] buf_rsp_pickage_3 = {_T_2644,_T_2641,_T_2638,_T_2635}; // @[Cat.scala 29:58] wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 405:65] wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 405:44] wire _T_1973 = _T_1971 & _T_2598; // @[el2_lsu_bus_buffer.scala 405:70] wire [3:0] RspPtrDec = {_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] + wire [1:0] _T_1982 = CmdPtr0Dec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] + wire [1:0] _T_1983 = CmdPtr0Dec[1] ? 2'h1 : _T_1982; // @[Mux.scala 47:69] wire [1:0] _T_1994 = RspPtrDec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] wire [1:0] _T_1995 = RspPtrDec[1] ? 2'h1 : _T_1994; // @[Mux.scala 47:69] wire [1:0] RspPtr = RspPtrDec[0] ? 2'h0 : _T_1995; // @[Mux.scala 47:69] - wire _T_3384 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:77] - wire _T_3385 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_3386 = _T_3384 & _T_3385; // @[el2_lsu_bus_buffer.scala 460:95] - wire _T_3387 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3388 = _T_3386 & _T_3387; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3389 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:144] - wire _T_3390 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3391 = _T_3389 & _T_3390; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3392 = _T_3388 | _T_3391; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3393 = _T_766 & _T_3392; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3394 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3395 = ibuf_drain_vld & _T_3394; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3396 = _T_3393 | _T_3395; // @[el2_lsu_bus_buffer.scala 460:183] - wire _T_3406 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 467:46] + wire _T_3384 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:77] + wire _T_3385 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_3386 = _T_3384 & _T_3385; // @[el2_lsu_bus_buffer.scala 461:95] + wire _T_3387 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] + wire _T_3388 = _T_3386 & _T_3387; // @[el2_lsu_bus_buffer.scala 461:112] + wire _T_3389 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:144] + wire _T_3390 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] + wire _T_3391 = _T_3389 & _T_3390; // @[el2_lsu_bus_buffer.scala 461:161] + wire _T_3392 = _T_3388 | _T_3391; // @[el2_lsu_bus_buffer.scala 461:132] + wire _T_3393 = _T_766 & _T_3392; // @[el2_lsu_bus_buffer.scala 461:63] + wire _T_3394 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] + wire _T_3395 = ibuf_drain_vld & _T_3394; // @[el2_lsu_bus_buffer.scala 461:201] + wire _T_3396 = _T_3393 | _T_3395; // @[el2_lsu_bus_buffer.scala 461:183] + wire _T_3406 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 468:46] wire _T_3441 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_3486 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_3487 = bus_rsp_write & _T_3486; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_3488 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 486:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 576:38] + wire _T_3486 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3487 = bus_rsp_write & _T_3486; // @[el2_lsu_bus_buffer.scala 486:52] + wire _T_3488 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 487:46] reg _T_4159; // @[Reg.scala 27:20] reg _T_4157; // @[Reg.scala 27:20] reg _T_4155; // @[Reg.scala 27:20] reg _T_4153; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4159,_T_4157,_T_4155,_T_4153}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3490 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3491 = buf_ldfwd[0] & _T_3490; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_3492 = _T_3488 | _T_3491; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3493 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_3496 = _T_3493 & _T_1130; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_3497 = _T_3496 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 488:58] + wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3490 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3491 = buf_ldfwd[0] & _T_3490; // @[el2_lsu_bus_buffer.scala 488:27] + wire _T_3492 = _T_3488 | _T_3491; // @[el2_lsu_bus_buffer.scala 487:77] + wire _T_3493 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 489:26] + wire _T_3496 = _T_3493 & _T_1130; // @[el2_lsu_bus_buffer.scala 489:42] + wire _T_3497 = _T_3496 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 489:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3498 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3499 = _T_3497 & _T_3498; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_3500 = _T_3492 | _T_3499; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_3501 = bus_rsp_read & _T_3500; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_3502 = _T_3487 | _T_3501; // @[el2_lsu_bus_buffer.scala 485:105] + wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3498 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3499 = _T_3497 & _T_3498; // @[el2_lsu_bus_buffer.scala 489:74] + wire _T_3500 = _T_3492 | _T_3499; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3501 = bus_rsp_read & _T_3500; // @[el2_lsu_bus_buffer.scala 487:25] + wire _T_3502 = _T_3487 | _T_3501; // @[el2_lsu_bus_buffer.scala 486:105] wire _GEN_42 = _T_3441 & _T_3502; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3407 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3403 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3528 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3538 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 500:21] + wire [3:0] _T_3538 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 501:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3540 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3541 = _T_3538[0] & _T_3540; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_3542 = _T_3498 | _T_3541; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_3543 = bus_rsp_read & _T_3542; // @[el2_lsu_bus_buffer.scala 499:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 501:58] + wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3540 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3541 = _T_3538[0] & _T_3540; // @[el2_lsu_bus_buffer.scala 501:38] + wire _T_3542 = _T_3498 | _T_3541; // @[el2_lsu_bus_buffer.scala 500:95] + wire _T_3543 = bus_rsp_read & _T_3542; // @[el2_lsu_bus_buffer.scala 500:45] wire _GEN_36 = _T_3528 & _T_3543; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3441 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3407 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3403 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3380 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3420 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_3421 = _T_3420 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3420 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] + wire _T_3421 = _T_3420 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] wire _T_3546 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3549 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_3550 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_3551 = buf_dual_0 & _T_3550; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_3552 = _T_3549 | _T_3551; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_3553 = _T_3552 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3549 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 506:37] + wire _T_3550 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] + wire _T_3551 = buf_dual_0 & _T_3550; // @[el2_lsu_bus_buffer.scala 506:80] + wire _T_3552 = _T_3549 | _T_3551; // @[el2_lsu_bus_buffer.scala 506:65] + wire _T_3553 = _T_3552 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] wire _T_3554 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3546 ? _T_3553 : _T_3554; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3528 ? _T_3421 : _GEN_31; // @[Conditional.scala 39:67] @@ -1520,105 +1519,105 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3407 ? _T_3421 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3403 ? _T_3406 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3380 ? _T_3396 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_1997 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_1998 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2001 = _T_2462 & _T_4277; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2002 = _T_1998 | _T_2001; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2003 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 425:23] - wire _T_2005 = _T_2003 & _T_3384; // @[el2_lsu_bus_buffer.scala 425:41] - wire _T_2007 = _T_2005 & _T_1782; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2009 = _T_2007 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2010 = _T_2002 | _T_2009; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2011 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 426:17] - wire _T_2012 = _T_2011 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 426:35] - wire _T_2014 = _T_2012 & _T_1785; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2016 = _T_2014 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2017 = _T_2010 | _T_2016; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2018 = _T_1997 & _T_2017; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2020 = _T_2018 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2023 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2026 = _T_2466 & _T_4283; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2027 = _T_2023 | _T_2026; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2034 = _T_2007 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2035 = _T_2027 | _T_2034; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2041 = _T_2014 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2042 = _T_2035 | _T_2041; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2043 = _T_1997 & _T_2042; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2045 = _T_2043 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2048 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2051 = _T_2470 & _T_4289; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2052 = _T_2048 | _T_2051; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2059 = _T_2007 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2060 = _T_2052 | _T_2059; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2066 = _T_2014 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2067 = _T_2060 | _T_2066; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2068 = _T_1997 & _T_2067; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2070 = _T_2068 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2073 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2076 = _T_2474 & _T_4295; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2077 = _T_2073 | _T_2076; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2084 = _T_2007 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2085 = _T_2077 | _T_2084; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2091 = _T_2014 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2092 = _T_2085 | _T_2091; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2093 = _T_1997 & _T_2092; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2095 = _T_2093 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_1997 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 424:94] + wire _T_1998 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] + wire _T_2001 = _T_2462 & _T_4277; // @[el2_lsu_bus_buffer.scala 425:57] + wire _T_2002 = _T_1998 | _T_2001; // @[el2_lsu_bus_buffer.scala 425:31] + wire _T_2003 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 426:23] + wire _T_2005 = _T_2003 & _T_3384; // @[el2_lsu_bus_buffer.scala 426:41] + wire _T_2007 = _T_2005 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:71] + wire _T_2009 = _T_2007 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2010 = _T_2002 | _T_2009; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2011 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 427:17] + wire _T_2012 = _T_2011 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 427:35] + wire _T_2014 = _T_2012 & _T_1785; // @[el2_lsu_bus_buffer.scala 427:52] + wire _T_2016 = _T_2014 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2017 = _T_2010 | _T_2016; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2018 = _T_1997 & _T_2017; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2020 = _T_2018 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2023 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] + wire _T_2026 = _T_2466 & _T_4283; // @[el2_lsu_bus_buffer.scala 425:57] + wire _T_2027 = _T_2023 | _T_2026; // @[el2_lsu_bus_buffer.scala 425:31] + wire _T_2034 = _T_2007 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2035 = _T_2027 | _T_2034; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2041 = _T_2014 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2042 = _T_2035 | _T_2041; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2043 = _T_1997 & _T_2042; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2045 = _T_2043 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2048 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] + wire _T_2051 = _T_2470 & _T_4289; // @[el2_lsu_bus_buffer.scala 425:57] + wire _T_2052 = _T_2048 | _T_2051; // @[el2_lsu_bus_buffer.scala 425:31] + wire _T_2059 = _T_2007 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2060 = _T_2052 | _T_2059; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2066 = _T_2014 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2067 = _T_2060 | _T_2066; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2068 = _T_1997 & _T_2067; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2070 = _T_2068 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2073 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 425:20] + wire _T_2076 = _T_2474 & _T_4295; // @[el2_lsu_bus_buffer.scala 425:57] + wire _T_2077 = _T_2073 | _T_2076; // @[el2_lsu_bus_buffer.scala 425:31] + wire _T_2084 = _T_2007 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2085 = _T_2077 | _T_2084; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2091 = _T_2014 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2092 = _T_2085 | _T_2091; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2093 = _T_1997 & _T_2092; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2095 = _T_2093 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 427:97] wire [2:0] _T_2097 = {_T_2095,_T_2070,_T_2045}; // @[Cat.scala 29:58] - wire _T_3580 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3581 = _T_3386 & _T_3580; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3583 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3584 = _T_3389 & _T_3583; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3585 = _T_3581 | _T_3584; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3586 = _T_766 & _T_3585; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3587 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3588 = ibuf_drain_vld & _T_3587; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3589 = _T_3586 | _T_3588; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3580 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] + wire _T_3581 = _T_3386 & _T_3580; // @[el2_lsu_bus_buffer.scala 461:112] + wire _T_3583 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] + wire _T_3584 = _T_3389 & _T_3583; // @[el2_lsu_bus_buffer.scala 461:161] + wire _T_3585 = _T_3581 | _T_3584; // @[el2_lsu_bus_buffer.scala 461:132] + wire _T_3586 = _T_766 & _T_3585; // @[el2_lsu_bus_buffer.scala 461:63] + wire _T_3587 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] + wire _T_3588 = ibuf_drain_vld & _T_3587; // @[el2_lsu_bus_buffer.scala 461:201] + wire _T_3589 = _T_3586 | _T_3588; // @[el2_lsu_bus_buffer.scala 461:183] wire _T_3634 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3679 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_3680 = bus_rsp_write & _T_3679; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_3681 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 486:46] - wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3683 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3684 = buf_ldfwd[1] & _T_3683; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_3685 = _T_3681 | _T_3684; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3686 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_3688 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 488:44] - wire _T_3689 = _T_3686 & _T_3688; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_3690 = _T_3689 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 488:58] + wire _T_3679 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3680 = bus_rsp_write & _T_3679; // @[el2_lsu_bus_buffer.scala 486:52] + wire _T_3681 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 487:46] + wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3683 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3684 = buf_ldfwd[1] & _T_3683; // @[el2_lsu_bus_buffer.scala 488:27] + wire _T_3685 = _T_3681 | _T_3684; // @[el2_lsu_bus_buffer.scala 487:77] + wire _T_3686 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 489:26] + wire _T_3688 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 489:44] + wire _T_3689 = _T_3686 & _T_3688; // @[el2_lsu_bus_buffer.scala 489:42] + wire _T_3690 = _T_3689 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 489:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3691 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3692 = _T_3690 & _T_3691; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_3693 = _T_3685 | _T_3692; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_3694 = bus_rsp_read & _T_3693; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_3695 = _T_3680 | _T_3694; // @[el2_lsu_bus_buffer.scala 485:105] + wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3691 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3692 = _T_3690 & _T_3691; // @[el2_lsu_bus_buffer.scala 489:74] + wire _T_3693 = _T_3685 | _T_3692; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3694 = bus_rsp_read & _T_3693; // @[el2_lsu_bus_buffer.scala 487:25] + wire _T_3695 = _T_3680 | _T_3694; // @[el2_lsu_bus_buffer.scala 486:105] wire _GEN_118 = _T_3634 & _T_3695; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3600 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3596 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3721 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3731 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 500:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3733 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3734 = _T_3731[0] & _T_3733; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_3735 = _T_3691 | _T_3734; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_3736 = bus_rsp_read & _T_3735; // @[el2_lsu_bus_buffer.scala 499:45] + wire [3:0] _T_3731 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 501:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 501:58] + wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3733 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3734 = _T_3731[0] & _T_3733; // @[el2_lsu_bus_buffer.scala 501:38] + wire _T_3735 = _T_3691 | _T_3734; // @[el2_lsu_bus_buffer.scala 500:95] + wire _T_3736 = bus_rsp_read & _T_3735; // @[el2_lsu_bus_buffer.scala 500:45] wire _GEN_112 = _T_3721 & _T_3736; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3634 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3600 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3596 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3573 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3613 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_3614 = _T_3613 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3613 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] + wire _T_3614 = _T_3613 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] wire _T_3739 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3742 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_3743 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_3744 = buf_dual_1 & _T_3743; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_3745 = _T_3742 | _T_3744; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_3746 = _T_3745 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3742 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 506:37] + wire _T_3743 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] + wire _T_3744 = buf_dual_1 & _T_3743; // @[el2_lsu_bus_buffer.scala 506:80] + wire _T_3745 = _T_3742 | _T_3744; // @[el2_lsu_bus_buffer.scala 506:65] + wire _T_3746 = _T_3745 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] wire _T_3747 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3739 ? _T_3746 : _T_3747; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3721 ? _T_3614 : _GEN_107; // @[Conditional.scala 39:67] @@ -1626,89 +1625,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3600 ? _T_3614 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3596 ? _T_3406 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3573 ? _T_3589 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2099 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2109 = _T_2005 & _T_1793; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2111 = _T_2109 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2112 = _T_2002 | _T_2111; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2116 = _T_2012 & _T_1796; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2118 = _T_2116 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2119 = _T_2112 | _T_2118; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2120 = _T_2099 & _T_2119; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2122 = _T_2120 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2136 = _T_2109 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2137 = _T_2027 | _T_2136; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2143 = _T_2116 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2144 = _T_2137 | _T_2143; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2145 = _T_2099 & _T_2144; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2147 = _T_2145 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2161 = _T_2109 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2162 = _T_2052 | _T_2161; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2168 = _T_2116 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2169 = _T_2162 | _T_2168; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2170 = _T_2099 & _T_2169; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2172 = _T_2170 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2186 = _T_2109 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2187 = _T_2077 | _T_2186; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2193 = _T_2116 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2194 = _T_2187 | _T_2193; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2195 = _T_2099 & _T_2194; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2197 = _T_2195 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2099 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 424:94] + wire _T_2109 = _T_2005 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:71] + wire _T_2111 = _T_2109 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2112 = _T_2002 | _T_2111; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2116 = _T_2012 & _T_1796; // @[el2_lsu_bus_buffer.scala 427:52] + wire _T_2118 = _T_2116 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2119 = _T_2112 | _T_2118; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2120 = _T_2099 & _T_2119; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2122 = _T_2120 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2136 = _T_2109 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2137 = _T_2027 | _T_2136; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2143 = _T_2116 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2144 = _T_2137 | _T_2143; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2145 = _T_2099 & _T_2144; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2147 = _T_2145 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2161 = _T_2109 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2162 = _T_2052 | _T_2161; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2168 = _T_2116 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2169 = _T_2162 | _T_2168; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2170 = _T_2099 & _T_2169; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2172 = _T_2170 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2186 = _T_2109 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2187 = _T_2077 | _T_2186; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2193 = _T_2116 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2194 = _T_2187 | _T_2193; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2195 = _T_2099 & _T_2194; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2197 = _T_2195 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 427:97] wire [2:0] _T_2199 = {_T_2197,_T_2172,_T_2147}; // @[Cat.scala 29:58] - wire _T_3773 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3774 = _T_3386 & _T_3773; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3776 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3777 = _T_3389 & _T_3776; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3778 = _T_3774 | _T_3777; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3779 = _T_766 & _T_3778; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3780 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3781 = ibuf_drain_vld & _T_3780; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3782 = _T_3779 | _T_3781; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3773 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] + wire _T_3774 = _T_3386 & _T_3773; // @[el2_lsu_bus_buffer.scala 461:112] + wire _T_3776 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] + wire _T_3777 = _T_3389 & _T_3776; // @[el2_lsu_bus_buffer.scala 461:161] + wire _T_3778 = _T_3774 | _T_3777; // @[el2_lsu_bus_buffer.scala 461:132] + wire _T_3779 = _T_766 & _T_3778; // @[el2_lsu_bus_buffer.scala 461:63] + wire _T_3780 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] + wire _T_3781 = ibuf_drain_vld & _T_3780; // @[el2_lsu_bus_buffer.scala 461:201] + wire _T_3782 = _T_3779 | _T_3781; // @[el2_lsu_bus_buffer.scala 461:183] wire _T_3827 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3872 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_3873 = bus_rsp_write & _T_3872; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_3874 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 486:46] - wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3876 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3877 = buf_ldfwd[2] & _T_3876; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_3878 = _T_3874 | _T_3877; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3879 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_3881 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 488:44] - wire _T_3882 = _T_3879 & _T_3881; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_3883 = _T_3882 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 488:58] + wire _T_3872 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_3873 = bus_rsp_write & _T_3872; // @[el2_lsu_bus_buffer.scala 486:52] + wire _T_3874 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 487:46] + wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3876 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_3877 = buf_ldfwd[2] & _T_3876; // @[el2_lsu_bus_buffer.scala 488:27] + wire _T_3878 = _T_3874 | _T_3877; // @[el2_lsu_bus_buffer.scala 487:77] + wire _T_3879 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 489:26] + wire _T_3881 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 489:44] + wire _T_3882 = _T_3879 & _T_3881; // @[el2_lsu_bus_buffer.scala 489:42] + wire _T_3883 = _T_3882 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 489:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3884 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3885 = _T_3883 & _T_3884; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_3886 = _T_3878 | _T_3885; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_3887 = bus_rsp_read & _T_3886; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_3888 = _T_3873 | _T_3887; // @[el2_lsu_bus_buffer.scala 485:105] + wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3884 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_3885 = _T_3883 & _T_3884; // @[el2_lsu_bus_buffer.scala 489:74] + wire _T_3886 = _T_3878 | _T_3885; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_3887 = bus_rsp_read & _T_3886; // @[el2_lsu_bus_buffer.scala 487:25] + wire _T_3888 = _T_3873 | _T_3887; // @[el2_lsu_bus_buffer.scala 486:105] wire _GEN_194 = _T_3827 & _T_3888; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3793 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3789 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_3914 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3924 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 500:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3926 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3927 = _T_3924[0] & _T_3926; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_3928 = _T_3884 | _T_3927; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_3929 = bus_rsp_read & _T_3928; // @[el2_lsu_bus_buffer.scala 499:45] + wire [3:0] _T_3924 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 501:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 501:58] + wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3926 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_3927 = _T_3924[0] & _T_3926; // @[el2_lsu_bus_buffer.scala 501:38] + wire _T_3928 = _T_3884 | _T_3927; // @[el2_lsu_bus_buffer.scala 500:95] + wire _T_3929 = bus_rsp_read & _T_3928; // @[el2_lsu_bus_buffer.scala 500:45] wire _GEN_188 = _T_3914 & _T_3929; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3827 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3793 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3789 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3766 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3806 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_3807 = _T_3806 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3806 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] + wire _T_3807 = _T_3806 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] wire _T_3932 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3935 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_3936 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_3937 = buf_dual_2 & _T_3936; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_3938 = _T_3935 | _T_3937; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_3939 = _T_3938 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3935 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 506:37] + wire _T_3936 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] + wire _T_3937 = buf_dual_2 & _T_3936; // @[el2_lsu_bus_buffer.scala 506:80] + wire _T_3938 = _T_3935 | _T_3937; // @[el2_lsu_bus_buffer.scala 506:65] + wire _T_3939 = _T_3938 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] wire _T_3940 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_3932 ? _T_3939 : _T_3940; // @[Conditional.scala 39:67] wire _GEN_189 = _T_3914 ? _T_3807 : _GEN_183; // @[Conditional.scala 39:67] @@ -1716,89 +1715,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3793 ? _T_3807 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3789 ? _T_3406 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3766 ? _T_3782 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2201 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2211 = _T_2005 & _T_1804; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2213 = _T_2211 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2214 = _T_2002 | _T_2213; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2218 = _T_2012 & _T_1807; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2220 = _T_2218 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2221 = _T_2214 | _T_2220; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2222 = _T_2201 & _T_2221; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2224 = _T_2222 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2238 = _T_2211 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2239 = _T_2027 | _T_2238; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2245 = _T_2218 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2246 = _T_2239 | _T_2245; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2247 = _T_2201 & _T_2246; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2249 = _T_2247 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2263 = _T_2211 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2264 = _T_2052 | _T_2263; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2270 = _T_2218 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2271 = _T_2264 | _T_2270; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2272 = _T_2201 & _T_2271; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2274 = _T_2272 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2288 = _T_2211 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2289 = _T_2077 | _T_2288; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2295 = _T_2218 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2296 = _T_2289 | _T_2295; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2297 = _T_2201 & _T_2296; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2299 = _T_2297 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2201 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 424:94] + wire _T_2211 = _T_2005 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:71] + wire _T_2213 = _T_2211 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2214 = _T_2002 | _T_2213; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2218 = _T_2012 & _T_1807; // @[el2_lsu_bus_buffer.scala 427:52] + wire _T_2220 = _T_2218 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2221 = _T_2214 | _T_2220; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2222 = _T_2201 & _T_2221; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2224 = _T_2222 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2238 = _T_2211 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2239 = _T_2027 | _T_2238; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2245 = _T_2218 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2246 = _T_2239 | _T_2245; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2247 = _T_2201 & _T_2246; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2249 = _T_2247 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2263 = _T_2211 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2264 = _T_2052 | _T_2263; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2270 = _T_2218 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2271 = _T_2264 | _T_2270; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2272 = _T_2201 & _T_2271; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2274 = _T_2272 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2288 = _T_2211 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2289 = _T_2077 | _T_2288; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2295 = _T_2218 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2296 = _T_2289 | _T_2295; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2297 = _T_2201 & _T_2296; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2299 = _T_2297 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 427:97] wire [2:0] _T_2301 = {_T_2299,_T_2274,_T_2249}; // @[Cat.scala 29:58] - wire _T_3966 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3967 = _T_3386 & _T_3966; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3969 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3970 = _T_3389 & _T_3969; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3971 = _T_3967 | _T_3970; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3972 = _T_766 & _T_3971; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3973 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3974 = ibuf_drain_vld & _T_3973; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3975 = _T_3972 | _T_3974; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3966 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 461:117] + wire _T_3967 = _T_3386 & _T_3966; // @[el2_lsu_bus_buffer.scala 461:112] + wire _T_3969 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 461:166] + wire _T_3970 = _T_3389 & _T_3969; // @[el2_lsu_bus_buffer.scala 461:161] + wire _T_3971 = _T_3967 | _T_3970; // @[el2_lsu_bus_buffer.scala 461:132] + wire _T_3972 = _T_766 & _T_3971; // @[el2_lsu_bus_buffer.scala 461:63] + wire _T_3973 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 461:206] + wire _T_3974 = ibuf_drain_vld & _T_3973; // @[el2_lsu_bus_buffer.scala 461:201] + wire _T_3975 = _T_3972 | _T_3974; // @[el2_lsu_bus_buffer.scala 461:183] wire _T_4020 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4065 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_4066 = bus_rsp_write & _T_4065; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_4067 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 486:46] - wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_4069 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_4070 = buf_ldfwd[3] & _T_4069; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_4071 = _T_4067 | _T_4070; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_4072 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_4074 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 488:44] - wire _T_4075 = _T_4072 & _T_4074; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_4076 = _T_4075 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 488:58] + wire _T_4065 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 486:73] + wire _T_4066 = bus_rsp_write & _T_4065; // @[el2_lsu_bus_buffer.scala 486:52] + wire _T_4067 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 487:46] + wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_4069 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 488:47] + wire _T_4070 = buf_ldfwd[3] & _T_4069; // @[el2_lsu_bus_buffer.scala 488:27] + wire _T_4071 = _T_4067 | _T_4070; // @[el2_lsu_bus_buffer.scala 487:77] + wire _T_4072 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 489:26] + wire _T_4074 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 489:44] + wire _T_4075 = _T_4072 & _T_4074; // @[el2_lsu_bus_buffer.scala 489:42] + wire _T_4076 = _T_4075 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 489:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_4077 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_4078 = _T_4076 & _T_4077; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_4079 = _T_4071 | _T_4078; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_4080 = bus_rsp_read & _T_4079; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_4081 = _T_4066 | _T_4080; // @[el2_lsu_bus_buffer.scala 485:105] + wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_4077 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 489:94] + wire _T_4078 = _T_4076 & _T_4077; // @[el2_lsu_bus_buffer.scala 489:74] + wire _T_4079 = _T_4071 | _T_4078; // @[el2_lsu_bus_buffer.scala 488:71] + wire _T_4080 = bus_rsp_read & _T_4079; // @[el2_lsu_bus_buffer.scala 487:25] + wire _T_4081 = _T_4066 | _T_4080; // @[el2_lsu_bus_buffer.scala 486:105] wire _GEN_270 = _T_4020 & _T_4081; // @[Conditional.scala 39:67] wire _GEN_289 = _T_3986 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_3982 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4107 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4117 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 500:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 500:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_4119 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_4120 = _T_4117[0] & _T_4119; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_4121 = _T_4077 | _T_4120; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_4122 = bus_rsp_read & _T_4121; // @[el2_lsu_bus_buffer.scala 499:45] + wire [3:0] _T_4117 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 501:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 501:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 501:58] + wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_4119 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 501:58] + wire _T_4120 = _T_4117[0] & _T_4119; // @[el2_lsu_bus_buffer.scala 501:38] + wire _T_4121 = _T_4077 | _T_4120; // @[el2_lsu_bus_buffer.scala 500:95] + wire _T_4122 = bus_rsp_read & _T_4121; // @[el2_lsu_bus_buffer.scala 500:45] wire _GEN_264 = _T_4107 & _T_4122; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4020 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_3986 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_3982 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_3959 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_3999 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_4000 = _T_3999 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3999 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 474:49] + wire _T_4000 = _T_3999 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:70] wire _T_4125 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4128 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_4129 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_4130 = buf_dual_3 & _T_4129; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_4131 = _T_4128 | _T_4130; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_4132 = _T_4131 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_4128 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 506:37] + wire _T_4129 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 506:98] + wire _T_4130 = buf_dual_3 & _T_4129; // @[el2_lsu_bus_buffer.scala 506:80] + wire _T_4131 = _T_4128 | _T_4130; // @[el2_lsu_bus_buffer.scala 506:65] + wire _T_4132 = _T_4131 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:112] wire _T_4133 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4125 ? _T_4132 : _T_4133; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4107 ? _T_4000 : _GEN_259; // @[Conditional.scala 39:67] @@ -1806,239 +1805,239 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_3986 ? _T_4000 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_3982 ? _T_3406 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_3959 ? _T_3975 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2303 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2313 = _T_2005 & _T_1815; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2315 = _T_2313 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2316 = _T_2002 | _T_2315; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2320 = _T_2012 & _T_1818; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2322 = _T_2320 & _T_1782; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2323 = _T_2316 | _T_2322; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2324 = _T_2303 & _T_2323; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2326 = _T_2324 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2340 = _T_2313 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2341 = _T_2027 | _T_2340; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2347 = _T_2320 & _T_1793; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2348 = _T_2341 | _T_2347; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2349 = _T_2303 & _T_2348; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2351 = _T_2349 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2365 = _T_2313 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2366 = _T_2052 | _T_2365; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2372 = _T_2320 & _T_1804; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2373 = _T_2366 | _T_2372; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2374 = _T_2303 & _T_2373; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2376 = _T_2374 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2390 = _T_2313 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2391 = _T_2077 | _T_2390; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2397 = _T_2320 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2398 = _T_2391 | _T_2397; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2399 = _T_2303 & _T_2398; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2401 = _T_2399 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2303 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 424:94] + wire _T_2313 = _T_2005 & _T_1815; // @[el2_lsu_bus_buffer.scala 426:71] + wire _T_2315 = _T_2313 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2316 = _T_2002 | _T_2315; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2320 = _T_2012 & _T_1818; // @[el2_lsu_bus_buffer.scala 427:52] + wire _T_2322 = _T_2320 & _T_1782; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2323 = _T_2316 | _T_2322; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2324 = _T_2303 & _T_2323; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2326 = _T_2324 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2340 = _T_2313 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2341 = _T_2027 | _T_2340; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2347 = _T_2320 & _T_1793; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2348 = _T_2341 | _T_2347; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2349 = _T_2303 & _T_2348; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2351 = _T_2349 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2365 = _T_2313 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2366 = _T_2052 | _T_2365; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2372 = _T_2320 & _T_1804; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2373 = _T_2366 | _T_2372; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2374 = _T_2303 & _T_2373; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2376 = _T_2374 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 427:97] + wire _T_2390 = _T_2313 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:92] + wire _T_2391 = _T_2077 | _T_2390; // @[el2_lsu_bus_buffer.scala 425:86] + wire _T_2397 = _T_2320 & _T_1815; // @[el2_lsu_bus_buffer.scala 427:73] + wire _T_2398 = _T_2391 | _T_2397; // @[el2_lsu_bus_buffer.scala 426:114] + wire _T_2399 = _T_2303 & _T_2398; // @[el2_lsu_bus_buffer.scala 424:113] + wire _T_2401 = _T_2399 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 427:97] wire [2:0] _T_2403 = {_T_2401,_T_2376,_T_2351}; // @[Cat.scala 29:58] - wire _T_2651 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2652 = _T_1779 | _T_2651; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2653 = ~_T_2652; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2661 = _T_2653 | _T_2009; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2668 = _T_2661 | _T_2016; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2669 = _T_1997 & _T_2668; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2673 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2674 = _T_1790 | _T_2673; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2675 = ~_T_2674; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2683 = _T_2675 | _T_2034; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2690 = _T_2683 | _T_2041; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2691 = _T_1997 & _T_2690; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2695 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2696 = _T_1801 | _T_2695; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2697 = ~_T_2696; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2705 = _T_2697 | _T_2059; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2712 = _T_2705 | _T_2066; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2713 = _T_1997 & _T_2712; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2717 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2718 = _T_1812 | _T_2717; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2719 = ~_T_2718; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2727 = _T_2719 | _T_2084; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2734 = _T_2727 | _T_2091; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2735 = _T_1997 & _T_2734; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2651 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] + wire _T_2652 = _T_1779 | _T_2651; // @[el2_lsu_bus_buffer.scala 435:34] + wire _T_2653 = ~_T_2652; // @[el2_lsu_bus_buffer.scala 435:8] + wire _T_2661 = _T_2653 | _T_2009; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2668 = _T_2661 | _T_2016; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2669 = _T_1997 & _T_2668; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2673 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] + wire _T_2674 = _T_1790 | _T_2673; // @[el2_lsu_bus_buffer.scala 435:34] + wire _T_2675 = ~_T_2674; // @[el2_lsu_bus_buffer.scala 435:8] + wire _T_2683 = _T_2675 | _T_2034; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2690 = _T_2683 | _T_2041; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2691 = _T_1997 & _T_2690; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2695 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] + wire _T_2696 = _T_1801 | _T_2695; // @[el2_lsu_bus_buffer.scala 435:34] + wire _T_2697 = ~_T_2696; // @[el2_lsu_bus_buffer.scala 435:8] + wire _T_2705 = _T_2697 | _T_2059; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2712 = _T_2705 | _T_2066; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2713 = _T_1997 & _T_2712; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2717 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 435:49] + wire _T_2718 = _T_1812 | _T_2717; // @[el2_lsu_bus_buffer.scala 435:34] + wire _T_2719 = ~_T_2718; // @[el2_lsu_bus_buffer.scala 435:8] + wire _T_2727 = _T_2719 | _T_2084; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2734 = _T_2727 | _T_2091; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2735 = _T_1997 & _T_2734; // @[el2_lsu_bus_buffer.scala 434:114] wire [3:0] buf_rspage_set_0 = {_T_2735,_T_2713,_T_2691,_T_2669}; // @[Cat.scala 29:58] - wire _T_2752 = _T_2653 | _T_2111; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2759 = _T_2752 | _T_2118; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2760 = _T_2099 & _T_2759; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2774 = _T_2675 | _T_2136; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2781 = _T_2774 | _T_2143; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2782 = _T_2099 & _T_2781; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2796 = _T_2697 | _T_2161; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2803 = _T_2796 | _T_2168; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2804 = _T_2099 & _T_2803; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2818 = _T_2719 | _T_2186; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2825 = _T_2818 | _T_2193; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2826 = _T_2099 & _T_2825; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2752 = _T_2653 | _T_2111; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2759 = _T_2752 | _T_2118; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2760 = _T_2099 & _T_2759; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2774 = _T_2675 | _T_2136; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2781 = _T_2774 | _T_2143; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2782 = _T_2099 & _T_2781; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2796 = _T_2697 | _T_2161; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2803 = _T_2796 | _T_2168; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2804 = _T_2099 & _T_2803; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2818 = _T_2719 | _T_2186; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2825 = _T_2818 | _T_2193; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2826 = _T_2099 & _T_2825; // @[el2_lsu_bus_buffer.scala 434:114] wire [3:0] buf_rspage_set_1 = {_T_2826,_T_2804,_T_2782,_T_2760}; // @[Cat.scala 29:58] - wire _T_2843 = _T_2653 | _T_2213; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2850 = _T_2843 | _T_2220; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2851 = _T_2201 & _T_2850; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2865 = _T_2675 | _T_2238; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2872 = _T_2865 | _T_2245; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2873 = _T_2201 & _T_2872; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2887 = _T_2697 | _T_2263; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2894 = _T_2887 | _T_2270; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2895 = _T_2201 & _T_2894; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2909 = _T_2719 | _T_2288; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2916 = _T_2909 | _T_2295; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2917 = _T_2201 & _T_2916; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2843 = _T_2653 | _T_2213; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2850 = _T_2843 | _T_2220; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2851 = _T_2201 & _T_2850; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2865 = _T_2675 | _T_2238; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2872 = _T_2865 | _T_2245; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2873 = _T_2201 & _T_2872; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2887 = _T_2697 | _T_2263; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2894 = _T_2887 | _T_2270; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2895 = _T_2201 & _T_2894; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2909 = _T_2719 | _T_2288; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2916 = _T_2909 | _T_2295; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2917 = _T_2201 & _T_2916; // @[el2_lsu_bus_buffer.scala 434:114] wire [3:0] buf_rspage_set_2 = {_T_2917,_T_2895,_T_2873,_T_2851}; // @[Cat.scala 29:58] - wire _T_2934 = _T_2653 | _T_2315; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2941 = _T_2934 | _T_2322; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2942 = _T_2303 & _T_2941; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2956 = _T_2675 | _T_2340; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2963 = _T_2956 | _T_2347; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2964 = _T_2303 & _T_2963; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2978 = _T_2697 | _T_2365; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2985 = _T_2978 | _T_2372; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2986 = _T_2303 & _T_2985; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_3000 = _T_2719 | _T_2390; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_3007 = _T_3000 | _T_2397; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_3008 = _T_2303 & _T_3007; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2934 = _T_2653 | _T_2315; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2941 = _T_2934 | _T_2322; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2942 = _T_2303 & _T_2941; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2956 = _T_2675 | _T_2340; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2963 = _T_2956 | _T_2347; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2964 = _T_2303 & _T_2963; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2978 = _T_2697 | _T_2365; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_2985 = _T_2978 | _T_2372; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_2986 = _T_2303 & _T_2985; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_3000 = _T_2719 | _T_2390; // @[el2_lsu_bus_buffer.scala 435:61] + wire _T_3007 = _T_3000 | _T_2397; // @[el2_lsu_bus_buffer.scala 436:112] + wire _T_3008 = _T_2303 & _T_3007; // @[el2_lsu_bus_buffer.scala 434:114] wire [3:0] buf_rspage_set_3 = {_T_3008,_T_2986,_T_2964,_T_2942}; // @[Cat.scala 29:58] - wire _T_3093 = _T_2717 | _T_1812; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3094 = ~_T_3093; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3095 = buf_rspageQ_0[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3087 = _T_2695 | _T_1801; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3088 = ~_T_3087; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3089 = buf_rspageQ_0[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3081 = _T_2673 | _T_1790; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3082 = ~_T_3081; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3083 = buf_rspageQ_0[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3075 = _T_2651 | _T_1779; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3076 = ~_T_3075; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3077 = buf_rspageQ_0[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3093 = _T_2717 | _T_1812; // @[el2_lsu_bus_buffer.scala 439:112] + wire _T_3094 = ~_T_3093; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_3095 = buf_rspageQ_0[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3087 = _T_2695 | _T_1801; // @[el2_lsu_bus_buffer.scala 439:112] + wire _T_3088 = ~_T_3087; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_3089 = buf_rspageQ_0[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3081 = _T_2673 | _T_1790; // @[el2_lsu_bus_buffer.scala 439:112] + wire _T_3082 = ~_T_3081; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_3083 = buf_rspageQ_0[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3075 = _T_2651 | _T_1779; // @[el2_lsu_bus_buffer.scala 439:112] + wire _T_3076 = ~_T_3075; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_3077 = buf_rspageQ_0[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] wire [3:0] buf_rspage_0 = {_T_3095,_T_3089,_T_3083,_T_3077}; // @[Cat.scala 29:58] - wire _T_3014 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3017 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3020 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3023 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3014 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3017 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3020 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3023 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 438:90] wire [2:0] _T_3025 = {_T_3023,_T_3020,_T_3017}; // @[Cat.scala 29:58] - wire _T_3122 = buf_rspageQ_1[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3116 = buf_rspageQ_1[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3110 = buf_rspageQ_1[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3104 = buf_rspageQ_1[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3122 = buf_rspageQ_1[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3116 = buf_rspageQ_1[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3110 = buf_rspageQ_1[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3104 = buf_rspageQ_1[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] wire [3:0] buf_rspage_1 = {_T_3122,_T_3116,_T_3110,_T_3104}; // @[Cat.scala 29:58] - wire _T_3029 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3032 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3035 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3038 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3029 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3032 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3035 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3038 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 438:90] wire [2:0] _T_3040 = {_T_3038,_T_3035,_T_3032}; // @[Cat.scala 29:58] - wire _T_3149 = buf_rspageQ_2[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3143 = buf_rspageQ_2[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3137 = buf_rspageQ_2[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3131 = buf_rspageQ_2[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3149 = buf_rspageQ_2[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3143 = buf_rspageQ_2[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3137 = buf_rspageQ_2[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3131 = buf_rspageQ_2[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] wire [3:0] buf_rspage_2 = {_T_3149,_T_3143,_T_3137,_T_3131}; // @[Cat.scala 29:58] - wire _T_3044 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3047 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3050 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3053 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3044 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3047 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3050 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3053 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 438:90] wire [2:0] _T_3055 = {_T_3053,_T_3050,_T_3047}; // @[Cat.scala 29:58] - wire _T_3176 = buf_rspageQ_3[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3170 = buf_rspageQ_3[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3164 = buf_rspageQ_3[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3158 = buf_rspageQ_3[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3176 = buf_rspageQ_3[3] & _T_3094; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3170 = buf_rspageQ_3[2] & _T_3088; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3164 = buf_rspageQ_3[1] & _T_3082; // @[el2_lsu_bus_buffer.scala 439:84] + wire _T_3158 = buf_rspageQ_3[0] & _T_3076; // @[el2_lsu_bus_buffer.scala 439:84] wire [3:0] buf_rspage_3 = {_T_3176,_T_3170,_T_3164,_T_3158}; // @[Cat.scala 29:58] - wire _T_3059 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3062 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3065 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3068 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3059 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3062 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3065 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 438:90] + wire _T_3068 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 438:90] wire [2:0] _T_3070 = {_T_3068,_T_3065,_T_3062}; // @[Cat.scala 29:58] - wire _T_3181 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_3183 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_3185 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_3187 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 443:65] + wire _T_3181 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 444:65] + wire _T_3183 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 444:65] + wire _T_3185 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 444:65] + wire _T_3187 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 444:65] wire [3:0] ibuf_drainvec_vld = {_T_3187,_T_3185,_T_3183,_T_3181}; // @[Cat.scala 29:58] - wire _T_3195 = _T_3389 & _T_1785; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3198 = _T_3195 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3199 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3198; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3204 = _T_3389 & _T_1796; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3207 = _T_3204 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3208 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3207; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3213 = _T_3389 & _T_1807; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3216 = _T_3213 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3217 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3216; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3222 = _T_3389 & _T_1818; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3225 = _T_3222 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3226 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3225; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3252 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire _T_3254 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire _T_3256 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire _T_3258 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] + wire _T_3195 = _T_3389 & _T_1785; // @[el2_lsu_bus_buffer.scala 445:123] + wire [3:0] _T_3198 = _T_3195 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] + wire [3:0] _T_3199 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3198; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_3204 = _T_3389 & _T_1796; // @[el2_lsu_bus_buffer.scala 445:123] + wire [3:0] _T_3207 = _T_3204 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] + wire [3:0] _T_3208 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3207; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_3213 = _T_3389 & _T_1807; // @[el2_lsu_bus_buffer.scala 445:123] + wire [3:0] _T_3216 = _T_3213 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] + wire [3:0] _T_3217 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3216; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_3222 = _T_3389 & _T_1818; // @[el2_lsu_bus_buffer.scala 445:123] + wire [3:0] _T_3225 = _T_3222 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 445:96] + wire [3:0] _T_3226 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3225; // @[el2_lsu_bus_buffer.scala 445:48] + wire _T_3252 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] + wire _T_3254 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] + wire _T_3256 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] + wire _T_3258 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 447:47] wire [3:0] buf_dual_in = {_T_3258,_T_3256,_T_3254,_T_3252}; // @[Cat.scala 29:58] - wire _T_3263 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire _T_3265 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire _T_3267 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire _T_3269 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] + wire _T_3263 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] + wire _T_3265 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] + wire _T_3267 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] + wire _T_3269 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 448:49] wire [3:0] buf_samedw_in = {_T_3269,_T_3267,_T_3265,_T_3263}; // @[Cat.scala 29:58] - wire _T_3274 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3275 = ibuf_drainvec_vld[0] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire _T_3278 = ibuf_drainvec_vld[1] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire _T_3281 = ibuf_drainvec_vld[2] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire _T_3284 = ibuf_drainvec_vld[3] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] + wire _T_3274 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 449:86] + wire _T_3275 = ibuf_drainvec_vld[0] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] + wire _T_3278 = ibuf_drainvec_vld[1] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] + wire _T_3281 = ibuf_drainvec_vld[2] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] + wire _T_3284 = ibuf_drainvec_vld[3] ? _T_3274 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 449:50] wire [3:0] buf_nomerge_in = {_T_3284,_T_3281,_T_3278,_T_3275}; // @[Cat.scala 29:58] - wire _T_3292 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3195; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_3297 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3204; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_3302 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3213; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_3307 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3222; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_3292 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3195; // @[el2_lsu_bus_buffer.scala 450:49] + wire _T_3297 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3204; // @[el2_lsu_bus_buffer.scala 450:49] + wire _T_3302 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3213; // @[el2_lsu_bus_buffer.scala 450:49] + wire _T_3307 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3222; // @[el2_lsu_bus_buffer.scala 450:49] wire [3:0] buf_dualhi_in = {_T_3307,_T_3302,_T_3297,_T_3292}; // @[Cat.scala 29:58] - wire _T_3336 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire _T_3338 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire _T_3340 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire _T_3342 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] + wire _T_3336 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] + wire _T_3338 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] + wire _T_3340 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] + wire _T_3342 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 452:53] wire [3:0] buf_sideeffect_in = {_T_3342,_T_3340,_T_3338,_T_3336}; // @[Cat.scala 29:58] - wire _T_3347 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_3349 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_3351 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_3353 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_3347 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3349 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3351 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] + wire _T_3353 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 453:49] wire [3:0] buf_unsign_in = {_T_3353,_T_3351,_T_3349,_T_3347}; // @[Cat.scala 29:58] - wire _T_3370 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3372 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3374 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3376 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3370 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] + wire _T_3372 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] + wire _T_3374 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] + wire _T_3376 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 455:48] wire [3:0] buf_write_in = {_T_3376,_T_3374,_T_3372,_T_3370}; // @[Cat.scala 29:58] - wire [31:0] _T_3402 = _T_3395 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_3409 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 470:89] - wire _T_3411 = _T_3409 & _T_1277; // @[el2_lsu_bus_buffer.scala 470:104] - wire _T_3424 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_3425 = _T_3424 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_3427 = _T_3425 & _T_1259; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_3430 = _T_3420 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_3431 = _T_3430 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_4728 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 579:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4728; // @[el2_lsu_bus_buffer.scala 579:38] - wire _T_3434 = _T_3430 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_3439 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_3509 = bus_rsp_read_error & _T_3488; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_3511 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3513 = _T_3511 & _T_3490; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_3514 = _T_3509 | _T_3513; // @[el2_lsu_bus_buffer.scala 492:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4728; // @[el2_lsu_bus_buffer.scala 578:40] - wire _T_3517 = bus_rsp_write_error & _T_3486; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3518 = _T_3514 | _T_3517; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_3519 = _T_3420 & _T_3518; // @[el2_lsu_bus_buffer.scala 492:68] + wire [31:0] _T_3402 = _T_3395 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] + wire _T_3409 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 471:89] + wire _T_3411 = _T_3409 & _T_1277; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3424 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 476:44] + wire _T_3425 = _T_3424 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] + wire _T_3427 = _T_3425 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] + wire _T_3430 = _T_3420 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] + wire _T_3431 = _T_3430 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] + wire _T_4728 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 580:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4728; // @[el2_lsu_bus_buffer.scala 580:38] + wire _T_3434 = _T_3430 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] + wire [31:0] _T_3439 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] + wire _T_3509 = bus_rsp_read_error & _T_3488; // @[el2_lsu_bus_buffer.scala 493:91] + wire _T_3511 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_3513 = _T_3511 & _T_3490; // @[el2_lsu_bus_buffer.scala 494:46] + wire _T_3514 = _T_3509 | _T_3513; // @[el2_lsu_bus_buffer.scala 493:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4728; // @[el2_lsu_bus_buffer.scala 579:40] + wire _T_3517 = bus_rsp_write_error & _T_3486; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3518 = _T_3514 | _T_3517; // @[el2_lsu_bus_buffer.scala 494:88] + wire _T_3519 = _T_3420 & _T_3518; // @[el2_lsu_bus_buffer.scala 493:68] wire _GEN_46 = _T_3441 & _T_3519; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3407 ? _T_3434 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3403 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3380 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3440 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3439; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_3444 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 482:73] - wire _T_3445 = buf_write[0] & _T_3444; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_3446 = io_dec_tlu_force_halt | _T_3445; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_3448 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3449 = buf_dual_0 & _T_3448; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_3452 = _T_3449 & _T_1130; // @[el2_lsu_bus_buffer.scala 483:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3453 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3454 = _T_3452 & _T_3453; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_4354 = _T_2598 | _T_2595; // @[el2_lsu_bus_buffer.scala 540:93] - wire _T_4355 = _T_4354 | _T_2592; // @[el2_lsu_bus_buffer.scala 540:93] - wire any_done_wait_state = _T_4355 | _T_2589; // @[el2_lsu_bus_buffer.scala 540:93] - wire _T_3456 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire [31:0] _T_3440 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3439; // @[el2_lsu_bus_buffer.scala 480:30] + wire _T_3444 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 483:73] + wire _T_3445 = buf_write[0] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] + wire _T_3446 = io_dec_tlu_force_halt | _T_3445; // @[el2_lsu_bus_buffer.scala 483:55] + wire _T_3448 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 484:30] + wire _T_3449 = buf_dual_0 & _T_3448; // @[el2_lsu_bus_buffer.scala 484:28] + wire _T_3452 = _T_3449 & _T_1130; // @[el2_lsu_bus_buffer.scala 484:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3453 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3454 = _T_3452 & _T_3453; // @[el2_lsu_bus_buffer.scala 484:61] + wire _T_4354 = _T_2598 | _T_2595; // @[el2_lsu_bus_buffer.scala 541:93] + wire _T_4355 = _T_4354 | _T_2592; // @[el2_lsu_bus_buffer.scala 541:93] + wire any_done_wait_state = _T_4355 | _T_2589; // @[el2_lsu_bus_buffer.scala 541:93] + wire _T_3456 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] wire _T_3462 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3464 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3466 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2050,18 +2049,18 @@ module el2_lsu_bus_buffer( wire _T_3474 = _T_3470 | _T_3471; // @[Mux.scala 27:72] wire _T_3475 = _T_3474 | _T_3472; // @[Mux.scala 27:72] wire _T_3476 = _T_3475 | _T_3473; // @[Mux.scala 27:72] - wire _T_3478 = _T_3452 & _T_3476; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_3479 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_3480 = _T_3478 & _T_3479; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_3481 = _T_3480 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_3482 = _T_3456 | _T_3481; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3505 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3506 = _T_3505 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_3520 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_3521 = buf_state_en_0 & _T_3520; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_3527 = _T_3521 ? _T_3439 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_3533 = buf_ldfwd[0] | _T_3538[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3534 = _T_3533 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _T_3478 = _T_3452 & _T_3476; // @[el2_lsu_bus_buffer.scala 485:101] + wire _T_3479 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] + wire _T_3480 = _T_3478 & _T_3479; // @[el2_lsu_bus_buffer.scala 485:138] + wire _T_3481 = _T_3480 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] + wire _T_3482 = _T_3456 | _T_3481; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_3505 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] + wire _T_3506 = _T_3505 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] + wire _T_3520 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 496:50] + wire _T_3521 = buf_state_en_0 & _T_3520; // @[el2_lsu_bus_buffer.scala 496:48] + wire [31:0] _T_3527 = _T_3521 ? _T_3439 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] + wire _T_3533 = buf_ldfwd[0] | _T_3538[0]; // @[el2_lsu_bus_buffer.scala 499:90] + wire _T_3534 = _T_3533 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] wire _GEN_29 = _T_3554 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3546 ? 1'h0 : _T_3554; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3546 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2083,37 +2082,37 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_79 = _T_3380 ? _T_3402 : _GEN_72; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3380 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3380 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3595 = _T_3588 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_3617 = buf_state_en_1 & _T_3688; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_3618 = _T_3617 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_3620 = _T_3618 & _T_1259; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_3623 = _T_3613 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_3624 = _T_3623 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_3627 = _T_3623 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_3632 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_3702 = bus_rsp_read_error & _T_3681; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_3704 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3706 = _T_3704 & _T_3683; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_3707 = _T_3702 | _T_3706; // @[el2_lsu_bus_buffer.scala 492:143] - wire _T_3710 = bus_rsp_write_error & _T_3679; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3711 = _T_3707 | _T_3710; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_3712 = _T_3613 & _T_3711; // @[el2_lsu_bus_buffer.scala 492:68] + wire [31:0] _T_3595 = _T_3588 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] + wire _T_3617 = buf_state_en_1 & _T_3688; // @[el2_lsu_bus_buffer.scala 476:44] + wire _T_3618 = _T_3617 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] + wire _T_3620 = _T_3618 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] + wire _T_3623 = _T_3613 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] + wire _T_3624 = _T_3623 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] + wire _T_3627 = _T_3623 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] + wire [31:0] _T_3632 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] + wire _T_3702 = bus_rsp_read_error & _T_3681; // @[el2_lsu_bus_buffer.scala 493:91] + wire _T_3704 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_3706 = _T_3704 & _T_3683; // @[el2_lsu_bus_buffer.scala 494:46] + wire _T_3707 = _T_3702 | _T_3706; // @[el2_lsu_bus_buffer.scala 493:143] + wire _T_3710 = bus_rsp_write_error & _T_3679; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3711 = _T_3707 | _T_3710; // @[el2_lsu_bus_buffer.scala 494:88] + wire _T_3712 = _T_3613 & _T_3711; // @[el2_lsu_bus_buffer.scala 493:68] wire _GEN_122 = _T_3634 & _T_3712; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3600 ? _T_3627 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3596 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3573 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3633 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3632; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_3638 = buf_write[1] & _T_3444; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_3639 = io_dec_tlu_force_halt | _T_3638; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_3641 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3642 = buf_dual_1 & _T_3641; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_3645 = _T_3642 & _T_3688; // @[el2_lsu_bus_buffer.scala 483:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3646 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3647 = _T_3645 & _T_3646; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_3649 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire [31:0] _T_3633 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3632; // @[el2_lsu_bus_buffer.scala 480:30] + wire _T_3638 = buf_write[1] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] + wire _T_3639 = io_dec_tlu_force_halt | _T_3638; // @[el2_lsu_bus_buffer.scala 483:55] + wire _T_3641 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 484:30] + wire _T_3642 = buf_dual_1 & _T_3641; // @[el2_lsu_bus_buffer.scala 484:28] + wire _T_3645 = _T_3642 & _T_3688; // @[el2_lsu_bus_buffer.scala 484:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3646 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3647 = _T_3645 & _T_3646; // @[el2_lsu_bus_buffer.scala 484:61] + wire _T_3649 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] wire _T_3655 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3657 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3659 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2125,18 +2124,18 @@ module el2_lsu_bus_buffer( wire _T_3667 = _T_3663 | _T_3664; // @[Mux.scala 27:72] wire _T_3668 = _T_3667 | _T_3665; // @[Mux.scala 27:72] wire _T_3669 = _T_3668 | _T_3666; // @[Mux.scala 27:72] - wire _T_3671 = _T_3645 & _T_3669; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_3672 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_3673 = _T_3671 & _T_3672; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_3674 = _T_3673 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_3675 = _T_3649 | _T_3674; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3698 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3699 = _T_3698 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_3713 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_3714 = buf_state_en_1 & _T_3713; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_3720 = _T_3714 ? _T_3632 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_3726 = buf_ldfwd[1] | _T_3731[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3727 = _T_3726 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _T_3671 = _T_3645 & _T_3669; // @[el2_lsu_bus_buffer.scala 485:101] + wire _T_3672 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] + wire _T_3673 = _T_3671 & _T_3672; // @[el2_lsu_bus_buffer.scala 485:138] + wire _T_3674 = _T_3673 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] + wire _T_3675 = _T_3649 | _T_3674; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_3698 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] + wire _T_3699 = _T_3698 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] + wire _T_3713 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 496:50] + wire _T_3714 = buf_state_en_1 & _T_3713; // @[el2_lsu_bus_buffer.scala 496:48] + wire [31:0] _T_3720 = _T_3714 ? _T_3632 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] + wire _T_3726 = buf_ldfwd[1] | _T_3731[0]; // @[el2_lsu_bus_buffer.scala 499:90] + wire _T_3727 = _T_3726 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] wire _GEN_105 = _T_3747 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3739 ? 1'h0 : _T_3747; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3739 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2158,37 +2157,37 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_155 = _T_3573 ? _T_3595 : _GEN_148; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3573 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3573 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3788 = _T_3781 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_3810 = buf_state_en_2 & _T_3881; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_3811 = _T_3810 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_3813 = _T_3811 & _T_1259; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_3816 = _T_3806 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_3817 = _T_3816 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_3820 = _T_3816 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_3825 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_3895 = bus_rsp_read_error & _T_3874; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_3897 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3899 = _T_3897 & _T_3876; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_3900 = _T_3895 | _T_3899; // @[el2_lsu_bus_buffer.scala 492:143] - wire _T_3903 = bus_rsp_write_error & _T_3872; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3904 = _T_3900 | _T_3903; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_3905 = _T_3806 & _T_3904; // @[el2_lsu_bus_buffer.scala 492:68] + wire [31:0] _T_3788 = _T_3781 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] + wire _T_3810 = buf_state_en_2 & _T_3881; // @[el2_lsu_bus_buffer.scala 476:44] + wire _T_3811 = _T_3810 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] + wire _T_3813 = _T_3811 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] + wire _T_3816 = _T_3806 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] + wire _T_3817 = _T_3816 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] + wire _T_3820 = _T_3816 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] + wire [31:0] _T_3825 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] + wire _T_3895 = bus_rsp_read_error & _T_3874; // @[el2_lsu_bus_buffer.scala 493:91] + wire _T_3897 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_3899 = _T_3897 & _T_3876; // @[el2_lsu_bus_buffer.scala 494:46] + wire _T_3900 = _T_3895 | _T_3899; // @[el2_lsu_bus_buffer.scala 493:143] + wire _T_3903 = bus_rsp_write_error & _T_3872; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_3904 = _T_3900 | _T_3903; // @[el2_lsu_bus_buffer.scala 494:88] + wire _T_3905 = _T_3806 & _T_3904; // @[el2_lsu_bus_buffer.scala 493:68] wire _GEN_198 = _T_3827 & _T_3905; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3793 ? _T_3820 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3789 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3766 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3826 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3825; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_3831 = buf_write[2] & _T_3444; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_3832 = io_dec_tlu_force_halt | _T_3831; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_3834 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3835 = buf_dual_2 & _T_3834; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_3838 = _T_3835 & _T_3881; // @[el2_lsu_bus_buffer.scala 483:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3839 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3840 = _T_3838 & _T_3839; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_3842 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire [31:0] _T_3826 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3825; // @[el2_lsu_bus_buffer.scala 480:30] + wire _T_3831 = buf_write[2] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] + wire _T_3832 = io_dec_tlu_force_halt | _T_3831; // @[el2_lsu_bus_buffer.scala 483:55] + wire _T_3834 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 484:30] + wire _T_3835 = buf_dual_2 & _T_3834; // @[el2_lsu_bus_buffer.scala 484:28] + wire _T_3838 = _T_3835 & _T_3881; // @[el2_lsu_bus_buffer.scala 484:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3839 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_3840 = _T_3838 & _T_3839; // @[el2_lsu_bus_buffer.scala 484:61] + wire _T_3842 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] wire _T_3848 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3850 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_3852 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2200,18 +2199,18 @@ module el2_lsu_bus_buffer( wire _T_3860 = _T_3856 | _T_3857; // @[Mux.scala 27:72] wire _T_3861 = _T_3860 | _T_3858; // @[Mux.scala 27:72] wire _T_3862 = _T_3861 | _T_3859; // @[Mux.scala 27:72] - wire _T_3864 = _T_3838 & _T_3862; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_3865 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_3866 = _T_3864 & _T_3865; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_3867 = _T_3866 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_3868 = _T_3842 | _T_3867; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3891 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3892 = _T_3891 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_3906 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_3907 = buf_state_en_2 & _T_3906; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_3913 = _T_3907 ? _T_3825 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_3919 = buf_ldfwd[2] | _T_3924[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3920 = _T_3919 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _T_3864 = _T_3838 & _T_3862; // @[el2_lsu_bus_buffer.scala 485:101] + wire _T_3865 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] + wire _T_3866 = _T_3864 & _T_3865; // @[el2_lsu_bus_buffer.scala 485:138] + wire _T_3867 = _T_3866 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] + wire _T_3868 = _T_3842 | _T_3867; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_3891 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] + wire _T_3892 = _T_3891 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] + wire _T_3906 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 496:50] + wire _T_3907 = buf_state_en_2 & _T_3906; // @[el2_lsu_bus_buffer.scala 496:48] + wire [31:0] _T_3913 = _T_3907 ? _T_3825 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] + wire _T_3919 = buf_ldfwd[2] | _T_3924[0]; // @[el2_lsu_bus_buffer.scala 499:90] + wire _T_3920 = _T_3919 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] wire _GEN_181 = _T_3940 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_3932 ? 1'h0 : _T_3940; // @[Conditional.scala 39:67] wire _GEN_186 = _T_3932 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2233,37 +2232,37 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_231 = _T_3766 ? _T_3788 : _GEN_224; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3766 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3766 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_3981 = _T_3974 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_4003 = buf_state_en_3 & _T_4074; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_4004 = _T_4003 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_4006 = _T_4004 & _T_1259; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_4009 = _T_3999 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_4010 = _T_4009 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_4013 = _T_4009 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_4018 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_4088 = bus_rsp_read_error & _T_4067; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_4090 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_4092 = _T_4090 & _T_4069; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_4093 = _T_4088 | _T_4092; // @[el2_lsu_bus_buffer.scala 492:143] - wire _T_4096 = bus_rsp_write_error & _T_4065; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_4097 = _T_4093 | _T_4096; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_4098 = _T_3999 & _T_4097; // @[el2_lsu_bus_buffer.scala 492:68] + wire [31:0] _T_3981 = _T_3974 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 464:30] + wire _T_4003 = buf_state_en_3 & _T_4074; // @[el2_lsu_bus_buffer.scala 476:44] + wire _T_4004 = _T_4003 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 476:60] + wire _T_4006 = _T_4004 & _T_1259; // @[el2_lsu_bus_buffer.scala 476:74] + wire _T_4009 = _T_3999 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 478:67] + wire _T_4010 = _T_4009 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 478:81] + wire _T_4013 = _T_4009 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 479:82] + wire [31:0] _T_4018 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 480:73] + wire _T_4088 = bus_rsp_read_error & _T_4067; // @[el2_lsu_bus_buffer.scala 493:91] + wire _T_4090 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 494:31] + wire _T_4092 = _T_4090 & _T_4069; // @[el2_lsu_bus_buffer.scala 494:46] + wire _T_4093 = _T_4088 | _T_4092; // @[el2_lsu_bus_buffer.scala 493:143] + wire _T_4096 = bus_rsp_write_error & _T_4065; // @[el2_lsu_bus_buffer.scala 495:53] + wire _T_4097 = _T_4093 | _T_4096; // @[el2_lsu_bus_buffer.scala 494:88] + wire _T_4098 = _T_3999 & _T_4097; // @[el2_lsu_bus_buffer.scala 493:68] wire _GEN_274 = _T_4020 & _T_4098; // @[Conditional.scala 39:67] wire _GEN_287 = _T_3986 ? _T_4013 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_3982 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_3959 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4019 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4018; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_4024 = buf_write[3] & _T_3444; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_4025 = io_dec_tlu_force_halt | _T_4024; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_4027 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_4028 = buf_dual_3 & _T_4027; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_4031 = _T_4028 & _T_4074; // @[el2_lsu_bus_buffer.scala 483:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 483:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_4032 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_4033 = _T_4031 & _T_4032; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_4035 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire [31:0] _T_4019 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4018; // @[el2_lsu_bus_buffer.scala 480:30] + wire _T_4024 = buf_write[3] & _T_3444; // @[el2_lsu_bus_buffer.scala 483:71] + wire _T_4025 = io_dec_tlu_force_halt | _T_4024; // @[el2_lsu_bus_buffer.scala 483:55] + wire _T_4027 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 484:30] + wire _T_4028 = buf_dual_3 & _T_4027; // @[el2_lsu_bus_buffer.scala 484:28] + wire _T_4031 = _T_4028 & _T_4074; // @[el2_lsu_bus_buffer.scala 484:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 484:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_4032 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 484:90] + wire _T_4033 = _T_4031 & _T_4032; // @[el2_lsu_bus_buffer.scala 484:61] + wire _T_4035 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:31] wire _T_4041 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_4043 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] wire _T_4045 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] @@ -2275,18 +2274,18 @@ module el2_lsu_bus_buffer( wire _T_4053 = _T_4049 | _T_4050; // @[Mux.scala 27:72] wire _T_4054 = _T_4053 | _T_4051; // @[Mux.scala 27:72] wire _T_4055 = _T_4054 | _T_4052; // @[Mux.scala 27:72] - wire _T_4057 = _T_4031 & _T_4055; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_4058 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_4059 = _T_4057 & _T_4058; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_4060 = _T_4059 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_4061 = _T_4035 | _T_4060; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_4084 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_4085 = _T_4084 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_4099 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_4100 = buf_state_en_3 & _T_4099; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_4106 = _T_4100 ? _T_4018 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_4112 = buf_ldfwd[3] | _T_4117[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_4113 = _T_4112 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _T_4057 = _T_4031 & _T_4055; // @[el2_lsu_bus_buffer.scala 485:101] + wire _T_4058 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 485:167] + wire _T_4059 = _T_4057 & _T_4058; // @[el2_lsu_bus_buffer.scala 485:138] + wire _T_4060 = _T_4059 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 485:187] + wire _T_4061 = _T_4035 | _T_4060; // @[el2_lsu_bus_buffer.scala 485:53] + wire _T_4084 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:47] + wire _T_4085 = _T_4084 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 492:62] + wire _T_4099 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 496:50] + wire _T_4100 = buf_state_en_3 & _T_4099; // @[el2_lsu_bus_buffer.scala 496:48] + wire [31:0] _T_4106 = _T_4100 ? _T_4018 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 496:30] + wire _T_4112 = buf_ldfwd[3] | _T_4117[0]; // @[el2_lsu_bus_buffer.scala 499:90] + wire _T_4113 = _T_4112 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:118] wire _GEN_257 = _T_4133 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4125 ? 1'h0 : _T_4133; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4125 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2313,47 +2312,47 @@ module el2_lsu_bus_buffer( reg _T_4194; // @[Reg.scala 27:20] reg _T_4197; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4197,_T_4194,_T_4191,_T_4188}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3199[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - wire [2:0] buf_byteen_in_1 = _T_3208[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - wire [2:0] buf_byteen_in_2 = _T_3217[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - wire [2:0] buf_byteen_in_3 = _T_3226[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - reg _T_4263; // @[el2_lsu_bus_buffer.scala 534:82] - reg _T_4258; // @[el2_lsu_bus_buffer.scala 534:82] - reg _T_4253; // @[el2_lsu_bus_buffer.scala 534:82] - reg _T_4248; // @[el2_lsu_bus_buffer.scala 534:82] + wire [2:0] buf_byteen_in_0 = _T_3199[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] + wire [2:0] buf_byteen_in_1 = _T_3208[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] + wire [2:0] buf_byteen_in_2 = _T_3217[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] + wire [2:0] buf_byteen_in_3 = _T_3226[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 445:19] + reg _T_4263; // @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4258; // @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4253; // @[el2_lsu_bus_buffer.scala 535:82] + reg _T_4248; // @[el2_lsu_bus_buffer.scala 535:82] wire [3:0] buf_error = {_T_4263,_T_4258,_T_4253,_T_4248}; // @[Cat.scala 29:58] - wire _T_4245 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4246 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 534:128] - wire _T_4250 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4251 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 534:128] - wire _T_4255 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4256 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 534:128] - wire _T_4260 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4261 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 534:128] - wire [1:0] _T_4271 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 536:96] - wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 536:96] - wire [2:0] _T_4272 = _T_4271 + _GEN_407; // @[el2_lsu_bus_buffer.scala 536:96] - wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 536:96] - wire [3:0] buf_numvld_any = _T_4272 + _GEN_408; // @[el2_lsu_bus_buffer.scala 536:96] - wire _T_4358 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 542:52] - wire _T_4359 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 542:92] - wire _T_4360 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 542:119] - wire _T_4362 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4363 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4364 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4365 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4366 = _T_4362 | _T_4363; // @[el2_lsu_bus_buffer.scala 543:65] - wire _T_4367 = _T_4366 | _T_4364; // @[el2_lsu_bus_buffer.scala 543:65] - wire _T_4368 = _T_4367 | _T_4365; // @[el2_lsu_bus_buffer.scala 543:65] - wire _T_4369 = ~_T_4368; // @[el2_lsu_bus_buffer.scala 543:34] - wire _T_4371 = _T_4369 & _T_765; // @[el2_lsu_bus_buffer.scala 543:70] - wire _T_4374 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 545:51] - wire _T_4375 = _T_4374 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 545:72] - wire _T_4376 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 545:94] - wire _T_4377 = _T_4375 & _T_4376; // @[el2_lsu_bus_buffer.scala 545:92] - wire _T_4378 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 545:111] - wire _T_4380 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 548:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 632:66] + wire _T_4245 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 535:86] + wire _T_4246 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 535:128] + wire _T_4250 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 535:86] + wire _T_4251 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 535:128] + wire _T_4255 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 535:86] + wire _T_4256 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 535:128] + wire _T_4260 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 535:86] + wire _T_4261 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 535:128] + wire [1:0] _T_4271 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 537:96] + wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 537:96] + wire [2:0] _T_4272 = _T_4271 + _GEN_407; // @[el2_lsu_bus_buffer.scala 537:96] + wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 537:96] + wire [3:0] buf_numvld_any = _T_4272 + _GEN_408; // @[el2_lsu_bus_buffer.scala 537:96] + wire _T_4358 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4359 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 543:92] + wire _T_4360 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 543:119] + wire _T_4362 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 544:52] + wire _T_4363 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 544:52] + wire _T_4364 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 544:52] + wire _T_4365 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 544:52] + wire _T_4366 = _T_4362 | _T_4363; // @[el2_lsu_bus_buffer.scala 544:65] + wire _T_4367 = _T_4366 | _T_4364; // @[el2_lsu_bus_buffer.scala 544:65] + wire _T_4368 = _T_4367 | _T_4365; // @[el2_lsu_bus_buffer.scala 544:65] + wire _T_4369 = ~_T_4368; // @[el2_lsu_bus_buffer.scala 544:34] + wire _T_4371 = _T_4369 & _T_765; // @[el2_lsu_bus_buffer.scala 544:70] + wire _T_4374 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 546:51] + wire _T_4375 = _T_4374 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 546:72] + wire _T_4376 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 546:94] + wire _T_4377 = _T_4375 & _T_4376; // @[el2_lsu_bus_buffer.scala 546:92] + wire _T_4378 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 546:111] + wire _T_4380 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 549:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 633:66] wire _T_4398 = _T_2651 & _T_1130; // @[Mux.scala 27:72] wire _T_4399 = _T_2673 & _T_3688; // @[Mux.scala 27:72] wire _T_4400 = _T_2695 & _T_3881; // @[Mux.scala 27:72] @@ -2361,32 +2360,32 @@ module el2_lsu_bus_buffer( wire _T_4402 = _T_4398 | _T_4399; // @[Mux.scala 27:72] wire _T_4403 = _T_4402 | _T_4400; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4403 | _T_4401; // @[Mux.scala 27:72] - wire _T_4409 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4414 = buf_error[1] & _T_3688; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4419 = buf_error[2] & _T_3881; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4424 = buf_error[3] & _T_4074; // @[el2_lsu_bus_buffer.scala 551:108] + wire _T_4409 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 552:108] + wire _T_4414 = buf_error[1] & _T_3688; // @[el2_lsu_bus_buffer.scala 552:108] + wire _T_4419 = buf_error[2] & _T_3881; // @[el2_lsu_bus_buffer.scala 552:108] + wire _T_4424 = buf_error[3] & _T_4074; // @[el2_lsu_bus_buffer.scala 552:108] wire _T_4425 = _T_2651 & _T_4409; // @[Mux.scala 27:72] wire _T_4426 = _T_2673 & _T_4414; // @[Mux.scala 27:72] wire _T_4427 = _T_2695 & _T_4419; // @[Mux.scala 27:72] wire _T_4428 = _T_2717 & _T_4424; // @[Mux.scala 27:72] wire _T_4429 = _T_4425 | _T_4426; // @[Mux.scala 27:72] wire _T_4430 = _T_4429 | _T_4427; // @[Mux.scala 27:72] - wire _T_4437 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4438 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4439 = _T_4437 | _T_4438; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4440 = _T_4398 & _T_4439; // @[el2_lsu_bus_buffer.scala 552:106] - wire _T_4445 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4446 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4447 = _T_4445 | _T_4446; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4448 = _T_4399 & _T_4447; // @[el2_lsu_bus_buffer.scala 552:106] - wire _T_4453 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4454 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4455 = _T_4453 | _T_4454; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4456 = _T_4400 & _T_4455; // @[el2_lsu_bus_buffer.scala 552:106] - wire _T_4461 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4462 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4463 = _T_4461 | _T_4462; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4464 = _T_4401 & _T_4463; // @[el2_lsu_bus_buffer.scala 552:106] + wire _T_4437 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 553:109] + wire _T_4438 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 553:124] + wire _T_4439 = _T_4437 | _T_4438; // @[el2_lsu_bus_buffer.scala 553:122] + wire _T_4440 = _T_4398 & _T_4439; // @[el2_lsu_bus_buffer.scala 553:106] + wire _T_4445 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 553:109] + wire _T_4446 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 553:124] + wire _T_4447 = _T_4445 | _T_4446; // @[el2_lsu_bus_buffer.scala 553:122] + wire _T_4448 = _T_4399 & _T_4447; // @[el2_lsu_bus_buffer.scala 553:106] + wire _T_4453 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 553:109] + wire _T_4454 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 553:124] + wire _T_4455 = _T_4453 | _T_4454; // @[el2_lsu_bus_buffer.scala 553:122] + wire _T_4456 = _T_4400 & _T_4455; // @[el2_lsu_bus_buffer.scala 553:106] + wire _T_4461 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 553:109] + wire _T_4462 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 553:124] + wire _T_4463 = _T_4461 | _T_4462; // @[el2_lsu_bus_buffer.scala 553:122] + wire _T_4464 = _T_4401 & _T_4463; // @[el2_lsu_bus_buffer.scala 553:106] wire [1:0] _T_4467 = _T_4456 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4468 = _T_4464 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_409 = {{1'd0}, _T_4448}; // @[Mux.scala 27:72] @@ -2398,14 +2397,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4509 = _T_4505 | _T_4506; // @[Mux.scala 27:72] wire [31:0] _T_4510 = _T_4509 | _T_4507; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4510 | _T_4508; // @[Mux.scala 27:72] - wire _T_4516 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4517 = _T_4398 & _T_4516; // @[el2_lsu_bus_buffer.scala 554:105] - wire _T_4522 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4523 = _T_4399 & _T_4522; // @[el2_lsu_bus_buffer.scala 554:105] - wire _T_4528 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4529 = _T_4400 & _T_4528; // @[el2_lsu_bus_buffer.scala 554:105] - wire _T_4534 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4535 = _T_4401 & _T_4534; // @[el2_lsu_bus_buffer.scala 554:105] + wire _T_4516 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 555:120] + wire _T_4517 = _T_4398 & _T_4516; // @[el2_lsu_bus_buffer.scala 555:105] + wire _T_4522 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 555:120] + wire _T_4523 = _T_4399 & _T_4522; // @[el2_lsu_bus_buffer.scala 555:105] + wire _T_4528 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 555:120] + wire _T_4529 = _T_4400 & _T_4528; // @[el2_lsu_bus_buffer.scala 555:105] + wire _T_4534 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 555:120] + wire _T_4535 = _T_4401 & _T_4534; // @[el2_lsu_bus_buffer.scala 555:105] wire [31:0] _T_4536 = _T_4517 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4537 = _T_4523 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4538 = _T_4529 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2439,23 +2438,23 @@ module el2_lsu_bus_buffer( wire _T_4578 = _T_4577 | _T_4575; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4578 | _T_4576; // @[Mux.scala 27:72] wire [63:0] _T_4598 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [35:0] _T_4599 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 559:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4598 >> _T_4599; // @[el2_lsu_bus_buffer.scala 559:92] - wire _T_4600 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 560:69] - wire _T_4602 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 561:81] - wire _T_4603 = lsu_nonblock_unsign & _T_4602; // @[el2_lsu_bus_buffer.scala 561:63] + wire [35:0] _T_4599 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 560:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4598 >> _T_4599; // @[el2_lsu_bus_buffer.scala 560:92] + wire _T_4600 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 561:69] + wire _T_4602 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 562:81] + wire _T_4603 = lsu_nonblock_unsign & _T_4602; // @[el2_lsu_bus_buffer.scala 562:63] wire [31:0] _T_4605 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4606 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 562:45] - wire _T_4607 = lsu_nonblock_unsign & _T_4606; // @[el2_lsu_bus_buffer.scala 562:26] + wire _T_4606 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 563:45] + wire _T_4607 = lsu_nonblock_unsign & _T_4606; // @[el2_lsu_bus_buffer.scala 563:26] wire [31:0] _T_4609 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4610 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 563:6] - wire _T_4612 = _T_4610 & _T_4602; // @[el2_lsu_bus_buffer.scala 563:27] + wire _T_4610 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 564:6] + wire _T_4612 = _T_4610 & _T_4602; // @[el2_lsu_bus_buffer.scala 564:27] wire [23:0] _T_4615 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4617 = {_T_4615,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4620 = _T_4610 & _T_4606; // @[el2_lsu_bus_buffer.scala 564:27] + wire _T_4620 = _T_4610 & _T_4606; // @[el2_lsu_bus_buffer.scala 565:27] wire [15:0] _T_4623 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4625 = {_T_4623,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4626 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 565:21] + wire _T_4626 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 566:21] wire [31:0] _T_4627 = _T_4603 ? _T_4605 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4628 = _T_4607 ? _T_4609 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4629 = _T_4612 ? _T_4617 : 32'h0; // @[Mux.scala 27:72] @@ -2466,49 +2465,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4634 = _T_4633 | _T_4630; // @[Mux.scala 27:72] wire [63:0] _GEN_410 = {{32'd0}, _T_4634}; // @[Mux.scala 27:72] wire [63:0] _T_4635 = _GEN_410 | _T_4631; // @[Mux.scala 27:72] - wire _T_4730 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 583:36] - wire _T_4731 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 583:51] - wire _T_4732 = _T_4730 & _T_4731; // @[el2_lsu_bus_buffer.scala 583:49] + wire _T_4730 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 584:36] + wire _T_4731 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 584:51] + wire _T_4732 = _T_4730 & _T_4731; // @[el2_lsu_bus_buffer.scala 584:49] wire [31:0] _T_4736 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4738 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4743 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 595:50] - wire _T_4744 = _T_4730 & _T_4743; // @[el2_lsu_bus_buffer.scala 595:48] + wire _T_4743 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 596:50] + wire _T_4744 = _T_4730 & _T_4743; // @[el2_lsu_bus_buffer.scala 596:48] wire [7:0] _T_4748 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4751 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 600:36] - wire _T_4753 = _T_4751 & _T_1275; // @[el2_lsu_bus_buffer.scala 600:50] - wire _T_4765 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4767 = _T_4765 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4770 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4772 = _T_4770 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4775 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4777 = _T_4775 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4780 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4782 = _T_4780 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 613:129] + wire _T_4751 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 601:36] + wire _T_4753 = _T_4751 & _T_1275; // @[el2_lsu_bus_buffer.scala 601:50] + wire _T_4765 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4767 = _T_4765 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 614:129] + wire _T_4770 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4772 = _T_4770 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 614:129] + wire _T_4775 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4777 = _T_4775 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 614:129] + wire _T_4780 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4782 = _T_4780 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 614:129] wire _T_4783 = _T_2651 & _T_4767; // @[Mux.scala 27:72] wire _T_4784 = _T_2673 & _T_4772; // @[Mux.scala 27:72] wire _T_4785 = _T_2695 & _T_4777; // @[Mux.scala 27:72] wire _T_4786 = _T_2717 & _T_4782; // @[Mux.scala 27:72] wire _T_4787 = _T_4783 | _T_4784; // @[Mux.scala 27:72] wire _T_4788 = _T_4787 | _T_4785; // @[Mux.scala 27:72] - wire _T_4798 = _T_2673 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 614:98] - wire lsu_imprecise_error_store_tag = _T_4798 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 614:113] - wire _T_4804 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 616:72] + wire _T_4798 = _T_2673 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 615:98] + wire lsu_imprecise_error_store_tag = _T_4798 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 615:113] + wire _T_4804 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 617:72] wire _T_4806 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] wire [31:0] _T_4808 = _T_4806 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4809 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4810 = _T_4808 | _T_4809; // @[Mux.scala 27:72] - wire _T_4827 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 623:68] - wire _T_4830 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 624:48] - wire _T_4833 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 627:48] - wire _T_4834 = io_lsu_axi_awvalid & _T_4833; // @[el2_lsu_bus_buffer.scala 627:46] - wire _T_4835 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 627:92] - wire _T_4836 = io_lsu_axi_wvalid & _T_4835; // @[el2_lsu_bus_buffer.scala 627:90] - wire _T_4837 = _T_4834 | _T_4836; // @[el2_lsu_bus_buffer.scala 627:69] - wire _T_4838 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 627:136] - wire _T_4839 = io_lsu_axi_arvalid & _T_4838; // @[el2_lsu_bus_buffer.scala 627:134] - wire _T_4843 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 631:75] - wire _T_4844 = io_lsu_busreq_m & _T_4843; // @[el2_lsu_bus_buffer.scala 631:73] - reg _T_4847; // @[el2_lsu_bus_buffer.scala 631:56] + wire _T_4827 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 624:68] + wire _T_4830 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 625:48] + wire _T_4833 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 628:48] + wire _T_4834 = io_lsu_axi_awvalid & _T_4833; // @[el2_lsu_bus_buffer.scala 628:46] + wire _T_4835 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 628:92] + wire _T_4836 = io_lsu_axi_wvalid & _T_4835; // @[el2_lsu_bus_buffer.scala 628:90] + wire _T_4837 = _T_4834 | _T_4836; // @[el2_lsu_bus_buffer.scala 628:69] + wire _T_4838 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 628:136] + wire _T_4839 = io_lsu_axi_arvalid & _T_4838; // @[el2_lsu_bus_buffer.scala 628:134] + wire _T_4843 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 632:75] + wire _T_4844 = io_lsu_busreq_m & _T_4843; // @[el2_lsu_bus_buffer.scala 632:73] + reg _T_4847; // @[el2_lsu_bus_buffer.scala 632:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2581,59 +2580,59 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4847; // @[el2_lsu_bus_buffer.scala 631:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 541:30] - assign io_lsu_bus_buffer_full_any = _T_4358 ? _T_4359 : _T_4360; // @[el2_lsu_bus_buffer.scala 542:30] - assign io_lsu_bus_buffer_empty_any = _T_4371 & _T_1157; // @[el2_lsu_bus_buffer.scala 543:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 620:23] + assign io_lsu_busreq_r = _T_4847; // @[el2_lsu_bus_buffer.scala 632:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 542:30] + assign io_lsu_bus_buffer_full_any = _T_4358 ? _T_4359 : _T_4360; // @[el2_lsu_bus_buffer.scala 543:30] + assign io_lsu_bus_buffer_empty_any = _T_4371 & _T_1157; // @[el2_lsu_bus_buffer.scala 544:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 621:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4804; // @[el2_lsu_bus_buffer.scala 616:35] - assign io_lsu_imprecise_error_store_any = _T_4788 | _T_4786; // @[el2_lsu_bus_buffer.scala 613:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4810 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 617:35] - assign io_lsu_nonblock_load_valid_m = _T_4377 & _T_4378; // @[el2_lsu_bus_buffer.scala 545:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 546:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4380; // @[el2_lsu_bus_buffer.scala 548:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 549:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4600; // @[el2_lsu_bus_buffer.scala 560:35] - assign io_lsu_nonblock_load_data_error = _T_4430 | _T_4428; // @[el2_lsu_bus_buffer.scala 551:35] - assign io_lsu_nonblock_load_data_tag = _T_4470 | _T_4468; // @[el2_lsu_bus_buffer.scala 552:33] - assign io_lsu_nonblock_load_data = _T_4635[31:0]; // @[el2_lsu_bus_buffer.scala 561:29] - assign io_lsu_pmu_bus_trxn = _T_4827 | _T_4722; // @[el2_lsu_bus_buffer.scala 623:23] - assign io_lsu_pmu_bus_misaligned = _T_4830 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 624:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 625:24] - assign io_lsu_pmu_bus_busy = _T_4837 | _T_4839; // @[el2_lsu_bus_buffer.scala 627:23] - assign io_lsu_axi_awvalid = _T_4732 & _T_1165; // @[el2_lsu_bus_buffer.scala 583:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 584:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 585:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 589:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 590:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4738 : 3'h2; // @[el2_lsu_bus_buffer.scala 586:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 591:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 593:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 588:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 587:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 592:20] - assign io_lsu_axi_wvalid = _T_4744 & _T_1165; // @[el2_lsu_bus_buffer.scala 595:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 597:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4748; // @[el2_lsu_bus_buffer.scala 596:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 598:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 611:21] - assign io_lsu_axi_arvalid = _T_4753 & _T_1165; // @[el2_lsu_bus_buffer.scala 600:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 601:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 602:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 606:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 607:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4738 : 3'h3; // @[el2_lsu_bus_buffer.scala 603:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 608:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 610:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 605:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 604:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 609:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 612:21] - assign io_test = _T_1840 ? 2'h0 : _T_1885; // @[el2_lsu_bus_buffer.scala 398:11] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4804; // @[el2_lsu_bus_buffer.scala 617:35] + assign io_lsu_imprecise_error_store_any = _T_4788 | _T_4786; // @[el2_lsu_bus_buffer.scala 614:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4810 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 618:35] + assign io_lsu_nonblock_load_valid_m = _T_4377 & _T_4378; // @[el2_lsu_bus_buffer.scala 546:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 547:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4380; // @[el2_lsu_bus_buffer.scala 549:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 550:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4600; // @[el2_lsu_bus_buffer.scala 561:35] + assign io_lsu_nonblock_load_data_error = _T_4430 | _T_4428; // @[el2_lsu_bus_buffer.scala 552:35] + assign io_lsu_nonblock_load_data_tag = _T_4470 | _T_4468; // @[el2_lsu_bus_buffer.scala 553:33] + assign io_lsu_nonblock_load_data = _T_4635[31:0]; // @[el2_lsu_bus_buffer.scala 562:29] + assign io_lsu_pmu_bus_trxn = _T_4827 | _T_4722; // @[el2_lsu_bus_buffer.scala 624:23] + assign io_lsu_pmu_bus_misaligned = _T_4830 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 625:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 626:24] + assign io_lsu_pmu_bus_busy = _T_4837 | _T_4839; // @[el2_lsu_bus_buffer.scala 628:23] + assign io_lsu_axi_awvalid = _T_4732 & _T_1165; // @[el2_lsu_bus_buffer.scala 584:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 585:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 586:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 590:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 591:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4738 : 3'h2; // @[el2_lsu_bus_buffer.scala 587:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 592:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 594:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 589:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 588:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 593:20] + assign io_lsu_axi_wvalid = _T_4744 & _T_1165; // @[el2_lsu_bus_buffer.scala 596:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 598:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4748; // @[el2_lsu_bus_buffer.scala 597:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 599:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 612:21] + assign io_lsu_axi_arvalid = _T_4753 & _T_1165; // @[el2_lsu_bus_buffer.scala 601:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 602:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4736; // @[el2_lsu_bus_buffer.scala 603:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 607:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 608:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4738 : 3'h3; // @[el2_lsu_bus_buffer.scala 604:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 609:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 611:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 606:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 605:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 610:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 613:21] + assign io_test = CmdPtr0Dec[0] ? 2'h0 : _T_1983; // @[el2_lsu_bus_buffer.scala 410:11] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 6a8f1896..2a261d26 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -395,7 +395,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val found_array2 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | (io.lsu_busreq_m & (WrPtr0_m===i.U)) | (io.lsu_busreq_r & (WrPtr0_r === i.U)) | (io.ldst_dual_r & (WrPtr1_r===i.U))))->i.U) val WrPtr1_m = MuxCase(0.U, found_array2) - io.test := WrPtr1_m + //io.test := WrPtr1_m val buf_age = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_age := buf_age.map(i=> 0.U) val CmdPtr0Dec = (0 until DEPTH).map(i=> (!(buf_age(i).orR) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(Cat(_,_)) @@ -407,6 +407,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { found_cmdptr1 := CmdPtr1Dec.orR val CmdPtr0 = PriorityEncoder(CmdPtr0Dec) + io.test := CmdPtr0 val CmdPtr1 = PriorityEncoder(CmdPtr1Dec) val RspPtr = PriorityEncoder(RspPtrDec) val buf_state_en = Wire(Vec(DEPTH, Bool())) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 1af544ea113b895c7253be189d4ea50416b73527..07ffed62e19f3cb6c52b0e3476fbabf565815ee3 100644 GIT binary patch delta 103 zcmcaFcVBLU1UF;NWJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-{#4EjtplePIOfHF(?b^`!re;+dd delta 103 zcmcaFcVBLU1UF;#WJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-|g4EjvflePIOfHF(?b^`!o`5z?! diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 1d81bd4e99a5f369c285ee082a1c19342fb465d3..1889ab59f9a26aa2b95079bd30be5706435f54dd 100644 GIT binary patch delta 19 Zcmey$`jvG<1QTP;Dk=iu}K4&U2aP*-2WJ zKOf%aJZH|FIWzN}Gc#wNo##{k{ece~hH+@~sA<&CEiScY<`OCVO-(K>;=h@hOfh7b zrm=PEMs_hX*VdZNl`_R#daiXaGn<~eJCvVZn#+WY3e#w?MMg7)rED>iT)dl`8qF+b zN+F}lG-7kvN!Fg73>hKQ*i>HBfyJrxT-r3Y4N9W5n32*eAKs&HsAkgQGi9IM^g5Q&(RX0)QAAyQFQSsyTC zwLLw(;2()He;^9}cIFS)Zryvg%Iq~`J9-LDlk3e$#oqB?Z&mPySzBGZ-3;DrN{$rP zm`#Cod&fiIKc(>FYhC_*gGmfEle#CuMmNlvl@cRh6(K zzK-%Y?KQ!#SNH{mzggkO*SqrD6n;VBZ&JX*qyg{)obVLgCjoxbl6L{*x%Tutk=8q#EU3R`~Homw(OT zlbr?TOFJhO{qe1?{uxzX^0{6&RrzhMd`^{@e3maN{P-i%9$D^fi+>09#2=OXfwi#z zzQQlK{7}Hc8RXM((~%Da6@EeCM-_fN;L6une6&Nlz7vpqc)U$%dQP$$E#g?W>k5}XZvp|{CJJ4 zKd0y^NIthuQI(f|ll9zI`0P)aZ~0S+6ZWUfxBO}7BhLVU*m1@qaEKwxadHBCPELrr zBP<=Sb8A+^d`6<=a|xDbW#mc^%E+}mtK_q1WxnNESzdZj3+b$%+Tk^{gSwv$B54=W-LOy!5PGufqzzpzymCe%$q}p<@capzwPXe!Ri$`I8F2 zpzu#C{P<>9ewg`ezvQ$1qpH00+-&~^RbKL0-ty?&{?c03k>BE+%XL)x92wR?>^-GV=`Ylh-@(N$^^o6+V>BE+%XL*Hh zd3x6Gdi1d3=?jXd4_ls|<)uewdn`}S`W3$A>4TU_3he3SOcGW+eL?Z`Va3xI6i*+v zJU!v9z@A>>Fl>2x$!AZ`e9P0by!7_TRSSx3m$-@C27u-Iz(w|GdH36`^!ngcC>z96>^;`a*?NRuO|1a>$ zn&lP$Ur_viSn>Y_URksJHrLM-cxBCe%m1@Ias|zN%m1@I3jZ-h53j6QUh)5hg6sdo zivKUfT|XbT{6Ebv1@`}PehDl7zo7X4u;u^R9_jzNT+9En{nF1fU-AD1#s7yD|6fr2 zf7tT>j30Mi2wVQ2^}BvPtoZ)|ujsj4#s3$0MbCW2{}*^g&wR!I7Zm>=R{VdV;QIft z<^Os7x_&-v`G0O7cU}l9{=dL0dM;P-|Am6<|HF#^FYrpA{lk6N{}&YhAF=#Dm1`#C zieBoESpHw~iwa-y|7ODV^AW}Wn?;4M_*phi|2K;Y-}3+D2h4=@^U{AtEdS5;yDR;O;{VNr>*phu z|7Us0=lUxC-%PmvKce`5v#9VD|8FK-KOa&2zgblHmj92OYf74@Sw<8M*zfSsRiNi>V`Q$guQOTEnBXUQT zmwub&?-Y2|d$w0pr#o7&1E8}4SB>T9a1PwWWv^gI@dMbqZmu7%WSX+83{RBUYs9k|jp zGd_GenNDx4yjFF@Y#mR`^=@m~P3h}u8zQsmhKlO;r}gjO)-ki8wqbmxX;W>adh4Ou z;ZQ}WoAPa`ycUUU-*@@Q?XlA>8?zIS=lf6Z>uBBF4Y|h;jhuzt)M!&Wy)_Vu5ANPy zQBirP`b2ZOr)@s-^r?-*<7VjY&eF!3ZI5oKs$Qy`O7=Wqw%5jM2h943;M~UHtB3kh zUCo!OAL-tCCD^z--?%QZFVe6#QdLnIt~qfYcAg-+vQ5o_>LcRffi0ak@O(Gh1Q_lt z^|x;B%J(J)Vdu`uXf!_g*m%dyiEWKb!;RfDh0(!G&9%10p z&7Dh6uWG2xHP*`Z898576*{{md(y1zz17v+e_(S}EOc>8_H;a16{v2nZ|d!u?(MpF zA=lV8gZkW!p*}aNPt>EIE{D47lF5T9w@n`(oxYgA)OB;9zGZd@ z&)NGGcXC_1X9n)?*qED$PmS02HBBP=v@IGMKn(a|#X!@=`SF8G;}`DD%r|yTpSj<= zvAVH4vY~ow$H;ma8y?tihURB4+&erpba3%_&2$H~=U`7$yfD-=3!L4ZZ`xE5tDaiU zjUPt7Ava$#O8Iu}zt(kqEZTBo{!$`A`D-O#E|lm(A+&;T9T)r7QQh^yjvqU(Yr={hF?7o9VwlMdd65cm4C5x+e4Y zcTm6Fw)-U)97xi5P9JE#({eRd5lU6|q?;bEymPoV9)BcQ5xOM`O`UZ{gzTy_0KD|ND0RuZY?J)xYOze|}R}WJ7ILoZG#rp~(zVed?<>w=Et& z+_K%oG8yByuaK;&imYn@J}WM)>uL`7RP=@{`>HCdMn;Aj+phQ4HSf+}>VUozdVQz+ zngZK{+`eXSpknXd*_z7-CkN`*-x=Im6^pbraJwKRZ}xR9rB1g1ck!j(`|GH@HFkR( z2;NQSt{%LTI^De7a_%ZvK$~>N9j@pcf{rH$#KF*MQ@X9&v>%ta@W%Fx|U7VR}bcD_cra#Soj;cV2bg&##8xCZMS<9 zT<&SB+(;-=ThZ87%HChM5&mIjLi!1rKdaLz^;L$y;m*bhm?IX$BGp?W6 z8gIOmzZAbacE8(6AJL|-n{93AxH&-i2RXkvX$H19`Pc1kz1&rR{n;6|KN(NLe!KkW zU_bm%)uh{Q1&QNe-=^H9_+qAB^zVNJI6AZ08DGiDj?%6BvCzRQ2N&mq(;I8xS0iIq zI&hY*aMQ=?2%n8EKHKH^pDgS`yAck_?z39EQEzGY-WzVaud&BH`JddSwmj-JprqIO z(~qB|@*lPR&&7(k?SIx^+COn{5&0uoSN|p_Kl~j0?&9&D7CD|KW=PL=SI>m4NBXhZ zGpFy(V1AxI9xQEKi}s8fi}AmMw+^)Hbg z_zBEg%^Rz)#T7kEuAXEBdfJxPY;K#(2H9Va?4owq>$XEdw!_UUJ?k&ckGGf3+~2qR z>_q3{@x;B2)sFp-x$;XZ$YUH1Pr%=G4U%6v@7kH}AwNBKxDt3s+?=hycVTF2*Fxrg ztiO75mo0zM9k&Bge&SeZclFiI>5KQLmJc+vU6(k8-=hAWbH@Sq_tnn)iNw8*`6~zK zQ^DqCaV>u1_+hH&0oQKs?|iCjeShw1V$Q1H&56sF-?91|<3BF@yX)TUa6`vJ|ABia zb64Y;>#6;w-1ZyM zwg>BnJv9C258Th*zeVeo`LS)Ki`C>W_PO(QQx*A(ic1H0UBLZIc3pM-VUx7$Nbc7C zbrwrA5ry>*O#c@?z;U@Q0*&?-NZVvqW5WTy2t*hTc;aO z(fs?A(w-ju#~Nwp4#-s+9G^~*Z-pS#wzl!^8=ZMWAgw0?Exh1+Yc z#!K1lBO|qaZvVUUA0iV8seKfDLO=WprLT3%f853I&_rUge>?3%ob?RWlM4PCx(bB`@AcA{-^0Q2GY?d#q0Ynv|3Z|#=*z5SaX$NsK)GM#P- zp4%`{G1=3*ui6YHN0Mg6SXW=6Y5T^IS=qF=DOquIq7M7KU}aTs!_wGDbyYaFugh#m z_8x3%XgIQadqY)lXVXZ0sHe56I(}ik0qJc>H+w58HZ+}&Y}hxjFM@Yesw#rH4MXR8 zlD(Z9B6}lyk`<+XtV^(N58mGJcyl_rGoKB{lY8s-p05o=n*yUdM)q!h+R2;en%gS& zv!3ILr!5Us*9KxEXU;!X_gJ8s|29?ZY^s0k+>Wv1hhtSOX7K#F!~1sLy0p6|PI@lv z9FCoK^st)?)C=eYHo5i}J(0jUh(}9ML>!IM?zeyp zPPI04&s6NKy?FNW!BRGec)@@frS-|&wyvAmdePK_xXJNr>o;M)-&B#rI%X@@FEh=T z_HXXQI_2Jl1GL`2I$&`8@uf5MrDbuY{rZ(a)by^Wu|K`k5!exL?pTBQVsW(P;?Pyh z+t^R+leL%_vw{o@;(dfRhXE;Mf%YM{8v z-pGN;#=wT^d;K+6vEPP&KLEK4_4{`xdw1ps>iaHLUw&+6Dp*VFCb_?YeM|H8jkNx1 zsyKoA$o7%zvgI>1_1g%rdn3i8NV6KOr zUHc#Do;kj2J+*HZ`yH$+%ne$8V{Kq}ym?u+BjNxx+m6okZc7B7!h>9wV!b?IR#U$ZHa@;IJ1Y8HFPk-<=gqYievU34 zuP32+iLb5QlUNVS_{5nmQQJ%Q z4>xbBzSbt=buF9GKXHl6`GL(xPt9Ly&sVg2pOaN-S)cK`L(ACT&P)We-seCxF7aE3 z`ivjEIT2)exsHOqWYt|)elxA}&deS#BNPWYS+n`joqs_}T=NWzl z_Lq*IZVY3;I@4Qct#^YB+bRB%!8jiz|7)$YlU1YNVv}b%f;U!a#dR^*T>K$zXK4B-;Nw5$5oO>swpSmXfp7bC4&6t)CzXCiV z4m;6Ru>472YXotpOSMt`vbH?klK~wM7v5G%}Ub4{%owPa$Q>b*&P?L->3XZ#-of+ z+?>GtAfJa3f8E@62l_T9W&SOj_Frwwxea>Z z&t*PYPIgS=#wFStjs_K~HzTn~6XqxB2j?lSb(!MB9Sgu8%@Z^~(LBcU#Fh5I&N6-Q&jaRK z>X(-BLo>%`?p-{4_0UWvXv*<|bAaWRROjspoCjcBMmhdU^RQ^zE^#LDf%*;Xrt!t& z+Y~G(+at6Suk^}(m+N8?=X`C5YqbNH4bFVlBK5(ZYqSq;((~a5slFVSY$sgEIK;>< zk<{~n+g>Rr=P&r@B$sc+$1uOUewEh?(!Ue`^3lw3>2J;G&^7GKDP9zarlo(ZL44tA zTd99nX&E@YIU8J$c!{}*{KDLL=j3>OW9NRHLmaOg>}YLB%%8#dK>SgO%dOjl{ZM8W zaYLF{&vaoQKVXLJ`D{&u$7Qn0L^~i3XphtFgUf>xW*x`>C=SyyOYzL(rz_W)RUUnq zpARm|b%C z#JzGk#A_jE)!X(1PQ4Gej(5x;o=f$v9vg<=x!QhXq8@mkFl#)|7zedG<+@{Pu}9Q8 z{RjRA=HJQO-#2?8$vA_*y?zFMB-tnX{p8R@{N}{{wo^5i&9xGjo7xt8(QoV*leKca zf&Ff4vWjs!(Y1tjuao@E_jc#U+pYueIEOOpIRBxAOby1B^t-?pm!tZbKoi?l8mq(l zaPy&={!!S&I6T>Ur32$@oXZ^@gum=+s%Yo&bESU$o&9qDFL1i$7pXo?T+Ri^-#Ej5 zQQB+8%cWnm+G(kGo83-3HqB%HsGV3Bj&18o96v2;C+oXLvZqC9klK~`ahG2y`5W1O z=z)L3x`*q{>k9H0Ry!*G*J>|{S2sFxF)4Sc>uEF}SmTiWXCP{gLwkM^BZ@zf^MKWV z_V^$>ta2^fOTRt9?Zo4h%aidUj0da#C5}$Qbj=zqr^UdOohxa%6Wht30n zBUZhrJ+Th8@YH*_rGNgK)gPC-Ca++;$nitxVu+hDelUL2XY{|7-=2TCKQDFXXLlW? zc{yzNQ$-WkclIXi4buF-c(JwdDE0;2d-AmZ3zjw>Ok&-(`QFTdYaLi`+`D~tB9R-o zADcb!NG+{z$d21rE>B}WV)0YvdY<>YbMuMj z(*c|VC9B5edS~L;+{K}ZLo?Po+Fma-;r#l1&E_LZnI8PoWTYdL9$g;}S?i2B#97YN zADYGa=aRL~@Qg?Egghs-&MQ$r#Pcgo+?Dg#(6RNM7>ClYpnYPFo%MucnGf~G`WZN$ zY@~Lg{6{CpM#YHt8STjBko?jZjb~c-Smj`!!Tx~PN1k>P6K#3ft|@ba+I|in?cKn* zp#H!(ITG07ea613_9EhyO1LB@2})}D(#Qz$xhk7{{2srT<2wOAuhuEb-BK?*F_}@*K%DnHxbXB zsXL1GZD=-ip~N`qY2MR1WUd)H)^Y>un&~Tbm8Z^5;9MU2DAW(n_jbv+T(BVbBmIYI z9-%l9`Caa3c}^7^FG#1ra^9}9S)Agl(S`SoA zNPFe}z2$0rsee272hQWMPQpHC*lJhEwTXJ0UJ}e~jJM-C9a}AF>%$KwdC4X$$Mf<>68b_90_H(l8 zNPMrvsaS9JZqE%6ZV*?q`jzIzl3bs2Kikj15!a7!BQAI5&jbr>Z_ON?KOjEE`;yuF z-JKHm)ITQnCBye_OTS2X*;X59V!eb%-cQoJ!{c9$mw{uYi`*ZUex4sF&b2Y!CvnR2 zQytK1wuANx!s1p0By( z-sO5>9Ack?_c>&ofZHACxM#aCzsUZw?CZLR_jo$#{K&R%CU>=K2L3>pv)HAMSkI=@6cus^c)$LlWbZ)l_ak@}2yoE#U6h$qT%O#9;fN$lV7o(9DY z=o}dDy-c3P`kQfM>6db0Y5(BXHp)-?Z1@%KPkTRN`)`a7jI*dJFa4pcANSL)HJfQ4 zUqSvru0xvGe~{j|t(WkId8W=9j~R-K$a6ciQ_`ac>o3}$2BNiWw_NW8HgLO`lZ<~$&RUTUccsL^+*Z7g&H77A*k4-s z;`b+lmfhC=D_LdvU0DxnU0|;_ds`Npu>P1ue0ZI`u0D+Uzw*!m-v3(0xyEAej5yb8 zp4ivauyN($4fc{g^XRX`zI_oxBF4m!;!_6Vur&Ki2x(?|l12_+Otd#EA zv8OdzIX2rv_DX+(cninz5MQ<8EzUFMb%`JN1Nf24d&&P`U5arHyY}MUhuQ0JUT3#y&&lO^J?)>j$oEKm z?-dNn_>0_cZ`Gyq{2~4GQx*K4g#Ud5iWfNRs-_T~n=dX8Hzwv&HCO2z0&!uUZ*Xqk zq{xKM_XgT;CaaRZ_X>C)nc94a-a8HW+lSXNowGy3E&W(8$@iZI z-S#Oh1x=s6Eqky(S?_Bnd;hdS%f~p|;p-QQM;Wc5$^doR{|ESR4*Cd1S}XD-%k?V9P|)rfVVd_UvP zoE6`pc@p;xB<#xsPTX0T%T8rWz4`ft>|EyTLMfZiEjD`}d*{-Niy@;LHwUbqFJ!DS zjdhyLrm?}!xsaZ^m7dKs_vG_)%^{=CG}ic%LdJSrK_JsL`OXjIO31enB9b(X^}hVg z7cx_j*lZe=M43jjUtp$~pC|p9bnaY!FpDb}(sLnWD^%%HOyg0V0@$Hse$+J9Qf8H_ zw3l6LN91C5x^yFC>@bZQFV8e~-b~+4x6Y+=v$zi8cK%kTbtqH1k)J-5&P~r{7Mln2 z`CCg1A!8TxuPz!g_LxSH<(M=SKix7%SP1Yl<)8BZ}+?>wkbItLPaR}E`EYjr@txpy+)0vs(WU-iEPA)Fy zsRIw2hEP*OMknsMP()xzEt13D{H zoJmj7ofm;@9$o0<>sg}4rn@luPCR+;lxdg)rV*8NYVd4-0tx2^hECvKkBI!68cJS* z0Mjf`afeK)u`b&43su7K&57lwuo6K&F)Cbhbn(Ra5iR^fy?{EG|gV>3lAe zT1Xd5+4LMSVv=FY2jvskVcaQ_N>5K0O{3b;jzIwBTh-^I&Vwxa7}6t@b+ME#Wh{ER zh=GA7nVViLrRYMCOfGdJo0|8r=JLd zOQrPWT&8s(H=VhYnI6j&P{pH@s5`d?ry_PLAIcbf<%~C~SrkBfh zL)N3gktJ@FGIB!zne>pmQ}VW0DwA8Wr5&4Nlyy2?N=xar^dz;&OJPWX)IB#nvpk*3 zdLJp(%}$AHD7%y`rDt973aU}#W{QAOF_WH7Nxlj_X%*1oNReS^L;G2xz|O`+ zFQw;DmW2{oGzKD>A<^os%DQy!Zpy`~)mlzz7RGA5rAh5>Bgn^)EMT#OE|;A$T};C{ z0%^DBrlt9I*T^=IhSE52pQd59d_v)9@br9UdRac#&~q+7pDE5}TmcdX+Np`906p6+ z40E9&JDthEH%^t@OiQ^%+@d3&c{n{KX;~F+NYW>9#G+OsTD?MGCVZ)b=zlykoB{3E zMi7>0!R(v5n_tS!X5c0wvNhRdL?vzY2|wIU&t=iRG^)@gsXzTI2A*6X&a6@247fbnK#wuiu`v8+E zS>Tr1ON^2I3_LMTPK zlI0@C)>g^_b*QvVW&qL%SDz_6E0@C{e6jdssTh~GN%A0(+~hVqxil59Z2O{xMp>FO zIJhd@%#2)_&iy1Dnn5lfrPcD8OS1Cjmr!{&oieB7v!%ds8LSVFw$a@5)bPqMv=i+? z5|W9FPho*3rIzL~>Ql=_nkM03Oao31W0=_vvpP@QDaT7$yru%9Vuz@E)XSstXpo11kr*s z7@E^5)Pk*(HKsaw9V?G3*^tto*zM@aj^&4RwUnLQKU#__Vh!ttbwk>TK`ZAejAAk^lS4a%r8YSR?54*GLIR~uCMk6# zSPRK?fEc8Pp+064Ewr*GWo*byuCrz(fc`;QkkzZGxRVfP=*oO#EX_t%yDYoLb0^yA zGE0rjBC*VQFcB=KmL-F0TsN)5si+*$O@ z>1?#(TewqX3sTESDZG<#wOGzodfNta6xhN|&gG|WVHu5erF1AX6*ZQp$?mq?k0z^3 zrv1W7X|$-= zYDQiG!w1vyLXN-czT~-NYUspJYH(oq1ln5N=N$qhE_oP72i>ju*~yz&Hg^B-IE1$F zIjr?B=8Kpv))a4B`|WvoSEOld9Gr8ng2X=GN=7!wTATirWUXY#R$H5WTXKU>tBeFd zF4lAETq@buH<~&%pgs+rMR_u9bTm1hvNq8*#OXf;;pEt<6mV=_2830v6CEvV@^KQP#rN}lQgXwIRoQHybD;1ImN^N<=&o*TG; zbg;%!PmZ3W20WQOKX?wFT;eX-+k0YcOtuaDf_YlQN9&f!!Znrg+B7^^QzqB0;UPp* zCf9)hsM>g9IN39J0#<6cuu;Q>l^QPW)No;`h6`IYTv)5&!d_1uhLU4vXvS3QKsC1j)pP!&?G&Q?JeC^3pcVE5p`OZ+vSTR>Yq++P$+2@7yys4gj-G$= zTx#@0-@xdJUW|(B0ld+F>O?9*jRf1)TF*+G)u=3TRvre8#fX^$IYu2t#(1w5=FPU6|}po&Pw!ln#E+jR7YWP28UB) zaJ9CPvKL_}T563YK`c~{`qko`9Ueq&?JQ%x!+ohiw7)CBPR+Jt>|F93ycJj7&PCqX zs=3{4#BwWccN}r4A?!|pDNiPQ&q#(B`>2x5pc^T>~@JlBtxbIFgFbIFgFb77~33rjUz^5f+i$d8wE$&agC z@}1eYzp1(bbNA?Y#P4=bEolTt|JCS;F@cbBT2@Q^& zPr%DzF#yWNjs0NZ#(tEzsi7yr=x`5dL|DYjaJ)RR$FL~ki9$Y|Q#^0&@YxjOo&J&o zg%wW@^rjNMaK)*MXGilN3 za@^=SQsF$?txz+10*k(L81dFpDMDsjV<=|P+!RskiCe-ta5>Qr{UAATv?8QUoZ(;tM%;#}3P)skF#tYT5S2@p9w*yd%0E^1;WIT6wA+vg-YQB}#Hn3Zm zA2mM~H9um0d`-~&Z5%eG7Z&Ca8EQtQA5=SJ{)G9-I>Ru37pJQ;+1#`+KV^Q9w0+t% zw)nEs$=>Kv?i^aGIb?nYSRXpucYg3hGo6vFB8yT!XZ}IN{H*zT;EMKP9DNF#U%-5T zQ!oVYad1b?uqZq0iqe_fy~6yG`A1~dm*M2yofn^En}3Whn$48BzfI!_wTrB_FITCy zsSh#2{1fwQQS+))V#Iv0Nq$UFp;mLq!I|E2f24FxPPB8K@ zp9)CWDnM#f02rP!@oZ-RsmTCrcrxJG&Y*=&0w_>3(6g0+$np{rK7i1(ok0tb1p3=k zf(r#o(v;wXLc)atk(vzXU{48d-zT(vpYZiPJ==BA_I<+F_w;OM(Dr=--RUvO?P*HV z)WO%&3Ad+-)MW7Wbi(avBFi(h`Ffh3tvV2?$>8hhHn*pV)MP++y8a(^laBri-a~G66o2^phZHP4+->aXV4;{&4&bfwlipv z(B?w|J=+@@*8+PCLDN?&r}yDltoipA5IRrI3cn; zL%Rfr0?cDJXAEYEP**VFWDnM9-} zgRiF#yFE>$CWEi154$~0q$Y!}rw_Y5O{6A+ucr^YJx!!0gRiF#yFE>$CWEi154$~0 zq$UHpv(ENvbQ)~!Q3Aj3?a;$60*KTo@*&``ivS`u8GHyh>>_~3@(dk51kkgE03tOR zd*)@+r->}j(CO=GdbWC+NKFQGX9N+XTngvYnQ-9W zy;bUTJDx~QeqYCTx*boXCWEizJKc^aQj@{g@ttnR6RF97-fgnyRCni^TF5V!@G_$H zhGe)R=&P!Wk4`NXx8Mk%qj{%SNQhs zBxftqg7OHESElGjHeAu_tAYzw%A#osK+V&3E((umQFsKP@?>!FMoF3ye0V$J;*Cg6 z2H?n3f(x-DT8JG1Xy_ejyp=3p{IMJL37;MpW|U*SCdvi0_!Uv3;{2q>6X$|l#13?U z)~Nzuw%Sf$c~bL=bhrZVdU(|$kE0f}PAy>N%>#y$2ed8^5ai7RhLZ=hE)T%s%>#y$ z2ed8^@Z!w_hLZ=hE)O6hl()O#V6OPJK*Z4kTBij#@|FySlLxde51{1D1BR0av@Q=| zt!(%i{+} zTmc8snmiN*_1H!X8yrOI^7z3)K~g7=9vl=4^_HW6gA#S+5EkqhI3~vz`&B4dq0p&L zg&!*vF?CGUV}-({UQ-pUP@=9JKUOG&>Xf6$3Pno2d8Y4yBwl*dHk@TFshSB4+{#EddpE@L5aF@(AC~N3Jxf6s>|cY0fkN- z+w?e~V5zqp1qYO>3McjX0VV3n@#BD^rA|3|98i4JTaJPQO4OC( z#{q>;opSUzpn$2j90doIs4K^h1B#qF<>+xhu~Kh23JxeyQx1hoJ$Z;>mqWBJj~@pV zLUr=!aX>*+Z#fDMC{b6A9|sgjb;{A>fI_Fa8S@z zmj_+#&7dNus zfTF8TIeHvWz|>O?G3-1TQ zCMiy<)9A+}g=ZbC=rKu=S8wMinAC@569;{mlnkpJNtfG}IOxNqWH@=Wm`of5*rI&U zF`rsm2v@$uYpWD+bZC*8I0$^H^^*)Ij~0B1gEsiQzr@9lQ*kI!`D(vH7ko0OuJVAB zM6*{R-XF&aPUUO;3SA&34r+mzI0#62YvtliChCj;Xtoe=%Z;F#!H~E3mAa5i9MnQC zaS*6l>4(Yk?v-%m+x=QyP|EB&Q-Q(F<)+HR1lSs`{B^%h7qp3kpfx)Eao>az_m#9R zj~}!Y>$Sn7kNYMlwCm{_CGIN|b>;X$s>FR0`nYd`Lc1%qymU?B%8&akQIM*S3@0e~ z>$P41qdqd6px|%4zPG}a`0;{Qp8`~U)Hp#gVEKAj`c4*?jL_{G;mSYo>rt?&4;m*Z zE-crhq$h1F`jTIf0!Mu$IYGf!U$z28%AhOT4@C+# zJ7t5`l6_Nl_SOkMWCI+LF@7WNlwM>vVY*$qri=#)jB-{ zHyTfbTMGqM0lyvvg8Ha+LPo7^J(JuPRUw}qC6X;OXzZcDwPVjDqq0i)^(dZ^GU)UG zV=>#FVs<)QRqI!xAW|RhPEbVKQ8GzN>itR-i0b3t3Hj!*Z3$|$xDc+|;#Z>}l;m|4 z@*|YO;5JC~A@PKKrPwaINHbcvYKOmQ1)n6ZE834wii|r&>+wlJakFZtfDi$OurusSNRu&6hC*Y0j<#^W9fE7q?Z@7Pi4YY{svXz>j`~)Jwf4g2c7BV zg<`%GuIlpDONqJ53_5#&J=b+CPtmWo!d1upiWG$E1MvxpyUW*t>}h8f_cMm8`uv&{ zm{JyY8341Bl`&oZ{!Ac2VSiT*?gB=?-1Mt~jNQTdV}b;o3V0eq zIVO;ax*7m-t}~F{xailSAXI;Fkf3t{w=0Y643_ zU`Wt;f})3=!884O6o^s=ojpLDJ7kKMGr-RUyv?j&RDTkYpo0h38DKJJXYc~QCIz{a zMQ4(V+;mYogBSYMD9F_xStRIGLaCYM41Uh1NIA!lIW+drv4w-(bWu8kSNYW_*d=+L z8p5vI^Xv>>*-L$d5>3KAuTQb9ls_8 zg!*%o1Rab>O$+n#ch#v<@ebzfPx&<|j#Yn_lAx0jZ#@)f>W@tlbV}mQqu`Dbb#(`b zym=H<>5okkbTZ=2qo7KEY?7c;5^o*_Rg|c+4G{6>Q81%FHc8OQh>S(pJur{^Z~4~Q za20;-@6o3msmP2P8|VbZn@4eJl&H%?E=_eSbc|x-R)3_Dpi>iX9t9)%Bb5Z5n#im7 z?7DKmtqMQm_o`B$qd#d$(7}o~j{+S^)K$|D9XefcstH<`2T1V@5X8RWF#p3}x&kOV zi_vNF1B%XEoYM7xqT?2CEfqjfqNW`G`Ab4Mf048&o$ih7MDlJfL-X00#aQWFl3RC$zy@f5{47^rtBaIv?>`tKda{c#@!F5N{p@FZwf* z1RZpE^C-yBpMoUl(8HTYK?WsO7BdTTrt!$00q=dId%cgi{BIKfrE!l7Wx&-cEqm0f z8oO7|E`mFQyJAK-xSI~vZe$m6+B1lSPHSc^ARoIbY*?LmftLbU7Qsy>X;!HC|rR$JDbBp zmSda+VF0Jfvp0%Xm4OG(cP6|~WepIda_DZ@3aC(Quoa>yj;z)ctEv~l6Ty?zFu2UG zu6R3h8{d?`eLmUJUBoe_ZUJHP^n{9!FkfC%yRKXmwH{(sy*lpTyCXY_}cvd>qPKcFhxB;@r2^-`Sju~d{#zY z>}?udt3t);S!rh(a4Ja`ya@FmT9uxtBXH@gS_llrEk>%rj#~NUf)$>0MT+2T@CH={ zuL7*2VKJ4@&17eniu5Ug)a zhK;-cy$U0rJG(qpD$e0oeRC4_auTqaC0uAFf5AnJIdt`U zO|8&?cUEY?|KIBF*;d+v^H)%~fXI-q$ zR#om9Kzo~ya!0;Tm`zN5K$zQ^`k?HS%fj4YHdCAYmN0j6%14E{i>Z$bb2n4JBg{Qa zeNvcvnfg6pKE`=JEzEt)`;0JKnD;qh?q}ZTg?WIfF9@@hsV@ohAX8r!W}K-%7G{E} zKM`gdQ(qV6A=dW|VYV~%O<^8p>Mw-Z!E)b{wK*-!PV)%W=5K`A#nj&kvzw{!2=gdY z-xcQLOnpz7$C&yjVIF7d`@(#Jsect_l1ut`VfHZfAHwWq>c529$JBod^Ms^AhA>Yu z6%b}WQTWn-sC@gUExul}PJj0X_<{(ow!W?31tuTj~!l#PQGPPcqPcl_6 z%n@1t&?aGyGPPNlV@x#)^Bhy#gn6E+M}>KTZP_l&i_B{l<|U?f33HsOJ;J=q)MLWD z!c>beuQGK&m=jDL6y`Oi62eTe=0n1~&b-6IOf%If%t@xY@X2MSjtX;{sbj*-F!h8m zXPD{{<}6cv!o0!MNnvK0Iwj1TOrg(jF*PX6Ii`k%InUIS!pyN%qr%KHbxxQIoQe@r zVCs@Ei%eleEHZUfm?fsJ33G|_UKi$V=1mH7nW<@E-eGD+n0J}FAVC!h9~H8PQ*RXJE0}td zFki{kTZH*4rrsvZS2Oi1!u)yG{0?FM0#m;#%wJ^c*M<2Srrs^g*E01R!h9W5?-Sp=$=9`%Mm@wbW)Nc#(Elhnvm~Un3cZK;jramRiUuNp} zh50K?eO8!n=l=KuVZMWTe<;j%a=Bj==C3mEkA(SaOnpU|zs}TGh50U~z9!6fGxev! zd=FE9Cd}Vp>d%GwUZ(z1nD1liuY~!2rv65lA7JY5a5E}R81nL2cP5h`xZsap@Qksl z(BN4XlCz>t)2Q>Q3>MtELXqwlH0*imGEHeaRuQMmm|6Id6{K7VZ6)Yy8xO3hEwpM) zNyuN*W}Q(GbUx?7wy!GYR$$)>+Wa5?SY4^S7M{Kd@=#)Sl~*!RYNIcRJcKs-u*gG* z(f39kO3c=#?RvDb+j3gOc|Kag1O5AdE((k+a6xjYO#m0wf*6>9)5Vy4iH|nE0oKw)1zLdZsx=GsirJV-|y5DkP!4-{j zzRSaMy6IQ>Tn`KBoU8vUJ`b?Z{p`sD>~p?`@&Nnz>kllnVhdAP`#~bkT4lvfaK9(S zx^%NHe>%we%n*y|rY-vmLj!#*qgzGUGV}!smeO{T`>i4tI-r5s3ZF3I47zGqU*k#0 zFB`F#uJbK1=YvNqsl%KqX)hoiBxkQ6bdF2a$NeHhIu9^XeoTpt)VWSusq&4b2iM*H z^b$+1VyhgQ{4sZFt8T$)Ss+Dus60d?_{Y2saF${k^|J6)I-TcR7Tc=4nZJeNDnP!d4lM)`zj!O1&J-W8V2r-_5q14F-Mt*izn# z=={6bn@~R8+4hWPw4B5IvlsiEVO=T(LcTQ`m~uyVzUNum{a@&R4;>i&iYk z#iiCsINuOsHQFAuWLBS*lrN64Dy?7ie~9eiMORsTs-NDX;K3@3Prv9Yi%-AkDvM9Q z=qig(zvwE9Prv9YnIr}CDB#dwd{j+>=(9a z)*|@29DT=*1#~WrK0n8wbYmHvOS5HGcWJg1O__3n(D{85BDc@q$YVL(I?pGktYCFh z07+Y4)MJ&pxk(DSAKGIWRBJ9hkI(dv=OYt+=1zZtsRZ@gs7JhCN{Fmd^0=v+q zQg*I&Xz5P;6v}udUSV*`P*SAIS4YCH3jaJ__3(aMa5Ou01I>rrzesPl>Jz1c*G9sx z3BL|6+K;ZH-8`*lL=vzdeG`N`?@ zP^Of|;49^ecSB)($g&1syHR+i@f_6z&&N7X;q}V7%wqFkK7VUzLH%s!!+hma{S2k1 z$;-a&8U9@O52E4EhCh#%`9sraUA3X@{y({tlf54Y_&yQ-Vx3`zzl84QHqwG)fc#2k zdMr}_l)sEa77NM`5|-%x<4E``;ja>?)486d?A-LpVt(E<_QIEy6^VCw(MMCQy^1J6 z`L*!Zqfqjvru{)yZNU=4q41w!V9e8}SO;x0-3mWsi5i%T@SlhOA{zc?_%HG9Rhiub z@Yal~zml(xuPA^I(b{9X4=>Kj9{8Im{Mg@O*e>K3Ml<-lY|iqJrg1^VnFR<(0o>5T zOd#6Id^`Lda=f?!!|^pV6@_|- zgtYG^%3}}8t#K#`*P%S_>h?MmX_q^wogu{i;rNs9hyR8A$-iRM{F`YUSWTM^QWrxs zX#QUvvf~Fr+NNp~#&P)?LHGy4NHX<9Vf08UVhW>|sS08AF;#`mSEhDZCt>s(r!cWc z!onC}Dk_ZAObKC}VX8(LgG{Xz#t>6=!Wd?1J!UdRF*OhU#>54ou4{yG)_9Ui-Xx4s zrZx*>j5Rh2;~Z1lgmIp!M^_ll?(E_^5R`vJH}Z9|E@o6h@9yhlP=6 z-jl*uV7XCY6qq_Ej3Ucj5XK_QT@pr#smsDx;?%3cxXrw4!dPbNx-jl=>ZCC4GBquX zrL-Np0;ZlTjGy8h&lAQ^ zvz8YK<7b%n)57>!mit*@ypVY>62^;|dWkSz%+${b<0VYJTo^B9>XpLyIi_AMjF&O> z3&MCg>wAqbUctQA3FDQ_dxJ1u#ndkeRrNkJ*U1$7;j+ey~21SQ|}kXFERC-!gv!?9}>o!nffhZyoITc3gfLz zeOwrCW9oN=@ykqoQW(F&)b9!7?M!`I81G=}Gs1W$Q=b#YuQK&{Vf-3XUl7KxGxa55 zyo;$X3*+5P{jo6K!_=P$<2RW4x-j0$)Hj6jKJKk=3gi9E`wL-wfT?c@<2Sht{#qCx zWa@8)@gb(ZEsPJd+}{i1x0w0|VSI#B|4|qpW$K@W@iC_UMHnCF(*8{tzs=PD6UOf_ z^`FA{1k3$E7@uV7hr;+>NkvUz{2o&k!uS+ZRl@i*Qz2peK2s54e1@r*Fh0vvwJ<)% z)EZ&@fwVMQD~!*}a--{n@rTUYAdD}t+(u!1k*Nk@e2G)H2;+~K+A54MGxdltzQS@% z!uVsRb_nCEOzjlLpD?vs7++&*uQ0yO)IMSSDQnp;jBhZnRTzK9R9qO}WU5UVf6j94 z!uSiOI)w3;oO(nU-(sp;7=Oj7j|=0knK~|vzhNpVjK5{MUSa$l^G*ok+f4Nf<2y_Z z2;=XWIwOqlGBqTOe_-mYFuupFHX@9FWPM}8_$N+1FN}X?-bG=2pLyfL_!p+G2;*Ow znh?gnF_kiniw{4Ysml=GHdjf5@qLY1UvKUYa$T!b`IOrts2i1yguwwvuz;rP(T`@X~CMDZDfrVhS(KhMB@k zvk|rmFU>}ohnHq!tPd~E3T{cfG+WISUYf1pRJ=61hAF%>yOt@uG+WCQUYf1r9C&GV z9aDH|c0H%!rP&Qky^4NE;4WqO=UpQB;^0fLxQqURH(WA`9~c#<(WsAypHIoJ#ZMoO zW^>unF`AOq-)P{+2qOCW=o_L&BKk(`=iTMxxf{j&vRvjz-xM`kqHl~Etx`m@`;|Y` zvzN=>MXG#qcH_>^2t#t~Oh5am%;xBii66RcwstI593okS1MvRW= zuc8KaNlwHe`s=8_11g&>GrctlZwz3hvg*TZFRp)SEGMI<$n!fx@Lqxmlm66agiTj=Z3CK zp<>yekx5kKbI~dVtC@tR7S!+6#XuuShmR=Iu1p@$8kjoQnVAm?W(kq`%lq- zjz<46`h8*kruji~oc{`M+{VZw24Zk=AsWExdBmQSu`9dnM`s9+-B>IpqT%nwureHFL$EUJXG3Zc z(Y8FvboMs9iHNO}BR#fWnBSGX7^{af2k!POJ^nxtVe4L1SW3?!pNSD5Y5ZLg+BdSz zabf;57r0HB|IQSm1tzWmk=gMVKUHHIe`}mklFKa9xbQMin3sX<$_)>)W!xFf8ZLYH zTy}Z(JV>(~rDxJPb*6hH_84Zm*gja*BVzj_C!)r-*a3g3Wrnuk~1j{|dk9N6pQz+fK-7W+6b*~fv+59PRc>=>6Bs7bgAz%ySQ zHH|0TDenI~Lal+(8|%ZQ62sQu3J(X|Jt4>CWV$F~13YX_<4y>g+ZM^RAFUZ&+1Oxg zh{orzztQNH<%&BrgWz9uR;}%n<>^*$8s}EAF*sw#VK{tRlEltOV&`HPkgjp4q1Yw( z!DXCGjb>&rp{FrzAKjx7v}2k|6x}`s;&ZKOnY&xWF2}B52^PC5r(xUD9(dU9@<=*P z2b3iSH-q_!*tIAu#x}`|odmXrR~Zl3-b`>$O_xb=GXcS9ETGooY9{ZNGW4xrYZis* zV=K?1$}}o1gT|ZiPR51EcJkf0d1q%?%w89g48?Fzx`C#8cS5o!FI09R7R$#92sLum z7BN>uzlJy|*YEb~H2@k=O$K7nmQ4#hxGgDc$MNxni26Xw!B!H-(%(V;Y?gxuPuV z;kB_BirAfC4>iJz(FiYD8|>kAleZcP4AoD>URGyR#$FC*hhycXDJ)}l`p=^h7%ydo zh}bJ*uZqQfHumaOAb_crhQ`h21Onj<;nyHA&oF!4>KPek@aBLSJot(*qv?AUV37$n zute<542`#-Ro+@>RK=bvVsGGv`W3L=US|ZUDSSQvmiRarjEmR@Xjb`N47*;R#Tc%Ycbe(5 z4fLmMhNf%eH#%dJRyB!sx79w%t@bfA(Z}nI5VhKexYa%Z)+g(Xu%^|h=WaG1LfD(z z==aNPLa&lBKnVRQZ)G?apG}>vO@GKXeE|mGXgESPeU@$dGFV@!Gos}-(S7ZWE4vo# z@r~!xVk!{(I^yh%(r;i2ZpI9h&&gMSM%)QUyV!Ry=!4s%puUSE1V-)m%G9Gb zs8aw7`qNG;5(&D0DU*bV&oqn<%49(fz359vf{z0k$^ppGAK=25jszcvu|umA(dl#0G(=`fDYU1fuG%V`>Y| zd?ca;qUtYW>Jfq+_K0ue$L*%^lnZaUPIU_Kg5CS{fA(uq9X73^IST7{uGk3NcQlI8 zW;7-?JDn~YiXD6ZX;~@B%FN)P?-lsE8a=vaMOWin zvY^6t-^vj?nwdS3qqP9K83i;rz2HlgJ$^VM+6B%jeu+B~=M=Bv9!Khb%B`$;96N2h z0C_D|NF29!7Szo`Br$J@Cj^!W%JoU!UiLmobhy>v9yC88pr&1%z_Jf5BDc=?>xVS< z=D7NhmbGtUn@@{?!IlmpCkBbkiNEW8{eqmx7N}t15oJt}0UKLZ+x8AFCF+HD|#x5Rbo!o9$MIgpXt|23aq*PKqG;{g+45C+LPz}pZiNHFhu#5`O zWE+%$2oM39bNLB`4=YDRpq}&1iNF@7uznBF)W~^21ZaljClJ0$Ea3&ZS$GK%*v8qH zu>8;FrskHWGtQ-%3%5$CQb;UA4a*C07gZK_#8V=$gY(^^f`Bek}W6t$+UR-;heng%PrYt=&=qu)Ue*wF8$BhU4)mw25$Im|2B&#t=UNjK&F45A<}UjP z4#U~;d<@6L%)?pIiaD)%pk=63k6V&uOxr6495A!CPnAKzmSJ7=feq-Q4`le7ro2vE zEPW%xC(8XspSmDbWvxh8&Qn5JE7BeFl)$Y>UxM%|rrY8vy$lI-`8y?W!Sd4#PGb|6 z>7sX})29=B8oA#rK+vZSTxV(z!Ax$1mJkm!gL6F3_#pQoPFQ@U9mcB_oQ79AKEu?v z%cL-Ye}mJ$Tb9;|7ach5AIs7(-G80azVA=##2aMa;Odh{cNTn$fDeLw;wg7Lbyq={u ziojku%~fMP{YK_(5rG5D!+QD+%zK2UJRq2-JP-le((n@q*B>h-CSY+O&YE`NeGS&M zM+6Qr?=cbRV5)`m0qv|0M1ZzG@`;E5?SlBJ4DxilyxUK`^V3h3iU<2LQ`B1jCFhH3 z!1Oei4Y+-rd0n(WKrBI}9t6?pM~IwPukDg6BI>b@0n zTV=3spl@#YkP42A&(XyNRLd&#hg<`^TQkJP<347cs8s^HHTsr@uY@wULs#8Fv7A zx!w3%^^%O*RNr0`tX{4&Vu;#Q7i83?`YEuUR%eLvs104r?`vP!iB?MpGs>;@%rXgv zj5T@CRN>Qrdb`7sri&x(PHd_AxoqW6!ldWb8P#Ovv)Rg@0_&&ij2exVKniSXqaia~ zRvbWsxyYK5=yM`Ia{y$YIc}({`sHlSD^T_;>x?yI&P&*wp9kv~>WsBy&RVDGsXB4` zW-#nIgx93Fjb2|a0$`9;R$IXazYZYV-Tzu@-DY|V+x1o$@wPgnmh5^X+x2#^-ce`N z>Fh$w!!EeuVaEBpMBpT&_B|pnz|?z1V34Wzi@;f?;D-VfSz)At2vC59pFjjChQd!E z0u)N&ClLN>q5Y}ekfT2u0pP`7??Z0Ae~;_^DOB>)b;dfX_wR7MKMU69>WuZedc#K4 z`!HTs0$ilC%h2I40 z&+CkOjfJ#dg@xrXpsg#J!Em7us`#xz6Z)))A9StxHe2%@6#e&g#zwN{ui2XKf%T7d z#wMLLD9u+4ZGg!RAc+2;7ySZQJ}fb+{vTfNW$HggV3ZN`1H1suydMG~6h@K=A>#jI z?mYmcs=5B*Ox?RP*_}Igo4cr3P^1Ygy@`S}DWV{Vh)7wA^eRO~Y}l|XVn?NkN?$-k zuwXCPK*ZjA?~U&yCzGAbWG>k|?>^uE@mX?G&dDz)C&|6JCz(`1V4e$j(flCwpT^nq z!_^C$qmaes?u;i}6N(!idI0`IcOwd4N0wNU| zbPsDh^gjzUodwJHT9kT7IyZC_V-x0;Zu#Z#%(xD@!M*lQzSiU)Mg0|st}a6ddPj8W z9l#zzKt5X$SpcyV_~qr)KL%wrX0o6eC<}ogB2d`H=xv0sed|3?GL5T1s748KLDCRXXOv1{&O*F5M+%RHhvV% zABJ>1V;h&cjA_}P0g#(;UykIZVC<%xZ zeX$Y2nj{*S-gGuBV5$LA9m=P`{K?dRKFXd7-=b-!2<;EwL;M$E+Dz)d6scL%eelrAawbm)@iDH-n>7{ubDW9SHrMmSO-v+Hoc+Di8Hq~=gZDWfb-k!e$e24QN2RD0c;*;QdkB!&~?)aGY44kjR z9)6Dc??UywK>bUQdI`>4VeTt%<_f7-ssDb=eI3qRVcMJ2zZ}!{!09VY+e`fqVA?)7 zbcMCS2gz}W;Cq=e*YGCB;?%z$DOiaskt(A8El8CxT_uJdBm8VP3N^<@65E2p z7#m4!CyK`y;b+@V7y}|z$FOWYidEEshI8M?*wNI#9n)YB;0dJaGeLN7vzW`VC+vg0&->X1CW_VA2dqugQK7JU zrqs!nn$#q4vCQR;05N#kPtAlkxf~SMEj<(Vi_XJLSW#0s;Td2Swh3PFQ!}BNvoW)8 zdL}%5&B4rm>6x&e&&A9EX_@Q^uS{8b`kgy=`iR7B5jn9zu(T%fyNs`xPyv@C#0G;e zHtyN?nKThT?8Sz_M>*l0##7iD6dRfwJ1cfJEROvurn5;>;{sRZ?geGwqi<|@Yy>#J z#YV!Jf;3MgwQx>s6nv(sm@s+zY~~krAmJc;Ol)inWAvah2HkNbHQ*1Uq~$&7T;3hmGLLv2bh(y8r=p3Mu#EuQOr9fI8DA^ExxRfhDj{ zWp_wSsA$amlUg@EDdC~jM96?9OpncQNNH>)7&QAGx#KgoL-HoM2l6KdrF%i*tk`VU zia9j@Hf+Lq(1i0HQWl#@^Os}Ng^)DgA+=c2x0rMZBwgx|gCQw)BqqTQLkxBp4q-{< zm;^fvG1y@^lqGepw9;wRUws}Wx?DiNuQM`cj#oaX4DSABX!6kt2 zUOP9ZT0V45K<3l2r4BhPwoGx`6h9Y*O-SyObQd%bup$DWR#N{i1htCBIwL69f{4Ku z#Nn}J)c-nWuBEZAn7JM@H#p=7mbn`#F~*h~wo8dIHf6I-IUZw#pY6?Ij6E2z?Jtb6HG}PfVT`RA zY%dC9K%`EbAQ&Fc;osB@SWG@e;9t_%X9)aj0RD|bj^V)B-WG}lB88hhT86;Cr%57A zUlQ4)Oc3@%p+qV(Y?FV1RsT+7zhGDW3042)ka}1(tWsDt5UJeING2EdyU*wIy6sCZ z(~SDR<~7(s8r#nsXNO~9JI5jQv4%viupjD8tpVE08wV}mAt*Vu2yihF@O|Y11QAa! z1J7M}_Q5Wsb__uj1BeobG(ZpuV_^GNsWm_nuN<1o!}LzzA5Z}Vtg06xh{MyxfJ4HM zsEIn%u8km$1`u@}(hxx;Y*4d%#vXZi<dzaWU_ z)Naf>+-?aVS~;W<2f?<-c!x70MH4NX)9{1ghhWIs9pK&otfV6V8o_>XPd$-|GY+*+ zu$jxO-I@A-$9ln!rAO6rp+3j*H|DCRTJ?AQcxLKrh3{#*8=SL7qbGUZ|XYpq%~=ITn?Z82fD7E|nXe`t~FD84OHfV$f{u zvB`#kfv2U5&|Ki@Bvo8%8t|jI5!5~l&7}gsjB-e01e2I-*xp?VH=DP(nIvo%YOMPB z%|0VcoH1c0JB~JSHv1-PVB*HCu&dSK8*IXbPP@5eSjS> zOvRRvnF!MdHJepaxy0yWel#3O`Y2@6WGa^!F3e|!bEOX(&9uWNfuu8ns#T_#A&&~- zLfPzlVk!Yzwsou@?>cvxu=j z`!y!_b&GU>YoQXansRds@5Rb+QDct18)kGU0xpUNIAJ0Vzv~Wv*l*c;qxK#fe$Z+q z-$=0EftTX+*N$nY&Y0LA-p_JuxD<^JpERrgB-r4q7|pIEELM*viS%~V{=oh)V!v;H zWD!`fBQqu@*x<9X=12@&YiNIJf5tdIhac`jG+$ce1dG6~YbT6Q{@vCWh*M-vw4Fkn~6L3lx{c zGq{w$a_v9uzhMSr4M$yR-Er7R8!@6{+B7z@53r|zb;m>TFyn?-I=4NAK1_LW@YFfntvRd|YkxeN z8;9#)VE9!quUJ@xwcU9JjpxT>k;tEM8%zdU&fOea<-{EynXfT{^wUW-m%_(uyf6|k zh!?@UhxmFAgZ-Z_aOuU=oOnrwcCi^KUK)uX6o*^Nx{$7Ll~(*<81X}!()gjQvkKz1 zEdu*r{-NbGegvi*X^|c*r5TMMjVX040^4Bzq0MQ$KBhFVNKcm1g2s=Zm@s^m<$|CSsDc!;c!mr9gU$%v)E&8t=LGx$$;!m}`n$|VQIJA-Aj{q=+5@OXVz(sd1da+BP0HcPv#!|?J5 zK*k199G8ShvaZNZYLsch5KutX@as8bHVE_!$;CO?fCv zzqcXrC7wI?XIO^P2Tawf!=L$Rd`SE(Sasqa7!wW&w?kuv^lp&((R)0Ij~HpPkKp3__#SQH$FFhek4BE zdJjHoSf4;Ar-_BbqB(JJU>cM-cA2y$u(Qfpj|g_cEPgT6AHT#RLus@ws$v1C;&O*H zV~tH8w5$Vh&|Z~G3gU1R($nnYNBLH$Z;=ZuGLJ@&!#vn=i^GmvXO?%NMdn-NA{sys)%Z`To@7niB!-ID@y}lYY5bQs zyNxvd8&v+gLr#oCU-ji~Jn_FE>2HU0i7ui6bc&6`Q;X`*R$et?!B>n)a(uC{<9A3` zCTSFxn$ZsgFEQ}ju~a#2_WIHL~f&W8WRF3if@;lz;H2Ija!dNAfo zEpi!+uHl+?VCT&#amY!0kjU33<_T9`}1vz*#k_%JAZ zxI=oeDi>Jfa*JF+1I_pZ@6@G%mPpmJ$dxqE1|tn=pgmH@TI4E=Ty2Lp(da(@k-%vN z^5ESuPkuq^aez-KiRmx#DIw(xN>la)g~@0~ot923hxB&f)hvG$9Pt@8VoaS014eN9 zg^5|KY8HhjB&V&@4lW^f+FN8Hjed^0><9>tcSs**wb#% zBmW>Me1=2%G5&v$e+VR<<&gdw{?=9e!;pVC6dvJ_(}156B6Y!Bu zZAtScRg%V|qzS+_(IEqwq##O~0!dRHa(X(F=1H2If&4R}@VO2d#P}`b2gh3n9B(lb0QupAmjfTXhA@7(m4M9x@WIQ04_;?6 zO`!Xy19$8-=N<>n4Hmf;o~Lz-W}O`8X3$vrA`FWrU%8w`xy~)lt?Eled5&`%4fH{c z-vN)r!)H^6IfT(!&fRcp9QXWime9Z{SmZt$=#SJ=eq)pl34AY1OYT-NG3ibR_8{sk zj{uw%7P$`YX5||v&MF!hh{7L&kqgf8b>K?&Y5X7Rtmd1Q&Kiqc4_CM%!+MK=MsB2m zGmvc)4GcwU3!dk!!#;*iqs~@je$*m2!40p-yxk%+DY(m(}LJ1qjrN54Gh zSsFlJI_G&BKnFSJMH)b-Hs@s;Ku0uZmql)+0dzfcUZ(-{4RhXvH&C{>N1Z*W)wgH> zUAvsOEwY#fF2XwBrGZP4dfy_qS>$#axE$jjTjUNJxC$em(ZDrGeL(})BlQ&x+=A3M z7P-?RaOmws{+jRnV3E5ma*supz)iE*>R;g=Ys~x|ZpY;gBF>-ejs&RYUW?pkk^5<2 zF^b!7k);+{Mgw>7ctL;$?nWwPk>xaSFGegHSc+7H238FVJuuw`qTnD%Ds{-&(M1+n1#c4x^PmNXa8HqfLq#In z-=+nJ@g6TY+#(Osz{7~64h^hD>S!9+fYdQGunDR9H1G&gu&``H>R5QNS1~7_sjhZplqqHyP4}|>F9Wt8buc3kGF#k+S2V?$OkU!KRV_5!L8h8=& zM^HK(^Wp1A0el@9%ktOJz$=)44yEHTe>~(*fZIhGxYsaqGNscob1Gy`bI3Txyq*T$ z#Qbw9y$JJXL;f6xjAzVmVdnXiUWu77gBQRIK7lcBfLoLKC@Hvv2KHge%V^*|q%Nm) zA@W=aJXbknA_yd_kO3C(53$&FH1G*hH&A*VGTa0VH#=k!t7M}^DlM|fBAY3_oj)uW zzWbf@YQ-(sj-q#f=*Jy0jXfuBhx?yV9Xn~@2bA#)4g8GMa~9cQ zk;g6aghigDbQ$XU72x0Hkm*dplE}X`OeM|$tVTGU4z@JEc4wq14?w8Cn?btse@<$KfD%}S>#2y4jYpXp#l7&T3DL~@ndKq%*8L!Ab$8MtYeXv zY0%>ODuhkES7bfkQY|g= znnmCnz#A5M(;~a!)@*JYg&i!i$0Bc8WG`Hrjp$$_d@oWbS_GEieHMZ1zV=}f+(i8j zQm}Oeex|pgm_BfYH&T5q@}5Otnf|~cA6f+Vio9kotO5VUYMUup{Bk+%>Yc`sy3&C_TH7eLZH zhsE;}*g*?!`>h_ZInq(pUKKD!c|V zu64*I%=~ttMZ)!5g*Q6nQkL`@vflzpiyU$pv-3Bx)NPP-yF(VRQhTt}U66FQLoR2f z-o{e*LehN>xq_8?2TQ>wN+E2bT**qkkEI@fq*V^Nik12hOFaxps~vJRlnNe$%3Vi; z4UpPE=_mA47`%m*P;8S!7P3kGM;dH``CBRd0`p-*r4Tk$u7On|*a9;jr}SIQgiVz~ z*i^ZeWwyr5XDIyvGoORZ=N)n#%WQ|4FH!n4X2Jwk2ou=#Eb}7BCus7!aO!GpR|10GG=8#29cwfx?ljd2N`8Q<# z&cmv{AecJ04+Ze6dpiXSS7d4E4s74uD04J@hTF@9<05fb6%&>Q|7W@VG*CT`$ z7WvyE|Ij?#bu4NFrP?~=F18C)b;7lC(lP2P!5#R#P;?xybacqwFfZhF;KQt_6C`zZ z$USUc_%~e;9+$2X)C~o}lWkEChb&=&x}u<7kks2D_cB5MSp0ES`^Z_Uxo@0yPIre@g{bZCr7?RF($Wo9Fug>H@v?kc`KSb%mzEj6enmTs+ z>@E{WOd1JSVdoUVW`Aw9n^Vj1?jD(2G@=L|u9AFA_)VQv-HH2+zNd>uN1*5!%jcuP zF=(^n;MV~V&;-lphi{1(nQZw2mM=*2PUSOg(R66T42LX(2N_?8=JiAMz;kgCJQpu# zsbQMeA5&rGFM^qW1xwALd8c72>}nLjuEt81YSFv_m{QnjU2v;<4TvQY%f#%f*ZW>vD6Vd>>idWA#Q=t@^@_Y|$d((o`{ z1P{||b*1IDG%Z?#rQs>M2%e(XX-YS(dgd{^!qUifDaHw@Bv~Q6VMD1d;v*cI^;2(fF%8Xg95&V(%(5`yGCP4mrEA? zh^2pm(my+72dnNxtnN2R`rRRq>#9q#`oB;BEUQJZtUkd6^g#jpW8q@rkSBEls?4uA zzy%b;t3xroIy|Mp+pJ2z#TJ&%h0+m+>}1uA+@*}SULi;GZZF_bQG$g?0b_9M!KrMVcE=I1m`Xi+uU6d#HLYD4M69P+%T2`#F| za`BN^x(<{+${{a6bvAD179Rsi^&IjdG=a?Lb8B(KG~_L+?7jF{6xA5`nmFVoChA~B z4j&|nn>*xX9r7gQw?YA}p>!LEyut)DL;>v~se?myX#}*aGS}kcQNRgMx|2g*)ik|j zm2DPx!O~r!bT@~*rYl`F_KQ!#((tjN7(O<xUi!^kaUJacC&_8z15_8!pf<+cnC^53)qG_WDk=x2~`Lm9*W_^!&_`E zkEZowt0V&&jWWgn!&ryxWirl18RH>of9%tZk+AZeyU z-q8t2(lx%D70-dva~<+76EF`2zzSUqEA)Fh0ZHn=i2DH)!^&L@EBE_Mz{U0@@a9qs zpSp`Lw{1xMfW6~P;Lj4pSJU8Bq^_Ys+{Z4yjt0-eH28I&C=P&|@SfeOAN`m@i*Mmu zu*D#3J{Dh0?FIJbtg_povO8=WKCOQU?^yPg2;m+`UE+|BSpAnF%l$NX1yajs@M@%B zn=3REe*1MKEq)-EeUvC(MT6I3-a|Ba15&GLa1l~#Y4A3r)>}SGgScH?TnRsG1nhuj zGY#UI)8a>H5Ko#GKMKFVDxVJBopP&;>@ohpTnvk5F)W%Nv&We{8hj8de=5zkS|{1o z)5!V^us-XMPoQIhxGi1$LONg4$bJd=UIxBb9P%j}PYn-*n>qhhfqo4oybcoHaL8v2 zbiRQQ~kh0MuU~u^7kyCO@mu7@}cE}x2{Jq@`>ehsC@%}>n?^D z%3^q-{DN7;O=#8d5x*Ed;(y63Vmq>YZ}|$~C#TTteuAH&Lh2Xz@hPN!1HTpa9U$@h zGa{tLfAHP^;y*24Aq_r((*A*8v_d2Jj|QLNX(faPpGOKFo*kZB5~RL37he*FU#~(6 z9{p36tJYOxy(Gd%T1hk(E};(jijA~8xS5y4Ajx*f*P5Z)x@xSK6mXT66hi4DhkU~< z0=K|R4x+&~5MUV%?nUZg8hi(-Luv4RqzSEgQG*lm{PBhdQsS|0aIZ|EWXWLNG-D#)|rkzAXxV=%*i-zzXr;qiAR*Qe$XnE>h>v(1l2ir=d%cnn*)eA~l(YaGENaN<%kc+H@LPjMPjT z!V~f(vuNl(Oq)YP%aA&chE^hVLAu#hJ!_O)h*mQntmYzze9PtocrJqz1*6~xz1ckG z;?geXgpx~9%4HyBfkVDy!?}ot@QYc=RW!67p)8~!9O5O{($E%6yPk#~L+VEAD?&xy zOhb=j+9Dcy3aQ03^c+&R)6mOE-AO~QB6T+n2@_vJLwhjoJ{o!(siidZE>g>B=mVry z($J?!t)ihXka~!QzD8;_4Sk2yS{lM{0VV5c=r>H;NJGNxH__04n6`z6h1qYV;UK1M zqv0H+w$pF~smEzJ52+_UC;ANZFoZ3GCmM!2aEjtk|KLxtH2oFcV&3O5hddrvh+h4UeywI2wLd zzT|!Qm}}io@&Wu_pjGk__=huBcKF%3QDb3qMsX-kxUUbOC7+ah3f}4^pTYNjb}0=W z7y!c0ZkNFr5Po*a490-)v+G_k285s8`hqba{Or;ei~-?iceh{+2tT{_1Y+a%61 zFe1RuKIY)1z;Ivz;($WLfr2>T5OJU&4oE~CD2M|V5eEw5fJVfDf;iw2aiAa$h(sJH zhyx}O2MXeVO2j!nc6tiqj0*5mR3gstu`7v1oa1A6GKo0H$Id*7ILF6s4;FEbkDYE3 zagL8&mnh;KAG_oa1A69f>%{*G%GL6x1*AF)GlMUCn^y83l2Pk5Lep z_!tFoiH}hbm-rY3afy#n5SREE1#yXwQ4p8-7zJ^Ok5Pf9?2IttV-&l(Vz*93Q6w<#PSt6vPwwI0f+pK2AYAfsa!VPvGMe#1r^9 z1@QzvPC-0@k5dp&;Nw)FT&^FSf_OrIoPxN-$0&$Pe2jv)#K$OzOMHxixWvaOh)aBo zg1E%TD2PjZj0%*?^@CFom-rY3afy#n5SREE1#yXwQ4p8-7zJ^Ok5Lep_!tFoiH}hb zm-rYJD3|L8rywrzF$&@mAEO{H@$oy=%H{gODae=j7zJ^Ok5Lep_!tFoiI1-z<#PSt zRG?h0ADn`?#K+fqogrZA74Mp<@&)X$d~%#>qog< zKR6XAm+J?oATIUC*N<|!esBu%rT+N(Q7+dHPC>rZA74Mp<@&)X$d~%#>qogrZA74Mp<@&)X$d~%#>qogrZA74Mp<@&)X$d~%#>qogqog^7 zbNA7_qmX)+=C#1w_i5hoNPS52PD1Kqnl~M(Pifv|NPSN8Zb9lxnztOOuW8=1NPSE5 z_968>&HDZz^8|y8LQ5&^e7N_=+m|H;YW=IuL zyFF4R)b5K^DYXY7Rg2ogkvfFh6OpP-?HNcNPVG5J9ZBsAkUEOm7jX+Kt4r;LT=!-5 zsC^Am4XAxBQjMs69a4>{eLYf5sl5n!n^F62Olv`H?DMi#)LxEhFwGRy8Cfx{V(M7< zJTRxC*RTo0M-HpwBdwCpL*q|dH}01xH4=+~t4!Lsl(RtM{0|mAv8sxkGC1*jqegu1 zis@q}jqE;b;>ht8)9Un^G-=$7$?cMA5F0N^DFPQV_MbhuLiwR>B^Sjih(&(qVdKa5 z8#}!Myht7|>li6JuI%_&xa=l^choOyD-=p zd{yA6MZf98MvUt{Y;u40BU|jq^Vo?MeP&D;UNKdqIKAKp%Eu2F2HJ)aBGE?tec2@t z6>$CNH1LnzwWRQ@C66%dW|n>1tUh>EUbnbcCa`+yzC7%+C)SP*i;#EFxp^B+Bj zf;OD5oIY(j{01({grrzMsBu`2iQ@qaJK_x9{X7NWlf#Lh1mQ1CEZ=zeqTPGMT+ zkq9qN>gP)U$E7Lp%TnYl5PX-5c3&aFD@Ayf2(K397N+oDBhs%G<*pO)>qU5j2yYbO zO(MKmgtv%rk>I~o#21VBZ6dr~gm;MWP7&TE!n+0EJtDqDg!hW{`$TxZ2$za*nFyDQ zaD@n0itqsut`gycB78`M4~uZM2-k>ktq9kNaJ>jOh;X9_D@C|TgqxG}%j|25NPk3x zTSdDb72!4!J|^1{KNjI9BK=blekRgC7vUEo{8Hq9CE{O;@EZ|+E5h$Y_`L{!NNPXp=O2Zf zpG5x8BL0hr|0=@YMEJW1|416&e}bI9QsRG$a{naN$MpTLNdHfS`_+8-*2C8;pHGB- z5e7sUOezofeW9c{$oGX);yEdCD6oi?D+Tj}u`>5gsqX6NJ1@BJ3=}6GhlXgk440O@!S=*h9!UNrXK` z*h_@HMc7A#CyTJJ2u~61I8}uGMA%=1r-^WYsBfT%pDw~dB0NKcgGG3z2#1L9ED;VB za?TdXPK4Ks@CFgyD8ieBoSQ{>iwGBq@KzBn7U69o zyj_HM2sw9(@GcSFEy8<5xI~2aits)W-Y?`V72z@wE*Ien5v~;B10q}{!Usk8kkH@5 zBEDM4UnAmcMYv9c>qWRhgd0UzDZ))6+$_Q^B7B5}!Rtw`Z>#T7;xFq4v%+~KNZ57Y zjUMqmM@X**^(%cZ_HOtz2{l}^&i7jV0^b`ADt&KN`rfPbebl=_{f3pk&jvPJ0}O#O zwn#*Y?_c8N_;%#@zAftk31I@u2f489B>49*kQ^e%_&)c20jW`Pgzrn=SMV+awUI8q zuL&vZ33SK@FG@Cm0=EiNP(Nf3>PHFcCpS>w;b98uS1+LEE1LREg8JPJ)F0-cJZVY= z^``{&mm8?R%|ZR^K~uh%qN)ERsQoUW*biNscAqCub}}fxF9FK$cLNnL2IUWV(NtUk z6_%iK+(22zpnRS*rGm_H&FS;p!~L%?kiA0#U&`m4OD?K zC~w`Tf-01tirhdI8-wy6s4_QD;1yS+Hl>0(Sb{pl4HS6e8G`a3=0#IQ zilz>ippI|@b)+#UZ#JcZsv|)iLt^{?A8>o8bpc;7TzAF?^4JD{X zZlI1e2jyv*Q9(79pqjXWYHAG1=jGAGceSFaatW%L8z}G-HywSRx=#hwLV{}P2C9`g zC{Nv|f@&>6wQ&R0)*O^4O+BD=Upon^y&I?w=Ab-jN(FVC1l7?E)bZw^JZWl`qNx)k zs7`L6;Gl!4p?lJl3hG1&s*4+_uI8XTX=;O_scsTfcQ;Ty%t3k5lnUx3396?Xs9xrv zJZWm9qN&~zR3A4`C!2%vq$w3tUkU0IH&Ae9r$!BZo1&?H5>$UTP^Z-}sK*pg10<+{ zZlF##2X%&*M;8^;UH&8>&K@H6w)Y%f$FgH+et4odA)OMx&Mo3U2-9W+ZGNzzB zy^KDtfEp!1jdlYC*N2#b@-!tpp@14IL7n3UYFrJ2dQt&3UV@t725O=?C{J(5Pbr`# zNl=sBK*6y@)9&;1GP+X%HC2L|<_2nd4TE}G0X0K{n&}4W-2W-4SrXK2H&AnG7}PV0 zrshge=edD8-yGCDFEjd!3aASusQGT7E;0w@>3QlU1=Ph7)Fp19;IOah=v&}LQ!1#- zC8#UhK*70VQ&65}bQRQ964cdhpcej5L0uz3UF!zwI&)Arcb9^-D(cXlcrQqizTSr+(6x4!=Uyknz}=Ry3-BRUFM)Xb>G_xsJkVo zd)z=RF$d+T`&3Z(N>KN?fx5qjLA|4BYN-UZ%ncM=1YxHrSpraWm%1+_tf z+UN!fuJAAg)DZTirmxtwpAwJaymC3aD)o)MIX- z;9ewCP@cN)HwDxV3F>h-P;kAHDJV~xQb9c_K|SRLYG)0D`cu)=(-PD(ZlIp6VNic5 zpq`VUo_7QFf;p&{JUkBjg9@mZC8$^2K<%nwP$31>s}j^}ZlGSTVNhWO)Eg4in{J?X z*D$CY1=Jo1>Mb`=dute!rGR={g4*W>3hqoZou@n*daeTMT?y(vH&AeWTaAK>D4;%& zpgwd1^-&FjiYlN!mY_ay0|hsJ)u^WO6;Pi^P@lVjg3HHh6qMpp>HkuK`pOLy+=Eu5 zpj4O2ZzQO1-9VZ94*NZgKGl2idkN|XH&8#;u%=Y+#h)aopWQ(HQp2EBN5NkusNdW` z{caBGPcKgih05srOM?2_4HVp3S)+!oy1)G^LH*|jYQH%sPnuHP-vT57D&TVg74Vyb z@-+HNlDjVukf4HYphCu=0y$o6s+9uDlAvfSd0y`w@53(dP-&1qvwXI~;KH9cI5IZ3@cEM@aIh0!sQ0 z2i$yz+3!l5f@SzoqaDo>@->qn>lLXb-4b+L| zpt^biwNC*hJz@iH9=8?p58DCh5gTyxhz(T3Bi7T~%y-I^AU$FOZXU6L8uo}K zDk$j@8*uZ84VZhx2IhHLW>ioY%E@!S+vItX`IO+P`@UDY?_vq+5;stnnuGG<5ld81 zmq}0y+(2D!4$6yP0{KzV)D;rcm2RM}G6v;oCy)H3fVx_OTIdGq8e>p?Pd253x>ka^ z&JEP{#-IW>dKrBxsGB6Ho83U&VhqaL28Rl2kpy+C8>q#`pgi4HLjF~3>NW}Lb~jLW zn1k~4l(1g`b*BV%mm8?N|EHktk)W2ifx6cmlqb)9qG;+q3F>|~P)p50d3i?nsi2lg zP|MvwtuP1WYurGs zH3#M8DIo>aItgmM8>kKDpuEgeDWEn=P?c_=HkpI+Waw)5ZI+<6xPf}a9F(V%kSeIH z64aw^pthNV@-+G)%IJGcg4*r|YKJi>Z`blDUO|D!C8#IdKs{N*pcJp5z*7>`PB&0b z*Dxr>D=6@c1of;NsOM@Jl%v?x^AgkxZlGSQVNi-!P~asA>SZ@juhcLo#VaVVOM-gU z4b*Ej3`+3|3cM~sz2OGx%^C)!dIjy4p!T?ddaH&(sa`>QC8)REK}juuYuBiKT1$PxqkP>l=K}AxcLqTs^L5A3Doh)pn}qOIOyg(9IS@#u$O&w zp9)I)4hP+QhlA$6!``NZ6BJE_6NVnlaWV9uRl|nfNdc8BK}Fm^Ma@BZ+DquHfT9vq zo*Srqb5NdkUAiiuViJ_?1}gqP1?5Ok1#X}U%|Uq@eS;NENiXZ5o0oOa+{-%X#mm}v zwgO6eSqI&`tb^uW)}9=2e8Uw`hsf?b)UEq!n|Gh5ee{tEsKX?v!`(m~VGhdElrUNW zb)*DU#|_j`H4JL30_tc9s;(QTW6VK$+FqQlfT|}!)prBcz!+4pk(VdWnF^?5C8)-3 zpqiM2D)$2FTm@7!397jps1`L0N;#quY$-vtas$=c9F(W-Q%-{f+elDt-9WXgVNi3F z?rSeWb#Mc9Tn&SotAOe#K^^Y~>Vz5wb)EuB`lAQk{LzEv{^;JO1m!SKu#41GS2s;{ zt6@zkhk1hCC8!>5piZh`Q1g`T>nTC?as$=7hCyAZfa)Vbo$LmxZw-S|e#0nuiUf74 z8>oIY4C*39Q~f2V)7(G}s9{hSE1(8SP^Y_r8e|O0+wQOm>I?~Lup6i|jX`;vr!G-6 zB|Z0pZl3!=bI*Nmpe|KFoh>yr%uQ3njWy-1`&3XPB&d;Ypel?(dDGNoil#p$~pr(5PRa*fi{n3MN{^&t- zfArw&>_N?unwsmTsq@S=bwT!^=1EW&x`CQ+4(ehrpbk^I?-B{>Qa4bS8G{OVI@^AP z0&0N-b-5d;D~v&T^0M};pstjlu5tr)wJ|794$Uc`7D`apxPiL%e+ue43F>+`P&XKZ z3f|y~9DbdxwM7xOdpgmr=h8O5Qshbh~#rXnyZ-aHSVb9j$2U0clgK+-&MW zbDMhD3#elhP||le=;k{dH1{12uJ-~;@!$wmNX7}T)}sBIF|V{V|f*D$EY3aA|t)Z=cTo-haXlovy9s({)lK|SpT z>KSuTo~8s9)Uy)Qb8euXHwX2i7fqEbnvx!|K{t=spt(nE@KrCM+9{x3lbU+nO;c}} zYif7)p!P^mZ@Gcm`#%Nswgk1$4b(gTQ&8_pQ17{cdfyz>huL?Z^z#h5`FRG-{XB!8 zc>&d4G4#)+roM2~)R*R(@?_{LsIMfbuiZd>V-D&&FPiG0XzF_j>IXMaKbnK`G*79Z zev+Vmb_4Z`IjG;fXiD+P3rg?Bpquw%(A;}5=xOw+p#GAY`rA!Y|Cnp)KQEfk0TuEYg9-(*1{DfQP$4%^VRKNH7f_1#Vo3Vrh1`7dLgqesp}g!taBp1zi=ON`-S_E`7hjux_ANAM*-DUc3(HQ?(1&eeJ6PVb+Q6V zdIg2tyn;gJUO}Ogy@2Ygfa)tXb&8v&PBqt5e=nd;Q9zw0K@D&NHP9T?ATOX!RY08~ zK@D~Tb*3?>pr`k~{tBoe64Y64poSWQ3Jvq3snZlt!zHK@ZlFe*gBs-p)Bpw4XbEbJ z8>q47pvHLtHBbR1?_LbK-Mttxzk4z0=?!_10&0@f)MPhJO)=NhG%uPuLjg5if|}t5 zYNk1;SzbUX=Vn5)C8#-Wpyrx`I^PSZGZjr;AVJM@19hP}sEfRS8lr%@Sc1C54b-LP zpgeu9Jxc*~xde5E8>lPIL0#=dQ$rO{(t{)9=D`s%_uvR!?*)`{7Atgv)YOe`n!3qc zQ@3~lHB8aeA_?kNH&BbsLEY{J)Nloq{N?D7+b>6l%zrsLbdMKMBNR|eq^9n5)6{+D zn)0+irJPy|-7i5cbpy4`9F(W+#R^4J%O$84ZlG40gIeXK`;?yx3d!5wLTcmXNKj9@fqKdqROo3h-8Vt$ zzGoz;XWc+OXAbHGFQ6tXpk9=qUUCEVvN0%c8?h>=S0t!iZlGQ@2emtUO-T=qkedfb zs2Uy|p7s({O}!~KwcAZod(1WE=^L^N>MaRsuN$bh%|Us3LsmiUlc3&l1NE*sC{N#z zRZ#CqQ182e`oI`e=p!$NK1G=lK9-<9aRc?KF(^-$V)<22pGi=kyMg+`9F&(^08&7G zDM5YZ2I^~bP@dk9RZV>(L4E56>N{glAukuL`KKy2C2#5qx!u$iGQX+I+vBhb>KCc0 zU)?nIo4KYuJx{5iq_0cJ&DSMl?&}iz+sl-oTz3)rM{4R{H%k@YJ zbqSmMx&%EvB`Bc6{)DE&0T)e$gXWs@^pv223Q165H&8j|pgcV#sGuwfD%TBE#2l2T zrvw#LRDz;zpz_Q?d3s7vK}oNmu$xy<*xV~9?8z%gxojpZy@JASUO{1VuOM%rl*?wq z#j^WK+`8`|kJ=%QRU6E2mY%G^NJG6v;MQ!1!~C8$H(Kpk4cpp+YM!nGx+!!m>N zg%1xOA%1JE3kkq~gyht()o^q8m^DE9%X+}Suq430x$+qViiGQh>q8o&jwHwP{G4zd z(j7Q@va)_iwjseLzHozZLs3IxD9A*G>TeD=)ytO zJPf-~wmc`?nYA4Bl@mTOxqmuC|D4G6&?7?)eN1aON!HLaxrURVhMv5Jll5vjRbN4R z=kW>>od;r}^ZI1~Jy0(*T`k-oxLW!rg9g(G_vba79v(yjW!<32DI^5{fmPS9eMo6= zb9k7(j`}3X3Q5)Hh6nrFaMkCA&xAq3##U~42-Fr7hJ6NaQ(hoE7-~He{)X_HhlfXq zF7FE>nNX{KgNBVZhtF}V*pd}n;gO<8tZ)Tu9Fq_pMb3ftu+a$>j)cl8;BOSKa9nu2 zsBk*Tf&U1}XBCzPOGBmM&Ec8)YP(}eS#5rJLik+X-u&>y@GM?!es~hAmPrgx28uN% zKRg8}rqKNGRG`8fRB1Ro0RT;eze(^n8UCig-&788w%&lw*8@v8pgBw*2?LtLMP3k| zC%X4c(Y+CD^XBjZz1Zd)b40c`5}q&A90{`un3^Nui-2P7jf5`-D$&yy^2*~uV6maF z4aICIz%2Q4ecP_mgD`5_)!~JD1G-)>6fHN|fD$dUWXmjUS;Byl+5v62A$%j~myMO= zDSnZ@Hf4$@K3}nBeb^9QY`|Q#KKM$*x1}N25WeF*>bu;HBE%Wzg%pJ7MKbkQg zr)B=xjJczh`ByXMTILEf z=2=?i(PqrEwajD9nCEDj$C)wD)iO^oV?IyYRg=t^&)3#G#f7*laWAi?qyh&6qFN!am=O`4TPjJTvA?wXo-#F<+*w`C>EX1=?4mOU+)5 zF4xw)z^vvgw9HqSF<+@=zRHaGDlPLuGv=$c3cJ=!VGFg)*PAh4qh-F)jQLtE^UY?= z*J+s-nK56lWnOH?e1n$xb~EN1waj;#G2f&$lDo}}Rr%ll|e`v;Bsb&7yjCqrm`BO9I&06Nq z&6u}nnZGn+eniXswGng9H+ny&E#Cc@a=z7z+?s{R@AM+KWg+tWoF8-!Tsw?K`s$=O zaOM1{NBM-Y$TXj@oS*a}pUOhy&w7zhXCd+zy~t;?5c#WKnud(=tX{;g-A;;^7|}A=ITZMn1#rQUgXbNh>Yq* z{+fkIsu%ft79#WXqvua^k*Uu_R=!^3-&u%^=|%pVg-Ba3a(@;g<64n9zAQvKdXa%F zL>A~phO!V@s27=&g~%eUspsUHi%gp@i}fO-S%@sri_FVHP5!05Lu=d zS&)UuT6&R1S%^GXFR~;Hk%wp>4RT72MW#O*9I6*tD+`ge^&$_+LgZn3k+riBdAMHW z;aP}0LO&B7X)H4Rk@ZMD%A<@$ruTRqy~w&*h&)O!vR)PMe{#rki?0J<3+bBGXO1kzQn*EJPlw7uhZgk&X2t zJ7giUiC$#KEJQZdi##Cz@kowE?xOfRxa79yMLMRv9$AQNsTbKZ3z4n# zB70{cvbA31$ytbOqZfHf79!i~C%1maBGV_gc6yPgWg)V?zTpFnMW)Y}9rPjxWg+r7 zy~x2?i0r5rIV1~_$LmE7%|he}`W_!p+`BzSY)~poun5zEenx7^&)3vA+ncV z9`NksCd%Uk+T~@3yejkqdZM7@`@}(4$zCdDhrVV^&%H$A@X#6_grf%GQE2S z=|x_jg~&7XB5%w>y~r(Dh@7Ywxit%slk_6D zWg&91-qg1ni%g#{r|3mKo`uM%dXZ0NA#$2t^dg_jLgY-n$QQB@ zd9GgMOIe7Vr5E{179wZs-+Nv)7McFuGe?i|bz_m~qi3#ujK66tGJT;qPmgksvB-3k z=j%o8%|he_dXf9G5IIjT^4%;%UZ@xOeikC<>qUN;g~*HaB0tVT^)Y{uLzHz(b?b91Y@D*4wO zQts;{J+#bGGv<@D%z0+aJ+;g+Gv;1e=C~PiZ!L3y8FL>kbCDVI$+>W^cFL{k8*)nx znDOH6T)2XpoT?R4t9nBEX`69~fe=8@U&~zEjQKPz^WkR919B6;U6ORacJ5II%tC=j z0{lT*A$6-Ku)H4^tx^l2q7Yz)A0P~qz1dR-slZT&C@X3(SSEg>->d z7Z(}`0p`oKv+zY`%vWfcFEL}jQp#(cAu`3^JYTeQq~ znK3WY_A~z>vjhGh8o5G4Rcp+c@6p!0&Ww49mN{ut*lnlrUM=iOvzqVIGH*6xzF*7yh#B)z zE%T#h%*(XQkC`zq*D~)gV_uD%waR;7qrZl z8S{%;=7<^dOIl`X#{9CDIp2)=6)m%E#=J{6Nkkko=2vw#9w{_nPTE^|O^cw|KnU3Q z>)N&-WXAl4mbuJ~`AseCgUy(CYncxKFo~yEp3~RFk{}UWv*k!{I-_)Xfx)0 zTIOTSnBUPd*EeH+SIgYcjQKrnR~>7{{Jys4CT7eZXqn5+m_O7qH#cMcNZaO?X3QUJ znOmDNf1-um){Oa6EpvM_=FhaSk27QbT+4jC8S@uf=1ykJUuxTYq8am7+M2tXF@LRP z?rz5Xjh6W&Gv;r#%)QK*ztb}JF=PH-%iPzD`3LP%d#V9*(u3`f+WziuAOx1$pS17? zm@)sXt@(5_=3lfmpJB%QtCsmpGv?p4%x9S~|E^^|+l=`S-RHW9$tP;;@5nIdvcI%K zOg>d73He*w48u>>|7gwD!` zpUa zS74P5S(Pg`hFAQbRkqFQBM(GYiPHj+PbJ8& zBi|rM|7-vmCdBt-{mRIa-VG}wziy8F-Y~E&@@Hk_-{mB?Pov7HZ~G2w!;Wact{tk< zYn)tYcghGo8m?a%wQ2!$9p4}qKDCCEGM0?&h8iNZ)Z!B|7k^hRIXq*@Xg&zGIdp3S zkdDIWW=zo{rKml{wT{S=w!zXMQ+TwbG$=J5Z5t{Lq0WDRvwVugI9cc-at%yLAyP<6 zBH#OF_%8EZ5&2$BIwz9gewc*kw5qQ#*t7WF_E#KaI}mZ2Q^$ltY|Gr3;Cvz!=nd78k}wPJsJ5n zT%V02pxE%iU)IR=N4~Yi(1{-6W|h&}W>z`hqgBqgO|5diT`jAeZ<|`>eA}Z{&NsKp z`Q}!6xS3T#<^OM3<$T2|kC0Y*q_oO9(khQKx5}f#&BTP>0tT25EI10QQUF&ab?G2v z0mp#u=~1wASUSWn$l&r2ty>*wR~$&%G0}QjY|)05(Z;FRu1b-1PAax0)seRFK+>94 zN7}Uql2%?FY1bb}TC?g%yYWEMnpdY!Z%&bxW+5%ABW=-vq_wP0OBbg|OS6zx)xmaG zinO$rwyqAgB`MOFz0>?S0u1Et}XCH)=4~^B|jTIYWK+eXw(&$x+{;0kGd?i z5#>?wQM-3$!97(7qcfL0IdwLEl(!vDZL>xto{fOY@NCpK+(~GnH$0y)rqXrMf%T#O z;5KW+pg@CuJEDUsqeCjAY5UO0HI+tB5pN2m(No#$jJPHNl5I$U7ntZUtMzJ~ny|_O7of*g1Ov z{uxYm!ogdjQ~dN%qEbt|~ZAF3DJ49U$B7 zsToT~^A!wnaz*B%X(MDi?=5@{|5=WZpQGd0+XGV(A1m@T9Nr@!EAcKd%X{Bpy*M&U zqqAk-%}MUN+0b`$c;C&H<7FcB92=Q!t8Q)q#a;l{wbZZmNc8gLt52d=Ziz1RksZ-% zABo-y6F~G1*3TPKCy8VzZKVp@M$cm^5JQ)}KtTcqry z9p+}V!`O^KXm!kJM+P&xE3+BhCC%tQ?`DMcCd}x5X+}$v&FFqGqous>mKmDSs!V3I zIWtO3I^mt^y-`W*69oIH@RygJW9 z(OWVWjjABx+eY zKzUkt#qN-CDpKddSL`MkOY;4D^=bAMyI;oQse(_)STdR~K0w9ED|RUq6Ca+UpFm40 z;P11v7VR=_(XIovNPV!{W!$1&wo!|AsV%CyP?2{Ud4;@2c5&n9M(A5A=l-Rc-dI1c z&Qrwe2YQP5LYnk$v?Frwy6CsI1uE|p*1Q?*wg+VH$QhNFV6_IjVD@8sGWQC~ve)SskpNVXvXb|+{+ z>N{-;AEBGV_hm7K?=zml_u18%!uKU9{J>K<4IW70`&`^V(x>p`+8fc3RCqX9;UQ3X zm@7QTMd7+B{GI9;c*+$1j3bzlW@As-Tg(uhEh{mP9on(E-LEjG7cR@nm zzLg}Ozs*fpM-QrBNz33bc_Yska(VNCuL7Lu(B!v2UzopvWbo>H7vvviTeZg6IcKvV zX>kR{Mj0Ox=;6S4WJbnbE{tjwR_2mN!w#ftE#ouu9-drF$UZi6NmfhM3kAQ}-4`M) zd|_WlU#QB4d=nCn0YK?rENES6L)HD3=nGfXL`vx~!d^;gy<~ei2JEFCx0m|TUhXGR zZZDHF*h|!H&?Ike`l5Enj9TLF{>r8T6hAX#@dz_3)e567pCOd30ub!-Y}zw*E5H|x zlZ#w{B-=sMWiNn&9V9yiGP##$lp9Um!1YC|I?y*+n#$x%rqaN>sU+0|rqWQFN~2^` zX$Yp$h?~l>(o|j&Q_JEErb6xGGB;Q;73wzKYbPP<`i^x#R(6A*g0$X6BS?T;@}C8shGtKAL1xi=|C1&SX=Kz1vh$O<+?^q)jzVwy7py zQ%$)|l~dum=gWiXhkaJRaUE?9z1X(OgAZcuneTJ22Fw+g9@jv6;^u-oH1mC?$HV`V z$7jr*m06XY)sikwrTLY#E1%STq>R)f4W+y8vBbZhG$elT^Qdyl4as;YhqlPEHt_$e zj=8=V?+NzFydeqGZs6|dC#B?I+8x{-*(dWGv3C+KiST&_-phJOE#(U>-Go}|nNdr< z4YXv($;F0hvh9%>OGaxzO}3(@K2l953pL%OQ&V55rWv575NLA)SCcfIQ`41V{jh`f zPuC(i)iYZg&`8=^l>35ftu1cP%cvZxSXC9-7`iX+ztAV-1GdcHG`1rM5kd?H_ci za}=XJTN>>!H=`Xc)ihHW?MBsTN2V*q-DpP{8ErwFEHKn#f!kJ%)Z_oJR$HK0?PzJW zW2DuNl~#L>u-c6}s~vZs?wC;B?wDlM9fjuIQTYFUcN8k!FyGKN zJ7$Z~u~{7*Gt;|+&e}m|RnocX(~Owa&o}CkqByzCaDWuK`8a9@NPE(g^r`l=-bk6k zarW0t>u?l^J$j@(cN8f-a)IoTdAvvZz_flL?~!&etpjYcr68K2o14`iobqTK$eX0)DiC>sW{Iw?HOAjReIw^i2JS;Hujn>hd;YoQ> zmH5QBa9=IE9T>9s7EW(dwkfbVwUX*W`WN$-XRz*k@OKxy1}wA))hJB0~tU&6i?rys=h9nJBeZ$@uy9Vo1KrnjGaCAt*? z-Sh*Yt81U0ajM|wT>;(w%3fMsds1c&&5>7M-K0iLmyMX7sS!(MBi6&j30@s!-hmoX zFHUx4#)LY~aAMR4yq{#`jl#F1)FZsUdP(h>C)+bGQ+t+G#{e52$N-mDM_SVZNn24J zY0VBKZ6$p`42}VCj(`pAhU^f59L??BwoKA?liDX$*O0DC8jBEN@0JgGf7eIZ!sm&y zv)Al@rIa12OZYxRvJDBWrqu^%SO@!v%qEo9untPY)+9G<4X`m+&=e9SWd{_!fR^#A~ZyeVV4w(<- zbpBzc>HKN$J)K-rDgFPrdk!!uilt}v^6u^K?Csq_lcOYwl9Pz!C|NQnq6m_eWWa<0 z1j$A+5+xkR0w*9UVnlrg#LQEYC@hK?Fz{FRr0Ut}-aVf0{p$O?H^Xdqb#+yBbyatD z^(z*qU&Wk$1vvdG)=hWH}&SFvktWc)5JlGb#GzT9R$|B#aQ=t zVdhmmC5U~>A;#!@$5LkQ^XgcwvXE}EyEJ2wPPjbtUs#v@iy{B+rISgAZB9S$S!8-2 zlj%Jm)BCKY58`xef^0V?W?r4Zb?gxC*df;3hnLa!Y5>)ajY(!7e8Vl*mHgKWBw|oW3u_tazbCN7vE#N|h zNm~6lRG5UQU}S0GH;7JKRB$Y2MD6yVM<>{lOAL`GBk-<^8D}l<4yJx@gSVJEC2n`7 zp!QsudQQ~dd6)L4#ucKe@!I=Q)ZWi7?M>4f#$ngAc>mm; z(N}M7kJsLBR(lTd_``0`5|0@0cOdYt;&D*`-mfMC{?0hy{T_=uJof%%n>!ZvF~WUU zJn$(Z@M(#FpB@iL$eBy|RA&rPxTv&~hpq=As<53;Ix%rthwgktK05?kL3DbD=4@K+S@by>B1 zP_hH*J%s0%2W6qu;8B>V-~7c~l5Ej5WPn5ocO)Lt$_nQ?9*KvvTwzHrQ2-F-W^qXf zt+G?6`AdnDQ~}OqL^!t-!gt~-(T4Zk;>F3v_5Bh8cimX}f!D z4b-EoS9U48A^%%Hb)-7l+5;L+xAu4Q`QPZ?zLgLTlE5`cOJ7Czb=cO(QcA ze(KEsVC2nAc;wAYbmUbMkGv{2oAIEYmGH=$mGH=$nfS=7CLMVKZ81xdGKL>{v*ZE9 zXvun(bmTE@kxF2b;QUU1b&`rgZqi7aooG?4EoNzBg`?1k^9{n1yoQ@4Ilswki) zi~*QDVjlsK#?<_C$+nF%^)Cg_}5pmSz|&Y9)e ztPdU&-b>Lf?ClmD074Quv^Q5w-9)dT4 z9x6;GIQ3CvPq;1o`s-PXi_`V&S86Aa(gy6*Dwp&^ohxxTeK?Z^loSVd`gTLqvYq+V z-w11)xsvk_{3w_!TLcDU!{5ZU2mORY$KRAkM7$fy53;%1L?O)B1spwTAX`3CJDIG8 zHvy}gUHYO2YDppf=H{Y@|4Ovz0iQMa06Sa$7N!_@2Iykosf@$`URz@F%#*Cg`CIcP z>BhbLo`DZ%N>S%Ymacj6niogB{4KdXh7vg+OCPd>+#{OvF8vO%aDTfrz)cbP-1_ zfrz)YM7$jq@wOo1?U;zSFU*G7f_QqfgE?T-vig8gP6JOmxoDk*vQsCV-drfz_i*aX zE_jqJ)Oray#7ose>HN*Z94>?z%XK~DsJKIG`H;G zv*w3vgP_>D7iI^>nN8HW1LN;e7#Ug?PlkFH2HJ{v(0UaH+NyZadKU&-yiBM5K4yZV zv>LF+?NgK#nrF*41Gog}>RO2&X6qIG^kK%GQCcfe^SVkl5_!Qe9e@RWot7;WblRFv zvnz7e$~vv4w4%RBZ0ay|ox~&LHS{{Ikq|s@gRIj^i%Y^%hJO%sQ1sAI{}8v(Q3%K8 zPkgP{iiknd+2=au^UyT%)aMySQjpI>Jt3CRKNe~|F;s5-V!c*HT#~jg@cq_HMF+Ee zz1CLV0$mUT20Fv|)=OfSd0o3+aw^ctKuIDQ%0Qjk)R&~x9!}B_wbMzuJGD1xA!!d` zg)4((Fo-vi3m-!g@T-HfVK)C7IPj`R>A%4GGVH8zid(JXh9B z0ycU7d9B2%V_56{6rYDs-5^QY@56~zp`fHhLL-k{C zG^uV<>!fz@oRPFR=?Tj(|5{1EsNToIwN+S`)30-&w>HpoIr^7mVV= zhU7)+`s97;+T>H}1~y@iReV5|bU!#gb?MZz8+#&cawF@v@Tp?=r0twIT3<9t5}my7nGsTsX$+CdqvqK4!}ZjD$@R zvxM0)hLOP8GMglG46ma$Nmfi8C1q)HV-5OEOy2dx$QMlcR4(|Z>+-2wh?URjmVDlO z>GG+!Ys%++mVDliR_vKmI(9a_-1X2cuvOG5viMH zEBoA{!e+^MqR}fj-LAS>7A57MXJ@R?`L@{>UG+v&bk!UEb9K>GZ;Tb)xt8e8zjV>n z+ciaZfhD>NvFI)U(Ot+ycTs|(`=m&8mx@IfqmqE=F0(|}V<^J?Aa5((6yX+$q2*MB z+#1CeiB98fz%8;{k~k^aB9qLRxWFy8TqyfZxls1|mm4Z=f2>?Ax8!2grOSoht|=EZ zH8=eq@jrv*0upq?2fAXhCPBH_D3S{p4}x6pDn=y%xvZ6| zZhM|Al@K_3$ouBC(l&{Q>U7&VZL&?PEkP5zh}&exIXHK9o0cwwNmuST4?L2P2c9oU zRm6M>-UHv6mAez7f&zFSt^O__-VGn$p zY$rI@120I-1K+NNr8Gny`0m6!@a1leDBTc*xz7u1mUs_mI0H zf7lFP`6qkGdEg;$Q|qevo;TEOp7ZMVO!?)*|hdc*7-+jzO4#T$>sE6$N9lpKDJY-l7@V~@7@vC}miXoHV*5`Fp7r)#|*=5&3)ny%?N9czQ(08H0#LI+xU)tav59`Zrabp0>4 z>00-Y^T0#K=p-;@ziXOu%04v#KCS;l_gW*w8i;c^BAR%+ogcjs z+1)TPc3cG6aW9yHp}gRK&#>cOh!qStYGMe+;Y$|`ytQ54Bf@Ss3ag1$4sG6ABEF>)HUr6>~*#8cgW7+ITkuNvucMXj!Sab zW4s}^Ly~)lpPF_^f~0tX+94BdZYO_-WZL2vP)C+6vbhure)@^U6_311z)?Z?qL!Q z`PTqOYCr``EJ?XVDG~61B?d!MJ!DEbyt#+;1gsqFo)7duX?3xi?Cq6p3WDqfQexyO zkd}Zv?UmhcL>(A`JnfY%Ch_95S7xq23--~0K!$q&b3UZ(!$HFVpL@_SEr*3?uVi~W zMtJtdPxYImv{bq&JwbTBSCiCKaC(ZV)zli6Fm0jXxjhRfOo_$yyGY?hrF0>Jbkm$- z49NgFN#4sOnTF){1WC?hl6)0NvY$$_nyRD+fa-8S;jB_N5QJ~ZY7O|N!M8NED0~aS zHwej97h4j)SS0aTrnXhnus2m^o1fild1VK5Os++_yjplXc1gBk{#NH`eXbt`_Qd#=25)es3Ti zs{16%j4`~~Co!I5H#qQBi}NgE&Jz){^raIMw?qPEEMk_$#4H2EEX#;l&LpOKy)h1s zxDoROt(BaZ6<{n}hKc!t#P0C@h(=B21V+sZS{-5YF`RipmaJ1BHY1eD$~1o1OuK|( z^MW<=PJ>}ng$QI?)v zm!&I}E=o5`mTFNI+)W}&kOkMYC-#&6AEeCA@S0$pI4=&dP&}#>LC=L>=1=FKZ)(-1}2)Uj4>WlY5;k?sYb} zXXFJu-r(aXZ@6*qfaH`H z8+bkPe0>lDdx;nrxE6SlyeIu^-y~X`rJ;v7=0HDJ=U8WYU|^4ZzP=IPz=&@M=I8;* z85yP)x4<$*bMQQ_fmw94a+h+CHJeVLC)BS=Y&P{#XY!&_ErAp2UV9FPS}+a68TY{T z@E@$a{DL&*0X891pYj^en1h&zGy{z}n2E?e;Cs@1>nX}8@I7h1b?QdGVQNu$6HL;> zEM`iF;wy1h`U1ldCvOsQGV=kMavjg? zYzZr8oRAMpabN6mo)$SMJLgGlCOra%o`0a)WEx3FXBgufxN)<;*r38fOH8S{H;P{c$eg$Z12k^20gk#i7|tC5ixwkCm@FO zes+X)84l}^@=T&=hm_^QqWsRwL1}<87n^i2-s$f_Hoc2FFn>#kj)UMK0U2vqr2#I2rUj3_Sva|IE-N*B@aSS2tUzLvm3~R(x(C@TnSM0a%VO zLD|a@xj=(B zTfrKd&#a*+d|LqD(v*+j+d}x3sBCrCa%A-&zT?yY(6e^{w;9IgnrF1oX)1~wo_(u1T zTA0$Owh&3*8dLgw4S;3=MM;0fUHaA~AblUkl|E)&1~xzw&*BO4L&>TWjXDl&hQFqlPk_95#CxB== zB5x(8{63Z?&9If<$4;>dyu-{fD}}Gs@lRq(zKab!)^VSgi`4m4;@k0AY(AB#YR+Z( zRI--BxW56@ReE!~PFK?vdtc~^aUp}BN>cvtxez{;92Y}^_h}4W59xFbY^B3FWf*6K zekLIlZ&Q9I*|7A_v)KBXR)Uvj({AVG+4Rv4C+4GlE;YlUUmTY{mn^w5o^tB`b7>wU zt7D(@mNK;Yu|ZdEpk|Ux=6rriGLiG?Cw_P5^9zY0jTOHyBs^fHKgYuQh1NY0P4z{L zz}Oy?-bXeT14kV0$PvkL5hD)Hx@RZ_<8>s?@i}PeN{w^2k4R3tP*=$Xw>yPh=f(Pn z#BGb2UXLW~R~_M{Wh+=fh7p*Qoy4&v zO?@%-Wy`<1S;SH;zflDb&9e#!s9zd~@7cND+KPs6^N zw2%#t*}YY%rvzysZd4C@UQs{tMAeUz_Nt#Gom4+fX`z0W^1S+a>SO8`Y2(x*%r2e{ zW-7Id|83dDUsAg`sRY=-Us1a_DHZJEqtq@=g0!oFW7IBAg0!oFD;M*zq=1qMWzI_AV{HdGa+qduy7E04!F>UJfS41}TY15_- zEm5*)r65ETyREK9U2STblGrf~e*h0r1NyUrEL#pS<@g z$=;|FW&@#C;A7{%lH`!1i#ZUzPq+F4=jjxntH+=8S8j4YD$y7Gn){G^+6K@Y~}n8Y`ti{9~=c}!vf^UORJXPR~}^O$6PooD7T zSztcL%ww`SHc%C4qabcZg2_Ck#K%~eFcw-8j)jypVpee})S>9Z!lJZw2UkPNbCTkG zx5P0IQ~;>&?dQhtF-;S~jxi37YJtQHe}64k>isi-70lJC?R$$inhKXF=W5n3wcbj%pEmtypFVcpkY;_gTg zbygD5&zq-brGm~3ZTE$nv$Au-bc;S_;pVI)Gneba%~^@##V_2PmE70BEGUK#zvX^b zws`LZpXe6)Sza5VE%c1h8Fyts>|MsbjDKak?~Qs#dT;mM1<#Y-U%bCrOFYF2v4x(| z_kXj*qpbMPmUxtjf65XMTap>B5LlA=N^PYc_2jF^QaY$dQzofjrR-LZr#4Veq&9)S z>FUX}EcHZMQ}yfg#p=oQ7t|B!->Anks;j3mda2)dE2!Uk-&Rli>cZa|^-SiC>gmjR zYSiCUJ>ws)p7yU*&*IJaAc3V8w#^wV21_lT3c2j?Q@dTT)|3wNm+^v zQ16@4^j* zwbW^a8aXKF>K6#Qy1=BKN-k_J1@)t45Oft}N6XYzAmPoZ)T^^W!kbg6r^0GVxO!eB z;a8dxt_C4Gi=G5{!<2BksbQ(e-+77v_phQWT_9Y^`fUJK$v@c55oonU7e$wn7o9Mz z(2yj3v&e)A)F&`EAeaFzG{h>W^5wQdcRWaw{H|0`|4_Q97nRA-(-j_-(NsT{fDV<2 zXw}j@RHEDu1{x+7<@2MHsZVFyL7g?8svP%wy5!4pyZ} zjyj82m4+}(fK{Em-x?RHZ#0ByG83j?7rdk8Ntdls(5+Qts0cceE;;IFbi{eHvL_vN zWU@u-$s$sB6?LQ=*O3faO7Hj`$&f6ei8_)|xQ=ueb)+ZP5wB$Wg7vm|9PvtS#SnGG zTeyz&5_O~x*Ad@;#u48oaKu-*j$CU_PN{ofa>|06Ag_8Z*sm{4O!_5B!2x-}p;KB9|C-PK)h93bI2`ZP5y%TJp+<;)rarhV)&|UMu`ja)t-OX-UJvTmQhCW* z7C)uwS1cGH&C{U4jjnc$1s4>x18xet-v&*UdPYMNt`X!J%yacPEVz*vxT(%*UH4{9 zxaPY4PSt+3I_O)8CcYZc7~Fpm z4Z#hO5D)i*7`Q$j->onqFH}}3YrIkAYvqFSJDlUWO6{)pg6HGvdi7a&e&_LcQs7)q zdrv>lKzJ_q})d*4+WtA~_!>X%Ay^?PNQ`m-`#{Y|+We!t(7tQ_{FsI@$)aAA3xdc>3N zY3RxDJnHd!PI~m2BLb7a8gPFOF{gh4xIZ6Kr(gLU+@HhL{ZUSU`|}ZXf7D*^?PKcn zt5?FePpH$cJ`3MIg>M<^V)*tMd`tJFz_-ugn@^3xw=dwE*E0~l9f5CtPh0r*Z}^t! z*$&^ngl|F5Quy{2d6k6Tmp48!{CuDcRu zaJ+5SF;B-~pF$e8`{Vf%F?L-5O}Ssi3hNrE5uq)Jdv&xQHq%=MyW(I{S=d^7*84-w@l7h4q%VoNt$w^ z7|2N!c#{O!mk6E(-cWyNu*n;E6Y%D{OFrjrv~HYp4}Nc+a}SXn>he$wJMS@aWVsFMV`k z5);jDj!rFrMkjoRqpys_L6NXzal#Ukq{&J^SVFP`{vu%s34}#+3X8^i61E1hKv;4l z!U9{Z)WzUcIbi+he_L4ePMX3}%o3L3i3>}KOBWVQVxpC_gryV~mXbi;QjEle(N0PETRZDG+nX$nhK zOIWHUE-aT{y0Bmp6OCqvr2ivY4J<4)M`R5~;+htT`PE6SPC~1bVQrF-oT6zzjD!HK zPHMHBLQsogc!heVuRv>Mum5OmVoAcX{6w_&ln|Yj0bp~Qd4yRK%fS-UHYH7| znUOuf!A0V3M8<5EV(T;)ca4BKpk^a0{d7Jcx~bm*w^aK8-_F0T9?28 zi|5lN@L^pT;d~V*SN&rKgldD`yuIhnY4PgB&2XZyg=x1w7u3C%a zYLGb%sV-Z=l`OmPmT0|0tM8k4`i24qt z!*D4g&0O4+Z$f-z6arGLg??*Ew+Pb~XcsHS1uufe!0#3{f7b>($G~7V2ClQDMqsxM zixmxP4BTXn0j^IWWr=X^_>)|4y_4o}(NoQV3$B@|=Hk2H+NGzO^I#Ga%}O<=;Z$?* zS%VMM+8J$;_%UOva+tZg&juxTE02drLO8hdYf!SSkj^pp3VR5Wi(!^r3=@sAF_xt= z)Ihp=)oPf{L=#`9p-qHM17llUUo*k2SJ%cG)=PD59JXG@0=dR9lHF>OOr5CTNJBFM z@h8|k#UzBHQGzkWI|->pi*q@F0C-nnY3(*lhhmc3G-$-Tp5nxzB8tf(@;D7qOqv&l zM?{>`E>;|h+2Vkc(1r=o=7pz( z%+!b-^d)TRQ7sD|-rQHIwYx0o5e#~0{&69uvEqZ8he1Ex8uWK#@qx?iwY!=4++!Mg zkWN?+@o5Yk*0<&9xo>|LEE*+cf^^6^ACe>t@j4YUWKBUSH)c~BRfIc#@Too*7Zysj`k4c z0!zu4qQXCDa}USsY#C|Hq3z*JF9vj9+sN-hw{8M z@fpv1d}P{FNfs}2DB}GHENR%_u<}DV)I=qTs|hP+b`ErCXrfTw`H@*k7C^o`;{7*I zrX)`#B$3u#UyA6iFKxC(rppa7{T!D}mE(~Kyh7etsw~MI=VD`3mR+ zz*AWg(&&Rgh!{dvMuhy?nj(J|DY-coA!+$kJSZ*=Zga=f+7DNz9?YDY&!7(Q-#6ah^eb-m0Qa6^{;lXu?xPdi9__U}2?V zSH$Z!RI1nX?OBL2>`_&dhn zZ!qej zP3;P?iiq)cYO=;nQ)NCi9ZXYYJ~I{;SQct$u#Bj&KwY>Y01Ru-|A7MsSXI?!0(Kf)O#T>ex~14&K+IzeQv;?^V^NJN2G z9}T2_CE6)?Ja*ZRt>|KFrh&|84bTmR#mY0vI&0yV#mcOcEc|-tRsz0z!_$CqkS^4% zgZ17g*n00GSg3o_SnrL0x0?2pWsVBGkOm>;5wTFk7~eWdI^%0-PaF1V4Q&awN1q0! zFJX*dYHAnHJh&(VM9kCAMZ56qZzx%r^SaohnK(JDrx810B1$d-HrjHnbSma!BgrXz zdf?qUSRYfbjhJqZ78(jmawo36uLQj_7IR|e7&aJUH zSKlyWJaIX9qa-&Qoo5{G+>H|ZpQqf7lB1S%Romg=+^C6t1SjBeQjSnpU2OT^Xb%Pz zZV*VV3NyX}Zvv98&4kPLinWC@eP9KNE}T?nhs69<*wz~JFRZP#+t^uZw6zvU^8_9n$^Z)4^$y?>rAV3ec*DXwDu^DggHjfV1T zo2prn{235ZYu(F32XvR}tjLz?RuLaN5@HtOoWRKmuY6CAYoRnwAt|isJd%RirpHDE zZTA(54DX&ymJA0_@3GsdlUZ_a#Bwhi=;2i{9)`JjJPrJ0hhZHI5kN>!zl7J+X`$}ae}1HPpyE0wa^PWVP|3o+u# zd9mJQikY$pXp;oPs1q+{&$)`3aS+r8a#zzDWm8y&37+E4z}<>^Om-X!qux(py)Of( z(cbU2dcTMD9?*>$tcvPgk-7pFTiYs0k6x}6Rd*`N3oWbackRo$uWhrie0?+tJ# z7Dmz^+n)Xqq_ETuR((#X*SG2p?VX7Bepvfpm-b1Lvd{b7_Vii$&k^mjo!VDn?I`=!MaegD?}?lEheNgl?2i`u^>Tfe2OP`t_T^BP6V(|!Qt z&P22yS@ECj;;B{hwBNL}h<35_nR6pfSNC7ljQ`kV3{Nr4|( zo__u3G#HieJDj~@zrRJ&>F@NCFa38feM^UsDIfcN1M$({>Cq?scRzhgr)QSf?+=my z{hc0G(tn2#b^1G;GGf2qKtlBQERtpX9;R>Ujk8HM`@JQ}roZQq9OL&~`j+0fNM6WK zibO)8$CVP#g~EMFGD#y|;wKsjliVGl+)ith3Tu?2Ym}TdO4b?$PRnJkQ8M752OKYY zE>yJdU8S-o(0-p@4wc#gC2tcmcnhoRkVi=H#^g%#E?gtIm{tsAB1cpJ~!01@Ir zeG>~pQ-GnNJ0qdHBBAN~Libo;A7I&lO*Y-{icQSG4Ji+@jNxWAn`#eo$^x*NVPkUz zxa8yLUqR?$0X7e@M8+oASZp4NgyvYNKg^A68;6IT>f=!xhx*X|gY>T;v`~PNO7i&K zN61R5gb-T9=`@>5i#S9WUD}L|O~kRP-dv ziDfD-fK_0?0xPgR2TOp=Q_eZ!IUAXdIsk{M275ea-Ymr8r#V*IEPmQ4bGvQwb_UY^ zLjMXv`vl}&;wE#5I@|z=F~UO1QchO`eO|EX(>11hoSI*zRYGjCd$dd|kG_M@zG(NT z8xSXz{uP7{2zs=fBZ#5i7Y9He!`7{Kp*AiwUBwXq zX!@E>(>^gY6>Ejnf)TmeIU--T2@Kgzf|>NMAoP}iz|XjiNF>7?lh<(Eu$jDuC5bf0 z6)^d28;AbTKDaKys|rHz32<1e`H+n8OpejpE+k&(B=P$;i3hrp*u_>_&vD)E+j?$H zK;O)T0kDJzgJ<5A{uP8i67+2YP9@@^b!q=%-MNsmQJ^6g!#`hRo>;DVsT(1GFPHv>OTyZ41lnZAu|P`?n44#zI5e z>cs6!8`=n0p#mXyQT2HoR<>N_ah?J&@+%!a^{_?)C`eHl>{t*wAzO-hR!&4L|G*G97-Q*}(EdmN2|1RQjBBg>Bq^ zyhnU3WBOYP;g1&&_H*OserV|Wn7ZW!bzgSWWrRk92Tffr6Z0z$@yx1Vi|2Gs*ai0X ztB%o!ilyk_LNAeJ_mp}|>RkH~`!zOqIQh_y6om4=LR3sIJ1b?Hj1b;(vq=YB< z8-*hH8-*hH83?hT}UCvLjXZLqCeupBfxsE?ojIhMw`;Pt< zWVIA1#`m;L5I@uCi}fAk3hI3hDd_Rl2Jv7)U^Zh;Wm1_jr!}dJm{WyRF$ERoPhTIK zIDqY)Y!=PxfK@8Yo>^VYiHu#Pkjmm1-75BQR_tR|OwaGp7w*=J**nM@;H)wPR{_Np zTjd64l@X}QK40I6Z(zhX#G2O*;RS4Cny*TLM4&ZClB$R{)kw9tv>EGce4^QS9NJ8A zR=mTkD5T9j&MGr-6<69oDo9Shx4%UGwSTf6gg%D@1n zAtH{R0jcz0Vqm~Vo2`sX^s8k5luU`UbPIf_Frp=5R8gqgK9 zoRw#*pal$lKrf%$)eI@eB$rramJMfZw_z-@VBjswka9c@h=>j1u~;fvYBh^$7L$x1 z9ra0lM!21%J|bKL(!eAf9zl75=~{Xua33RiL(-7dEFcY0%|@h=S<^}K6S9ezBl!u* zMIu>y@TfZ>IdMmsv)87|6DDEzIW8DiPBP!x-8Q7$L941y*+96)2SmZT-a=^$x|aJrgYje9_~D(gWj(u$RSo3uiu zTa(sy4>;}gt%TG(Q@&*ukw7BonXMjuvHEU9+Au`^McN=l+LE>iZ9KK;=e|s3YwPTH z?Qylj7Uy=P9fSTpX@{V_;3N=$*APoTG~l-B^NsR zjIIjgdq8KoMOU!v&Ba>)u-2fGe-IqkX^QdvPS!hos6qKI4zocOcPHH$Wsj2Xh_XFM z4|mFbC%f>eV>s)W&F1wsn|qR;tdSF>Cu*b@>18*<*xZ}+X63#ny-~S7q>oh&2L&tN zOYR2d0&}5cRf%*urT%-#QGUZ2zLtQR2A|>^axKENF9Clr+j{rolA;Q!at{r!2-&G%Pk`;yYb z`O=c9lwPg+^Z#6;F3Q{Tps&EXpjeVyGGWpoFMAs0`2p;jEi5Ki%P%Q z<04XMXcwH&ez&3REHt!VozVWUp%v)RGK;zi^6xCZL%?e)bVXUBKG3oQ$N;Kk!wMOI zwCq3v%SoWY*;!R6UIzZSk@CCNTF6FrS0?7MxPc*L*@=#nRI5m{9>Lz*S13b|BLW0EXNvau=BZ#y#x8Dh+ua&|EgvXMx4B={I zEJAo38K;vV*3Ji@k`#+BySZvcNO?$z20x%PWJhQZ@nn-^4Aglso{VQ*t4_wFu1z2l zEbd}Qj-okVh$iRB(W2rDRwx@dtBV$2FNDlF_q6C*anXS3PXH4_P|VoM1G@`MX(oRY z$wb!Ynq(sC^R47o_de^FVYyFb{-#!IUPo(gAtd3tB6Zf#QfKI})|>7+vAGBc>}j%H zrEnN+JH7>WO0Jpr7}h6H!eed;NewXf%e|jek-*YexHT59fFv^}&#{2iPiKmn3(^K$ zirTEpe8M7f0H0f-ECn;sxa{mUavS4nTXGxX>LfBr*DCDtyMl0MJrS{e_njKV8@|4y zI>`-~lgVTTvn!d5U``=ZIGC`%3YSuZ!#!;^`wja zrAhATWIBT~l1xWX?k0D0P{zcNI~xZcna+?w?t92R4CXj;4}y6wxtD`^tI@&RY|IWb zrEWmEkKD(gOeXgsDEE{5IViWsV3zBUEjEA+m@~)>2J=ob1HpWNJix((ona;j_u81z z^9v~e2~M1ZAySI29O(Jf8$U=MWFQ|P4rN0C}l z5@tpXtnfN$0faDii4v*~6*j`f?ef+MQsQ8H;i;54b>h2K@ktFqVD2%SC*uq41?bdLxk&xctx4H(tP2P!hyjGhr>H< zeRT%fg0TqBm`B@rFO#_H4)YxoM&*lBB7;uB{?T9%}C=086q#@@&5rRO3Mysa9$XsWnv9 zahfr_-xkud#+2s24y5&fG58%TS=A(aDLl8TNi?v*twm%J!nRgzekSMBp*<7z6q`c?OB1`2!ANRp*D8tTn$a zIvlof_zl|siT)LYKNa9`1$J0W9E>3ImQJ5>Nx>?ZUOKriHK8u zjuv1pn`bf4ZujbGrs81Gfb&WKfO-5903;`ZK|}7)Hvq8XbiApF0BFSG(vX8@$LV;} z!S{j7V8Y<&!bI@|d4g$)o|d{ z+E^Nofvt_kk~mU+8g4Af@d|1e77jEZ#L`IkTNuiXa2rh|OZUuUIm4G0Z&I*!sGc~7 z#|Jw37yii(fM?hQ;TXaiYq6s#J0&JC$??{{+LWCX7nG#E&0J-`chbJ8G#N11{TQ7Q zV#V)3=SFc!iVjs=zlw-*F@`9##!m&=kV(&0w}_0{kjc?JN8m!nX0rWCCJJ7+S|MeT zxD#A=n?be{aZ!$j%_Q59bmGp=z!V3-+r@xsW(-)y$o7lK5|Wc8q`WKx4wgEPi|UsY z$3;P=R*8#p^k^*0n+h>0*BjuNT?+#)=_;=NQ}6*iZp*av?hoA7XN6Jz1PS9ZAzn+%qaC3G^#E>4!9 z$zUm2YEK4S+pOx!kU}(sA58+uSdQN!beni5@M=E=7gSDHtsz+t6GN zY_$c80~Bas5V*_}xU(%Ju?su{TgY~pbcb&lfrCas=rUv(BJ^^y+?~)ZWJ0M!TtQYa zh~>x%1aT!g{&g0SX&jzD%93$vYNGJZiPcNpBJdO zG{R4lSH;=-KO4@rk0*Pn!Uso@E#K&^KSQ2jtyd<`pw`!rHD*sC_6M3ZXHd+;mJ;WV zu6{t%W_C;HxE9m&TC$ckU5%_oO|K*C^rl(Z5%ikcY}8c2O<&Cxncam=UwFyB+^~G} zw|lenrjZXqL+)a;MX0Sfi{JJHT48S+mejM2+??PMd9z{Y)TDm}*;nAX$j-EoMTn9yp0Tn2w zF~n>mv9t_gHnfSEs}obMaN0WjkZf~D8w8;!0|0ou6wYpJyC=nTxTa|OOHfQP&)di6 zc@rDW5*(TdgjlK_92*zeO>JnU9LPbWVRmzjT*vsxU1@_W!yzZ|;S~~0Qv%i^v0 zu(0m3D>i*?9B9lC5{qMS$5U3TRmC-2?kL>lFXv%4E33})~ei44)6&LP^v z9-_4p0np2FlqK7Y=7E7xClLU>opyFF8-uzW0DVG|X8BY5aO<$W!r6BIYH$5QL%Y^V zojx{s8*N(qQ(rcIO&10LF9@#d6k9a_oy2vwqSTZyu(2+l}eUetc=!l07&) z&%)nk_@noRTFX!UB$CYUar8@AQ}mNuo#UiMSILM29Rbc-_5h4;f3{%mZV~ga`!i!! zP*Qh)Hjz!tvK&M7XhFFAqEYt?=s{UABV*y)8Ldg7H7tsU5GkInWA*ew0pK{H0;)5XqTVdJe;RI~i1{E95#q z*A0=FR@9`(z5x%9A(92I?BP)QCcd;J;DzJz5ZNtVy8tdXNQcPMEIHsxf^z__A6-Zg z0;);wNpipyn@T=_3$ExEvV{%)o5>b5__va+?t^~_B=#4wj350_bh@x8Z9R7VX{bzD zaXlN#PT0AR9=g?>-4Di_tEwL=xgD2R^+RPQH)jY9m7Vc-;9XBl69>$4;*y+k1%zHL zF1pK_o#!Z3LQbi0ws8jt1aNxW=o1AmBKahriO3j|k3?iU z*)9-~Vev&I0P!?`l86KpcPb5&@XjG3+09}3krR;{5*CpgB+XzEVQu_boz_K!fpqWu z4TTYrVewrxLat6kMh3go} z!31V3bCDQhBxj>pn2#`=XpAI*4sTkIk;RX*I28z4VloKM4;QjDMi#5$Y(%oXPaA=F zrEKnYC@fl!OCw6grV8ySe*FdTT`n$4v2fjO9jo;c*Wx^iv04vtNk&R9n@WN-9*=G+ z16lb^<#7^~q%+*yly&&~<0U}=;O|3oP?2#lrlKTMM_DYo<0bo3Jh#V5HY%u)^M{Ye z%a-vu`i_^z55Oz~j^=kU$ye3!TA~qTwAT^BZqt zwaF5gdK_xzKn!~#I~prq#Q=}IfD|wzX&Wg(M$&WSIo(Kd?L~{ zl-*=6g7Q3ho`V86R>4tQNKRv6HVMy_^k_Ilxfvk$k$nv0i)0@H`2u-?0|{3~IY3Uv z#~~@m?5ji+re4f+4sr& z6lRV>-bXM$ARl0uIdHEEur{2NVjIV>x~jp+VtU9uyBmyUh${hIb%-2d;L^w;1nxuf zAp%Fs9VUlaxeRg`mHUW%#LC4Sguhq9^^eKN43v+2j6i)tK0!?ur8GQAxBt! zLXM#N|0e%N?G)scjN#@CtW8|b#e;WY-ymhUz!05b>C6Y1KV}A}^$O>dvJo7j4+P8m z0{czBh#&{w?Gny0u3&+CaJ<)p5nUxOr;6QD)ksdYNKOr_!H4nL91~%eCTKLBd1FvG zr>>3_ZNj+4%h5)CXd|4{z((Gt4j21n`)+d_m(b-HfpFA!8v#9S zK>rGInqr#+1^zwib_tzJSFb`{#g`-Hv^H#(aE=-91nOy|kSiTUaJpJ>uCWPZT*;LX zf#!3Ye>Q>UbH{x;g>$;;@F{`D0C76ezk-}z0s<|-s>nPezBm2BvL$BmvS;^Beawo z#DI{ojzkff2FR?We+4;^^~F9&g9hs|Z0VV@h=?LaXgL>JVL_mh1fY(7%G5MS?y&i>E{A157A(-V1xeeG+v6UBsqt!ln?d?t_C% zF*oz%EE81U%uR5#b%-&ItxjEglYqGOEiu(UaI3yvP(6=}tB2Nu?cAz!i9XPXjW}ix zkQKM;TyhRneTPE>Mf{KhIJWQLtlE7?OC~vc%moGHf$qk4+ff%s>*@ycMGO<6w8z;a zt%Y0lR|M7fItFMY*u||nxTNkqddHD{N6Bx7!V;{x-=7(5}&B344qVwi}VFJYAE*wj33)z9PV7^Poa zP~t9LWCE7u1{1tK*KX!|TwuQJ?50-71!gWBG__%(ZXR$@TlGv_9d$ETb3y49 zJoovIy1~l^R|xKkiv$6y7@p)3QET8q>5Gx}sPzPJ518y^y1^`mVcG=n1TnId&zY;- zs#n6*F#)Q%prm2hp(y26cY|3+1hc*i%n(+16sFJX2D6C>=9Mlmvz(;MuIUD|6^4mO z7k18mzI3hI+qhMSTk_l_*OP+@!Y0q}+^R!zN7w3Hwm>$%!B2=4#cOeO z%=ZB<^ep0_Co}3@fDRP_g**84t;^iux*bASv>pJDXtf(|BSm1wxZqX{TWeH)XbPy< z{!SFZoa_R#IJTY`%mZ#P?-0QR<2hzHmvBzPL5~|uYUa941-W2u#=tCzVN$;kmVAzq zqts!`eS{oE4%;zu%v|!JdD9_@j=k~fDJ4mrV|$@ctQ4%o8;df=&4|azan{-#avZgG zf}F5hV+T6{v6JK^D>|2)L`A@&4atf9EhJ0g}!^oGG1n1HXwcLep z`5HA@lZU|tY>68h|K3WhdLu?3|iX|3??Ba{@Uwx>+s5(Yr& z-a_(mn`=-~k^{*~s0_tQ$4KTGa)!}vH93Q57bQ_lI}ppWw z9Qo~R`xYUVb{+yiu}q94Tp$-1PWj{l!s%D?tB#YtgypYdjl}Yfk;rB{>Cs|O1s1wu zuUF@q_fo=+%R`JXzmeY<5A!d)R+PrfSOlo(AOJ9Se`8hIPgI5BwTHf0m<8cC6~3q(IZIg3F2g6r%oRbLy^bnp z7C@EDd7%0wr@1c~1_MxXCZ!~_=7H8c43n4X8;3~}d`U9t1XmWjH}pE5Wm!WOx|P}1 zG&jpZlgR)U(l~--ze(S?CR5-`irslZlm8MoSp~0S%PDjy21+>hL))Z!#8`!Y+Re0} zIl(N`!l161%L~l0Ijk=H9}S0LbWVkirLs8M zdbpnfONR>S4A__SjRTeeUosrPzOs8Y-vpLh-2mo=3SI{67=7b_`QVEW0ShLFbC26X zw9o_wkteyZ&uXvXVJrBIJ%1WaW&+qu*5q0G#x?1OFMdap-`PzrW=*nV2D#@znVYxB z=AO4BH(~Jq1lv;(O6W}3>!~Hl70O-e3MIt~I`=|2_g9;yj~m?z0O|qOt>5Vz*R3FY z3F_TC%dXqWy=c_Z;1`Y7DnduT#?=bJmylVD*HpD7S0C}3sH!~s8cTy>bM9-y@aV#FG1=+ye#xEh^bSraMa;tr=H}_ znHcK8fHtT@hjvQrK&UTC+DET4{)l2v$CcwMc1s`C!MlLeMW$&LV-_Qd1n^7@?pl#7 zbGkw6e+`_@JeS;;7g7<3sBpZ)yRdfxvWNU`o`5L0$+;QHF%`NdDxg2ZGbNdAc`{Z zCFvUno}x%h@cL2%*mcF=YcW=%G=1Z0P!x;XH8_N1;oaPsaZeLTXfVdT1hiIyVN#a9 zahTBFlr%A+la>`U58#W4X9I45p$XnIVWQC5AX_N_Uy3zdp1yHSQ?isco3_YS2ELYI zH7e3Kt_DTFtX%^m+f+geClK2{4Q#fQ^% zryRumGU(}Lj0)B08%G67$x1dA47yc@ua#Mi>hz7PK`B|quEFS>3LI`*fuw zlLV65E1j4IO+Tszz^=jCy@I}R?NZ!p+U**c)`G9KSdDu0jjKU%uWi@Bn6_Zavqgd0 z)-2V+IlgG75k}KG0J{!rw*h_Q+NHQ(p|?wyOe|&!jr@i!e zwR4sZe2!$aA>h=I;oFS9arjc2G>V6BE7@T}4&PRZ@xB$_+F;}9h{3JKfKOwF>y`A4 z!89LGu(-LLtxPzxo_IP)oOPD<#F;q2zKX@P zk9|Whr#eV{1ZoN8Tb=8Gm4MZ`me7HgtaEMY8`n8XysKk6XZzQB>Nm^DYL76~JpoV` zHvSmvjtOJk(G}}ffLSYsbw~QfVNIFT8ewgzEQ=DITw%6>dTkiY&h(9gNoms-!DMFs zO-LKUB2zHd_30e%JiUcdXID9H2hFx)D0QW897^rsOM4q7DsozBtpuiW7m0gGqg70BvS( z&01kWzs8kXT>wHC2E7-3*NZ>Fly#c5}t}8mM;-gV~3^aWE-ey6G^f)^(vm zcUM8{4)wY-n0@IR2b0pJhYgc%@;NnmPYG94jPq*zBczkqKx$;3uYfznEjw$KL+y# z`o_Vebn9=!bd#vQGAoCZk-l-P2rk#Wr?1S5a=@)4neClcL|@rDwgc`}61Wys`?~6r z0YJF{jH)-%H;$?U;mbhGcVtEMbG0G{L5)ES+6el_L8Edt*oGF@is=7mTM_*eo{#&x z4$~pffg!AOBk3F0xuNi7XiVo4v?5@qjLre>R)n70&)qZQZJzxk~hKKwvmS zZXA8%kfSnwqm7)KJuz6KMUg!**wvo63E!y?4&eLtORV%~0=V z2J=?>#=)e5IFf@YwkL+VQfm}I7{#DZqHi2@DloTj(481x=Zf)Ys5hFyoI>9?m{efK z=r9HL#PzNsHx}xRWiY4FHx4G{^EeKsb4D5F3UfTv8_!_gLEku-lz$VXFmG^$IT7kj zWH9fdZyZd@zgrPZ_ci(Z#B1`y+00}|7=Zj9bgb;d=}4MUrpQAvP{KtXwl{H~G#u{R z0Hxa)y0hpThb|R}NjADhjmhwJGOID0zHv1uyQi2ntR>&6@O3Jy@hE-cYEWiQvubeB zMI$6JWc-%z2-%XSz2Gu}jcl8G`jW%#fWhqyyLt4D!;Xr@9XfV&;fhUJY@Omx_~A}g zX#suXDp9`QWp#;OKuE(PiY%hnQ=t%v=}>Gs1MwJr<3QXEU+y;BWg!yS|l_aCG z7Q-Kg`VTYME9e^sdnSCDX~Q<~r=w~XtFel{aWx)+FOS$Ycx)s~+&GJ-XRORcfeix2 zOFB|#Gc2B=ZyXkL;L98v3!?@dsgJT6Yv~(TV=jD|YuDiLPB^zZAU;}bwc4xrV_gM_ z4%K-Kz4i2sLvKEOnQx+J?H|%{x`5T#NZ+^`3*pN`vxX%|i{R@bR$~)=<7zC1FN^IO zm;~eEc$kbKbjUx(U~i#s9PG#8%i|*030eB%oO{WvO*)L9U~T5nH?GYm;mea|n-!+#=^IyRJAB#Bp%RnO$1~Zv0Fk@P22Qn4gaQAUzHz{Jz?U5ya5$Lh z=;suyL9>3%OJ5i%^6%tj3gl7I4u*?-wX4VpkFrLAU^G1_G?IR%&sOD~q}P%@PWsFn zO|F%ERdOqDH2c?_%$%S%nlm=%?wtF)(V}IG)+t)g8zt3Ab8;0t=aH4<8E>@Ml46^S z<$0qenw02NqN_JrvQNpIN{;kKOHD5IP^nq)yjWT*9ri{`cP>4s^iX&{S$bpX&E9C4 zI%Tdd)5aSuJF@KUW$%LL>avltyS&kIUzfX3?sspreADus%U=V}f0zHh{CRJ*La;)a z3gzLsvO<1^9q{~Dg)b`{^F}N7t2naaXn5|d_*%s`;d!LucNKr|MlbVSR^qbK@ch0~ zQl(UHv{JE3)hgBSMk`OOe1GK!;rUVJQI^#wf7)lROR=8e`VS*J#w z+TLj0{dGU6dl;VI*8RPn;*Hj8UaxDt?(mG(SL!EuqxD~}|4IGNz0n4H8@%1%U2n9} zf<~(wt%c{wM!z)r%^Pi8vvG^YSHttR#t$@p7@m6?zt#9(-e{AgCfQAjz_U-2o0^iQQQWP)9JEKRlL#e z<+@+dy}mcvV`h)1dMt(KXFbmJ_|6;c*}iALo&(|eO3%YRKk-I;wd~cSS8s2$cbDFS zdtV37dwS3By~rCK^68M%L(Y1m!#)~zY8cRa_=({^5C7F09pN2Od_*a4bY%6B%}0Vf z-O}@x8*aJD8@+AHZ4ck}h&MVZcT%NERpB{c(k+w5!gKSaeUo1DMkjkFhbQO4v)AO| zlSjaF?c`mPcf<47DVbA1UZ-@KGI$EKKV`*~ZBruf{CdiTDIiZ%Yff!373ezko~iSv z0$x)OO+7L7ls7u9;-W^zPHIpFZ3hot-p0dp7W6_PE*i%)TFIM98`=q2|qnE}sb zOSUiB3D1j5vzJ1DmeyX{W@&qPKDYFprSEy8%jz%dxU362Z(4T8GN`}o$g=axe)dL} zXDzR^9Qd=M=!)tqYQeL^ioq*@?km1ssjf`+Mpu?vdBw{5@LaTV!%FDK%2!u@w(^KK zx~j#hUaPK!=j>H0S3LvIBdgA@0(h$*UA=lWjDu&IKGW@)p75OU%#+V7@kZC=t$Ah5 z>)z;EWo^#dqVW7|?e}XzAFP|dZtc1a@I0~Zw{;i2(e+c;&sjeYo?5jZ354aww~Dv@}E~duVr3qc)p(ZMc$X* z=(aZ7`fr1B+n(6Ac^l}%Z9nJx^Pzrzr~IM$AUFBT^0(*jgy#?2Gqz`XqubkUAGm!8 zJb#L0MnG;ORU*wJFb*R9BV!}Lx5zI$0y{$9=#CmYuHMlGp5u1R*zu4zx-+!%vYj9w zJ8#%Ib>|)MT)cDRPSB@2U)%Zl&VPHOyDIK#ysH^J`|KLE3*>CqXS=@N1@tNi7E~yx z1kX(cFBKes=aGW*1wi-bvYxB-9PshE(a+uc+zfbr`P@&>UGPSCXYa1E`*L`;+1-CP z^lNv)?zeY?zS{lm9(51!cTcH3SL^})?pd{G*B%&udk*dSX3rUKbnlG4PwoZ2?cKTe z;NEw<(dQp}e#!I8;d%V|U!VWO8{OA*U$=e0-+klu&DaP1+4t7Iul61HMwO&u?G(?( zE$XuumFE;SP4Orm_`fvm!;4C${_iCH-)ZEbi)yY?lom-NGt)w9T6+E^zcA1j0aVU^ z1kVDKbtz!1+QCB9wkl=DuVj_Y5SF!yq&kaOzfcBHlCuvj;U5>3bjF9YQ23G=VDV3H zM*UPF0QpaWnCATsfO?}Bm9#$>s40owUoI+xl;VFTz8L2}9WcUMdr>L*XX9<+-ZqUA zW|UI;ztI5Kh-a-*_P^DL*$|bU|7s(MD{7Kb{=eBD+^7F~L&>x!+J|ZBXPG<KWU6-U8Vm;|8O7wXASbKR2L{!{#RW!yUTQn8dj?PFB|3?FRE1kUpH8`a>`~QnNm_q-r zNF+j~EB-&Jgemp^j!1lJ%~tCDe^U!n>@R_gH5KL4VW-xD5%?#%3$(kJ`e(Wte;I_R zvqzPduP618snVJQ{{fnyu4*fd{wj3CBKDU;D#f55C7v#AO_U~oC8}Y{{pAqDrb7p% z*HK#iJtqI(5_!ubJZtW1e6Do;J8}VY;&0mlUJ-Ts zyYd0^;&0svUO}ndlpcR)mSDF0?K{G=Mj4>=`n$6Rv*#bt8D5+9`6uuP^XMPbA;(Z! zuJrwb&dn!(7- z9O^m&BjJT^L~c?B{}XwM`T39Sps813gPF3jnlkjC%1X@6e{?5t%m572RcV3i;XgfQ zprvyCKbgOn$N%__nnTyn!vELadB8VSwPF06+cs^QrcIkBrDaq`*|PWEdv8>x$liNT z*?aH3_ud;t!3l^Haf>)mKtvRg?|E7R;r7OlKnsPQ@B8_jbN~0`y~#Px``(-6rbLTZ zp7o`5U*2T#VqG6r#+`9lprb{bZXqQ|T+!@haDY-4W`@$#77w;4MhC1#p!OEgba$J9R4VkVW}Fato7g4a<`Y7tp8)L_@!&K^4I_Om%GQ*ggt=A z3`$uQF;-da!K~(PH#KPwXg5xpiJx%dPaZ;X!+KDu*pE6(i}A{M4{khn^QnpR!hD=K z_m9{ciiyg1-ucemdusB$^xl6Iz{$#p-W$=~jA{ZO!i>6tXu2t;Djz(OcyH4)>>owKHTxHdSv8uaW)ubknT{)?)AN=y~YsCH>$Tzi^uUwmO zu61{=n%D$%E+^LgX9<x6twU=gQ+Q_4$vM>;3?FU~REk zWyRyq3ir%V3-AOogA3q#BYgMEeV=P$tICEalMU_}p%&o@Wds)??xukq{B54*C$_76 zcyjsRo)c;zo?uRJA?|-ufh#H|NR-&A66DDyhun#!l7n@{dJr4}j)=T!W>IHN{sW&?#M>(0o}PSj&pEYlPf^b4^RB9R zSLNN)m3QvBrxx!i%RMfh>(9m9{fCO*S1I`PrJ#Enss(%s)6gIli;L4L6`#&jbWcaM zh)-!cauM(U*82mMk56wty62=?$fr0b^?6xUe5msB>CQ{{+*FJCl;}Pwi?^|&3iuge-__M#@_b+-n@uOPw4z1=_+@8?ynDjs`{YngL{oo zhEO#k_6>%6o#-L{QguSr3D+g2>v}?&Le+}9A4a&>i}B*7su!wWxax)L`Xcu03o%gK zQsz+Ra5u;O21ze&D}yM5=ndjB2|wjj22ocYcdtC$jpBY4GF3`dM^qhgw}*S5?_#RP zetkAb`Y59)qqv(x-@j*;*3wUzMVUo!5dHQ&!(5cHzpD(j`$vxV50(5K)N!i zub=#h$!WMs!siWg2yAec)58 zQPr`is-vpTgH|1mb5$N?994N9xbo0AZq)~GrFi>uYiU*0M^zths*igGQsz+=$g2uu zT!r3}A*u?gD&%bya#f zw7C0CnBR-iq0FSr^eATHV_lydDKn|}ZC<}`)0;1Vf4CffR7J@7;eFeMo zH%nq#g5?J}xE56fKjOBqYmuY{{#n9F!?9#O;Yl(9iFwJKw(j6H10^7J@YDO2WB zr7S^984ZVj3fuKr*qtiYSw^cWrmC2yYV;`FRmqgWR3%IBN=9R9u1m2PnN}4sRm2{p zSpC;yU4=}UOck<3DP%Ms{`tncZ!J5>^s1hzdiGy6=~4H&YML^ds%eQ<(`Zt+i?kS3 z)KpP>)WY@u9`7n_%518zC2C=#5#2A^{*swgeN*-A|JA32*zc-w%5bX2C3=n1*SQ#( zMb$Y~=MtihJxB~!ty88`weGRhI-1h=&WCY#z9gL~v#FA&O5TH%tArZkDtpR!s_Z?s zvPbi}eO~vxDtfBuB~(Fs&^WHbr_84c-(xL&G^qRMc^za#m$>ou0p6xs0!f|DTFlZ{TGFKRXtSo(3={T&|_USQ5jLy z#3xo0X=+>~#{G5f(lWm)imE6kbfNP$o~tk_GpfS)L<{46#j(6BsEVU1j@}lt#2D`? zkjjv%KtAyTNrSt;vtBL>t2(LbWMb64hl%N`mCBT=RwjvBsjrudWl>cxRlR(e+Ll<4 zan(#^OjR?JOwFX(?|xEQTozYFQx(m`Du53gmxVL-Uk8)XvZOMoGU&q^DKQ^+*QBDf zEUiqcOq!Txdz9GsjCx9zRYp}teH1e#_G9mvb*(I~%&N?q*oJ%5`1cH3QC3ujRfc_3 zLp_ei-!ttfSy`D@nf7s*E+LL_&$wM=Rb^ad+=MXIavF@4~U-{NoW>#i? z+y+eO63yf zAIEdJXZ(t?gEGD{{^KxRQaQ#w^VgQ0l=+qUlZr7P*YmiS02O5yl>jOM9+&Zw(sA6A zpqokpl>|x2oR9OlxFPb#a|ARAQ)qiy=w zMb!3xd%|_@QOTpuJ?j0AmmcuFbHD$cTmJjW$?6pJ-{nS?V>LfU9&nw8R04Tff{Z3p zvE-Lz_rDdIs?I~n&jKp#6K2g_=OUFv>RhDW5KYsN#XgsPEabL z)Co#`5Rm{LaQ~3JrktzJQ|dgG6zcr5@Ely{DwR|TP^X>+L#WsA=*89jeAPzISLdro zpHgK@HHR(_xPPL)P$ic#hRYbLO&Bk@E(%q0sf$AO0Y(CQ!0i+F#p>jhFa=8$el?Ho z9&nw&RDvaN@p7MJ)la@jcmF3GOVxQS`C37hfy7;V_fI>Pt3*rO$yR1g-t+6aa8!w= zE*#Y-ABpGzw@*P4qw5@}5>B1t)SI`*-~spZT#Q_+&U5NK_q3lwo|fnDI@hVBdkl5%X)%R*o{3w4 zb$=XZxm>SKd5JsY%F$|m-96ws?Wx35r#O82M_UeUL$poNc0=12 z?Rd1)(5^(g0qtSY*C#-jeNu{kJ};x~E&BUxLHn8*teWHDPi`jD@OQ@N4rS8>URV!Z#?XfKEf0U3okAfK2RfY%$)UQ7yDhjx#c9PlIBU&R!24q-MI z6I0Ed&~_Ko%-hf&5!20A&|Via0?P_>U~Mroa5&o0VpiZ;wBLx?7P~N8QiwU0Mrd1$ zxt7^z7l?V5x6qy!^Mf$&L0QCtpoVDMi-keE(H<9zg07>zDHdA`2(z`aSYjQEcD7h* z#k^bJ70awQg*iA_EDtV*wx(DSJPz$bu`>84v^T`6kSxL+l0&Qx>5O)uSQD}l?KZJC z*g0_)ZZ$n($B(cHvDcT>z#?TbP9GY5e3dQpc?I1RXu0p$4Yze(4%whgw zYgiey^~JU@%wO0Ru{{j)7j{AHu%{Jfdp@z#-UaP&vCIAr+Rw!9aEma9+r*x5_$j=p z*c%T2gl`c0!hb;fhdAJ9FAh4s6lTYF;;>T+vol;Aal(Vna^jeCD%!>3HRmbudPI;o z9#Kh{BdUuxBSxW}D^5hbiS{#bG6g)8BBgjMvY#+VP7rTLVGg2hiFZ@x7v_{@#d|4V zK-)~5Nx2T~9`Qj+tZB+$#o1JuggI4y@lmR|;^S2Bh)+`87N4drEIvwITbxV1Q+%HK zqBx%>rT8LEeQ_=g);&#k@l~4BXulI*N0$@dL{AgnM*l3#(f^9?oC4vO!e`&F3J zN^v1AUPIb);)iq6RZE-1Pu`tJM z6TfD}I%NDs{FdpEFlRa~E@!$e%$dW)mCQ%beju)9{ZN>*UKGD)gKx5B5!bS{Lfc3D zk!>Z~J>q({3uv#2KePQK{>=Wfxbgho;?ErLNRHCtuN(u>P8NUXcnj@$aWf~@I%hU< zE9Vtq&gCoq&4qc)l~UZ!H5%3#f^1kRr8Br{iFc-@zQxqE} zBa5AtQN^>#l*I=NbMX-}Rq_33-;=3Jn1s1Rq)bzy9@;iCy2NUs&rlEtPHN*1qW zk;Q5ikVR@WmnG_SkwxlYed|n+CF|@%`>rfiFN-kOD<(_VL%e$XWSRO{zxw%Q+4>97 zZjt5c|1Hc70%Z9Hh0&If6&n16_Li*JFq<$pEFmj3EQ7YbtlSX!+Hi%e(h&LF@T#oZ z2sN`&X<4liYC@yovU(%b@J6r68jS}CbK^;}X5#~B-<9e4%-N`C^MY z@`V;SXSA3iUuy9-+An02mfeN9SK6PKtvUn>bBENjO-Dc3wv(@H(+RoVshn)rIlFA% zC7W#51+}tE2ic(ueBNcN?AQhC)#XpwscS)D?pj`U?%G>+>Dpg*>2_Ln?VeqB>E00S zZrQE-U$T3TnX+4t!?JtNzOqNJOR{_K2-&rFY1y;)aoMByW!a@qfH3#5%HDlSqpd0X z^jRkR_W48h>sv|o?>k=(==-Z2*sru4)Nh6`_nRvR_k&0KeIEzJg2$(a@4?CXy?n(13yChqZ~8HEX;!< ze=2f??4hRBJ7rlVaYClC5vmY{BVXAYT&cD|f7#iCBwc%`<+}m9BVPWq+B)}o*3RsE+2jj?J2op1YYY1 zlUz9h#~#r_t{O27?QFSb1kSG`-jW+$jS%KnW8}tH8^}$s&Xk*9JuA12w99QH>&RUr zr^?+UPsszLGRT9YUY3VOEt7{wU6)5jSCL0YFOtVbUzM+o$t_KN9l|_2vzBxA7_{@XTys!U=VaA#&-qu&GcR1rJMVif-vS>k|H8CdfrY)b zJPRjj1s8s%6$J+NZ)jE4e5h4jJ6@}{_Dik$y6#$yb-!vg*O$?1tzV+mUVlxiv!Rk! zZ(~ob{>B?xgH08*hMVSTjW=J`Uf5DXdvR+@?WL`!wI@W)!8Bl)zxM-FTKj$#g?st945CfCSqBA2KkDha2YChCgn z=-Fj=(OXnQ&nD~O8n`NYR+&c}5LM6%lwsmaQ5ijd@w=3wB6_|)=S4uoMN6cJ^m$XH zjrdWN5Gj1if&B!Q(l-a#&tOrG5U^jsQiuNqb_pz%<5jR?&CL$ZTN0gQbgH1$GTACUOecA7B}xwt-y-%M`T$>`$iS?e!Lp{A0QL{q^J#j6-2%%VZ3p`oEN7ZqV7I|?M7IzDDFj&V z=&E>*5-eBrW-tvbZ}eO+AFw>nRRHq^%l}+1Fh8(-X@`KBzzU{q2j&k}AnjhT0ISZc6pnM`15z-nf^3>FPmBU28r=fG-bN&%J@ ztXAeRVClf>X6_D_9;{C0OJEtm>Sz8KEC#Gz76({Hu!fm$gJlA1kmWE~X0XOt)`DdL zYm_x5SXQtXv-*K$1A8IoFtF@kO>%YsdmikiT!p}LfHljN4lE~F(_9_Fa)GtT)c`Cv zSo2(*zdT?s=i>b31#6k>OR#)kujD!jmLIHDZhx=>U~O_;0V@dBI!|t}LSXIkqy#Gr z);8ZKU`4<>0w=)Af^{!=2CN)duYw1_%7gVRyaucSSf9evz$$|EF8mKzC9r;lFMw4B z>szEOSQW4VMV<$%3f8~KIIwD9gNpP7s}44>$Z4<|U_*-R2dfD-xM&1eEwEulC0K2+ zp+%8zDe8cYD2jYbQ5S4@(XC+hz(y9G4^|)S)na~N4Zubh{SB-k*r;NSz#4&#Emj7s zG1!=5%fMa$8((Y!*o$D}ifdpmflVy-3s@7d3B{{{H3geoyZ~4;uu0{ZN6o>emSY~Z z0Gm>-FIY>k>E&92y$m+3{3ftgU^C0l0eb~(M#Y!FT7%85SOKgJ*sO|t9c{tpR^;nw z2R5hb$6)Qj=2txm)&Xo@wJcyA!4_7tgLML1P^SP`XRyU}o&)Owwy5r6u&!WB>y8EM z2DYT`6|nAL%j=#4>jAc`UK6mMU@PlY1nUL1qTV^M-e9Zi9S7?JwyJ&uu)bhx>z4xS z2ezg`OR)Z6>l;)98vwSh!7Q+WU>h3@1sepmq4{O7!C;%4e+D)LY*Q=d)ru$}D!z(#`Y=zuz&Vief!4yfZPMuY9@)D~!!4CB43N{JsaGw{zCW9U7^BUL`u%mr8 zf=vZG($@(#4eYhP0&F_ivA*rVW`G^_96u$5qE2NVWd1@`fP^kA#OJ{rLD(HgK% z2k?Bf7VMJ&8^G3qof|L7EB0ow!i zPM79*CMF}Zp{0nvl%xA_oU>|^KGrNJE1@oI(AM8Ug-&w7}J_7TfRR!#0 zFw?B^xY|oGM^nfQ8J-0rn+W z@SLGwUx9_rX$AH*m~Czvuy4TZb2YGU!NL}e0{afkv8X-R_h8|R_JCagi&(S(><2LC z;s~&dV3CV%g8c}VVsT}#pTJTseje;+u&Bi!fc*lNdhu?sOJJ#%)ByVxEP6>Eu;0Ma zEaCIJ43>5YpWhX*=a$?6y9$(6dgQZ)V73>;V%+g@6Kfp39%LR5FEYq@Zus^{v zE^7&P11!t33SfVMWnS(J_BU9zWf#G2f@NJ^0PG*I=a)x;-2%(Ld=uEeU^$mh1G^2D zWBFGiC{lpsUjDks7Ae7Ut!Ma&w5lFhAXw2=g}^LeMOG~W3j!;?YB-n`tk~+ez=FX_uHFO| z0#;&83NRa3>DB*$g@Tn@^9NWMSlKn7g4w~!tX&Tl4px5cWH1L zR$<*VuoPgG*YyUA1go?@7%U2`>bk37DZ#3&$2>=-0;|3r^BkEPtlIh`U}?Z=u3rHb z4OU}AIM{Pwwb$POOAA(OLtC(PV0AZC14|E9XX6a83}E#)_63UptG9`-EhAXNjeKpH zz#42q&O~MgYrF|L6PX39(WZT1S;1c1v1lDdlk5L$`?e=Y8MZh|2p9NMFto;r?zhYpWw)6QF2kW?_6<7(dE;}lL zl?3a&V-HvjRfRzU8x-$r@3|NmHm%++{b>G<>tQ=Uco#nvFgZ11M0#*U6&(7b$ zDuVUiRT``kSifB{V3oo8?m{hztO7P*7ivjlRj~fML&2(n4chewSaq<0yDNaz02{J9 zD_Bji!Mn$T)dCx~yAxP#u%UahfYkvTvBwHl7i{>RL16X3M($|=Rv+xuJ)6NAfQ{ZW z9jqbPs6AhUH3A#E=QvnnurYg!gS`MYes5Z^7s1Bu?E>}^*u=ec!J2?g*h}9x1)IE= zzHbILX(5b9mo#W5p3ZB8(1f>1qbMj&R~lV z&>LOA79BVP))j2&fn8wTz?K|Dy^8D(w)_CF~c`L&3Hl{swFq*p?%#SHr=!A7Q;30k-W3eef#S&Li}}NU$A8E`W^! z+kNCMu+d<o>X&IwfqwRUpmq8K?e=h>3!V>j!F8a}CZSF5l%V25 z!~X@oTFn+)Q#a_2BZkHv#~C*$&{cuVv6WQDR#LMT2v5gV(a^RYBX8129_C%-YoV_C ztbfj1^pOvE6*)|JjJ!=B`Ji`^%^oA~&_|A|^zr67)|c+{9Oucf5RZ{}=_ALL_6Lp} zFvm#4@E^;rAk>Aiq{@lCBgFQw*p^tptyU3$GMt(~l`3tWi z+wC4BzoUyR{k)5u%VXsC^pP#zMK0no@+p1fAnzitv#Ym{z4yl zymyg{dz_80^pU4}7rCOx$lvHAPxCHv1CNov(?_1^UE~QKBVW)*j(a~8@7(R-iqSFK zW8{na$Z>Cg9ysy>kCA`UM~-{P^T3gpdW`&wK62b!oCl7)%46hT^^xP=(>!qG^&TT% z)<=$e6Z624w|IGstp^tpbyU4$IjI8M+ zzvf-!D;^{J>LYu2Z{=y8|MnQ!q>t?3y_JW^P9Kkv1N4#K@M<=k0Ujd<>Lb7DU1W#H z$U(ZuTCjJK(|C*=tdAVxUF7s0Bir0M-x@7kg=>LaJ}F7j|spSVV4)<;h5UF1Wa z-djaw)kpU3-LdlxkCC(MBWL#RIlt>Mat?iD@7{Mi&v=ZSOCLF_chC6~kCF4}BWLp} zazvmsWP>gElW(+$xO!g^GfruqcB2`Kx0~Lt6u_ z1^WZ>p9QyYMAZ}`e22Iuj|(Xe1$-a^0*(f}5pW{lWWZYi@8G`^ci4^i%re3<(lrh} zJk~PRGQ%?SE}I$mSj#$tahnXry>2k>gu%EE4aR*Mf1I^%{BhQS2IIyVjGJUIZl1xo zMF!*68;sj*Fzy|Lai$ZpMwnyo< z$LY2w>9(i2Z3oChAKm{&`o{(w4Q&znvg`Gt7n`G@9Sm5n(B5~)^}aK1tO1)I zI^*uR8F$9bHDHSj*m485#(-@!IPNwBw%g#bhYiLZHyHP>!MHO9<32GMciwApH8)^w3|MCa*3*Cu zFkr(B*aQPMOV0w1+6`)ueN+5v5&L!ncFcgCj$a?_9~rQ72JDLcs;h3I7kj;9zph^o zJmfq~D1&k148~1~KQG}k44&T{ zgU2o~7`Mb=+zNwnYYfJ1Fc`N*KTaMB-=jaLf*m$s#|_xq2JEx}``Cb;*ZUdA{nmi} zXuvKT#QW2L-HOi~KJnu@f(=+IJqtMMNEbh69C-{_(fD3-l#1^mM>+j^;22d5#?>$w zSI^)WjSU{##Ne^L&-sSIV{gSD=alisIZgU;0Y{w{J+n-8hUgxPYcQw%{%bI23WLX`(mf8>YRz9%-;d#SjCGE4T`!;)`@YS&(7EU?TNHN<;ap}g z?r8j)>pW?|P8qNd4cIvY_GA3>r}I+$If$^ve{B(=@t<>qGyX9mMi{U$dL|D=oYbF- zz}`1t2Itv`^X})ss50UzKEb+yPp4+!lc!CV*DWV3A6h=O_O%YQj7jE&7lkelT@$)7bX(}|(8Hm}L*ETO6Z%Q$`OpiYmqKrZ z{uAaC<{uUm78aHwEOl5qybCKGRynMCSe>v2VK0U?3u_hDHmplnkFdUB1H*=ejSL$X zHp%X^N7?h*3)+j@OWUj1>)0FHo7>yiJKKBO2iS+%C)j7%7uh%2x7&}|PuoATpR-@F zU&j^azu{WADLg3L7M>@3VEEARQQ_mlr-aW4pA)_yd`b9<@HOEZ!ncI)2|pZuJpAqO z)8QY7pAY{w{KxRi;eUqTa`-rc9jP4Y9C;i?9i<%Q990}O9Q7QH9Zej)9RnOg9U~p% z9FrY09rGNE9V;Ab9h)569eW%H95_!n-gdn2IP3Vtao+K@Cf%9+-g(V5km+nL{4#96{w)>+9}-C4)k(D|aXxwDnCy|c5khqI4!pmV5m zB=Tk&b3%9oZ^2+EtgrDY+W zE`P!!Ez1$!GJrd9Ke@ED;|~0YNLu=0hix^UZUjEsmbPg@>!fW4*;FtmzE+U7DeU2^ z3u&9k9=_6%mW-yn(o(>ZMOs%Qr~@}MN$V0$4t}~Jtt$|~dV)J}FOsxw>(+|47ce{k~#H*pJ& z4EA9Ux6H`kF!peZiwus$kl<9@#C<6;I2xOlLU_8t>Bw+Li45j?1ako#xD7-G=jCPr zZsOhz8C;w_+-o6&%W+3VZsGkrL7iw_<~y6s&PkSZsJ>IX=}+Iz6F-HrhIC}8IKcT>%|@T zs#V(haI-%*@%^Z@4dCWrZsJQ#X&b`L;drbQ-$Y8=2-~aDHrh5uT1qg~IBrg&_3)ja zv`uCY-_c3iY&?SBPf6PX4q1#H5%^L`+Lp10FM*_O4HCz;ma-w}dub_&Lx$p4Akwy% zo0$;aQVR#Sw7?Gjh(lUhb8`!K93hJ$qk%2>g_^V+%Uj%mUzAD9d)$#3 z3u-yXrTu{cxMX4YU4gV*<=#KJ$qBRE;O0$k;tqak`G=dgxry8EWsu-zE`$o=T84Eb z^92j?=VmW%25{5D&B5H{A_s+Vb2K+?+|0we(E(z~%}~R+Igv7jGM{WErpb=GjAhU& zZmy=Rp{zv}2-<)smOR|t%+0L~x`Vx4?CoW5KYNGpQiI;-xKm_j_^`a({E(X;bI51x zea_yO?0wDNMJzzjCCX*W?_7_340WBGfALBF1F`yH(;7et!CtLXaIk znv_};rzyLTwfX$&Q0h|ZQR-6~FjPbK8c`ZkUZA{4d5O{lB1%$9bF(R>86Vc1y%v;~ zl$R-ODXl24P+C*kP}))2Q#w#OQaVvOGgKE!S8jHrbf@&7^rZCS-rnr>q4cHnqx7c? zpbX@YLF^5t4519A4C9XBlo8x~l`@i>qu3kG85+ahSjsrcc*+Fsm`IsKnM|2NnM#>P znNFF(!80kdD6=VZD03tfQ=_ zY=D@uacMX5#cU$m%m7>1+e+C+*-qI(*-6<&@u3~@_k)Gp&CNZOy%14`y?yMJqm<=l zBzu3-#VaT`Da$Ec&G?GErr9Y(AId)v8Avgk(+M$(tSO~8%IlQA40V~k z0qk{RZy;qjH`}t;nY~xoYenfnX+zmV>5skA!XdjThbcQL&A7J<CQ~|a$Jgw&qkO~7 zZtQiWbm!(#_CBS2PMJzpg;Il3jZz(A!kJx|N}I|EQy%tk?ITPbOdW-|!O8xca*P4q zpiJV!MpM>Mj*!izOyK5P%0|jM%6iHs%6ty##oiXmX38qcLhe{XSxVVPwt&5@>@8w% z2Yah2%P1=;izyo@+bLr?ZXRU}r6O3s#DGbZt3nhH7zYVlEW{iRZp>HIfHIzg$5BRd za|Goj&ixCNCLH`Cr5k1A_Wok;Z^})|Ka^XPe<`;i0RrO!Bt@h6kogAS@1U6|{*(ZUnG#5` zP=Y8{J|dVBLa|XoDPa^lC7j~mU?(Mll7bRRiK3*Wq@twe!P8KpDbG>TQqnO>diF9< zVkj9YnJAelStwa4**G{md(TsHP;yf8QF2joQ}R&qQu0#@Pzq8CQ3_LvFjP@WF>V&8 zl%SNPl%ka8-ZJc!rIe$Tr&OR+q*UUN%IsC4RHam-ROgNwl$zYEMXAlrI_%Zul+RN>55JN^eRZN?%GpzK;Iv4d7!3vNwn_m@P z6hyp*T#ycAg|tz^DR#0D_JS!^ZU#|8xfzC@X_{#|ByfQcMFU0)aS=PjDasd=KPW#@ zey03N`2-IWpHZ%J^B2k`$~m(0l;60ypS|BHA5hLxPEx+4d`0=5@+}AdKzWy&KT_VO zTq8R}xj^}la+UHiDZC-+v%}5~3An&qU$e``IWCN)#oU!pZi_ zM9E6Y3DHWi#~0{Vn8JDXD@&=mVu!TiW;;q}N;gVx$^gnx%1FvM z3a3u1z}^hXT*@NKa>^>oTFNHMb_%D?Z!biv%-$i&QOfI-la%);rzxC2zmF-OQO-lO zYV3VQ`JQr-@-v02>PJ8OU8is+{r-iRVrQ}@d%hHZN+88b;hdT{r=}DXCZ{P4L^71d zY0~Ob8c~{1XgH0A(`Y!Y1BG*?ajxVI_IgtKQ2J8_QHE1EbsDEmn@E{LnF*0M*_%U| zPvInLODQWTG@-VRvXQcd!s*lmg>$OK&MEDv(T*DJs2!)Apu9~vMfrf@L-~mEDTT(= zXiV)J3MW?M#A=*ajT5WUqFMmu8s$$4eX8At_-GVA3MbYlgc3%HfM^!>Qc==UGE%Zo za!~S83Q!7Dicv~JOleKHPOOSjZ>r0y=9Q*Z(zMpJOqw>BHcHbL_6D1V2-5`9L}BX3 x%jq$c${bviy_Tkzg{hILu`n$(Et00Cc!Ze2Q^!ooEJ|faRBha(>*FWH{{Z|j>XiTh literal 494065 zcmcG%3w)eSRX_ebn`Ez(v`O2vY16)M+Vu7|ZMvJLNt3?qyGhzKZIZr8dQ01MyGb_b zw#jaGvuWCwQn@Gyc;O~jm8;woPyx9J$VEXxKm-I4L{z+ih>Dk=iu}K4&U2aP*-2WJ zKOf%aJZH|FIWzN}Gc#wNo##{k{ece~hH+@~lcrHWx46`rnMABXy%xrqMwCs})TGGv5IV^eui2NtK&b7|AqHYkbKVrFJ8GgWFGBlcK!HkU3f6*JwY zQ8jtDlvy;7|54CvdVDtB)AMMkA`mY`s=}egK(aR3bF6k}LnLBOn$e1ehDb$KWqrVm z)%NuCf`25+{DCO=+nGOHyLIo~Dzn#&?dT~qO|Ca16??~ny;Z>*W^Hxtb~AXhDLGPD zV>SiW?Hvz+|CGXyuXXwN6@EeC2Li61c&#fRRQLs(Ul9sM17@@~os{K8P+lF&RaL@{ z_&UnpwATc`Uf~xM{$_CqQ}_jizg^+SH@Nb<6n;VBKc?{G^{)H@<_8aMPma`X zB>vvJ)Q*P~{)ocwRP@wta`hZl_#+Dc358$V;L7(|`cIeYUUH&zT zPj(iVFYTOE^vAcl`e#&m$>)0AROPq1@;Oyr@>#y9@Z*n2dt|w{E&d(Y6Mt0l2iC&= z`wG9{@J>c&=98VF z&CIVnK=xFUe8b%s_}iFIxJ?%%U*a~jU6rqL<#$>7r9F}_+b#5%q9=|~Z{a_5z>=qS zC`kTZX-`7cA9D2{R`>;l-=*pgyZVn=`lUUR&-V0KeA)gHlILpY6Y?@Z&YE z{+yzxAo<)rMO9w;d+y7SdID*RzH!&&v8GpUX|C^3t<%y$&nhK?!xg2L}n`0)m}=T9p9 zg2F$o@Z+0Z`C;a>{gTi2kE-(0bF=*yRC&o~dCQ}7`%BNwe9NP=euY1&=wXk}@|H(u zdFj!aZ+UdqFFiN&EsxIlaXo#=^5`rtJvz%<9-Z|o{HN6VN{?8acT zdwS+uo?i0V(=*@l^eituI`b`0&+-c2^7Je(Jvz%$f~T%PV}v(--2drw?16p5+z3 z<>^_!>(RrCr!Od;K5ThsR=erw?K#DX^!PGf7zS^aaJ!hZRp>P&|Fu z^7Mqa0(*Li!?5M)C7(S#^DR%$^3u~YU-9$>#nXo^PtW$dGeFq#^sHZcde&okde*P- zElabg6sdo zmjCDR>-zby<^Q>T+<76a`2Pa0=($|Q{}&3b{|_tvzrZVf_7C@6|6fr2f5h_tRIZtj zD|)FvV)=i`FDiV+|C*phu|7ZP@&*duq-%PmvKce`5v#9VD z|8FK-KOa&2zgblHivKqguAh%6{@*Mre9QlnA21Wr&rAOqvHU;V@2>PCivKqguAh%s z{-5O~pX;mme>36w|A^xM&7#6r{J)uS{d`36|7KC)TmC%3By z{5t8MnLq8Wpv_Ttg&wgZ6&UYkox2i`%&GFO-;OLO{5t8MB@QDc=9AwrM#C+O1Mb;|(I=OIX zL)R<(QH8%r;n&FpI?FdQU+yH#QJElj5|KyM4AMh$hGyoIhcHLom`G#~^Cg1nTn`;- zQTU?@|Dd9$&h^|8D^|k#6}}QHG3(qFdPIqpn4=2+38maR*KWIDaE@>IzuZHUaK8!D>XpVq&BTgS|X+J^C&rcJex>aB-r zheH*iZpyc%@>(Raec$CHx5rMmY|KtPp6@@sucLKyH{>2aG;$VlQ=?7k^wvNqKDc{- zMMdSE>J!cBp0@eS)2B8LkDH;pJ4+jDwmrI`s(Pt%D%tad*(uO8}4 zbv0kAex!Tnm0;uUeB-*rzDUE~NL58;xaP!p*m;8N$~H9zs*i|^2ex$H!1LW~6JWTr z)Ze%4L5er6h;R#HP_k}hk}h4_nRW$dw6g*cX|85 z#Ar)s?Y4Ef-kSAyYECyaRYV4s4+I01ji=GB=Xyq~8yfCD7BDLtqv_`Ak-_f}VP|AEa_vCzdW+0*f4RiL`PzNxosy0`1z zg%9Oo=CKWdU|`Vg}T>KEVc4LFx#{La^iY6(Q;>QTjF~E zG0}hEa@X`&*U@EhxxLgIoxWII5v+WoW@%?bQ?D7i605Dc+%|oDboyfcQrFFa`j*)t zJZJA$+{tb2o*B5mV`FY2J~dw7*EEUf)3#`605RZ;6$4Ed=f@8&jbFGoGvC-Xedd1e z#_GoI$cE~z9V6>yYEuHyGom00q-#fg2 z=-~AD0kX5hwlh;PK;z}$)U9FY+ji)B|H-EgS6`*_p01P^rt)TnCfa6>*Q_tiHFV6? z?rmtuH|^;StgDLGUN)=8hg)bImag32(x2Ocem&dh^lQ4RZKnVJ6qU0K-1X0I>YB{o z-$DIy+wPZKa3D$JIenn{PRrF;MJQF-lWuyv^3LJfc>IxIMd+3&G;Qge9>36hk;cnY zHA8!v`s8>ay@iXT_fD=s{qNiLzanY_RR5l<{rOE@kqxy~ac=jfh9)ye^{KDk+_rf9 zaLaZR%Vdn-zCyC9DzdHt_^i0FuB$oRQ_&l;?5nD*8W|aCY`flD*StG_sRQ~>==GiM zYYJ=+a{HRSfr`C*XKOAWoE)fIe`j!ORV>oh!0m#NyxG^alser4+{KrA@2{is*4XWF zAb2;OyL#|W>U8sR%hk5Uv-S6Op?+1{s~udLy;nQ04_v#qd(Gv8xihDaUPQaXt_=wb zU)g<(FT%;HgfN_M6%Jw?Zg?qg(z+y83E&Y2^N`<(6$n zsJ^b=WaaGmg~o7BmUrIeTX>$o+L0R@WqZ5a^2{Aqx~|XeLjP?(G<&9|xs&^4$<3b( z&JPSX-w~I)C&vjN6}?TaJ>$um%Uw&y>smHdUp<(s-P^P`W8rV)f+@!98c*dnwcYMb zaJi?gawDNgZAD{SDSLn2M)-%B3F#+f{;ZOJE#bGN;qjZtgGcwYUXEuLw~r)i&$xbO zYrOGN{!;wz*!^xNeMFnSZnm|dSAM_Gf3<{$xA}`|a|h zgZ=P7Rg-SJ6(o*>eVcNZ;)|Jj(ZBx@;ONX|XM80qJ4(0i$3h3M99*0aPH(J%UyY1e z>A+dK!c8BmBYZZx_-vQsf3mO(?M65xyU%LvM!luodvCbyzQ!K+c(Al_E!s2c_=T8RY5T=ZiQ7Y)TQHv6a_P}Q^nd45)Gtc;uKl|(Utt_` zxjWtV8%V>SC7#OG-wPhtLhF%3%i?M$#>@G3*WX0v2N-|XM|L&K^~&+>gM{xP*S|!1 z;3qI|HE*oG7FYBvxq6Zj=xJMCv$<_D8)SbyvWwbbuiFj<*$y|a^sK)$Ki*zCbAR9N zvlE?*#}oH9Ry+1T=E^UvAdhi4JOO{#HAsHxylZE=hy3)|;Y#2kadWo*-i4v9T??7} zvHt4KUAFv1ciaw0`H5qt-PKn+r!U^0T0YRwc3t8WevA5h&K(Eb-&Z^HCldEM=C2%_ zPX(Ko#kKg2jQ_ao@2-2Z!wnq^{Ri%y z%w3IVj_)eX<~Mauo@KwAa_!~%`RyGDHgB5WbaZljS2Oin`k~}6wJm0jt*7>za@%i2 z+a9bR_R#pd?2f@Gj*yqmIO;zMCDlQ%1bpiJ;*>%oy8`5KLdXwwdC-W!o zb)fuU)SVa7Zuw6825a1TPpSXWOz)_`daF0$)-UVSf9_h>QYPBhwB25}(E8P#7jCb) z8ZTwHkBrpzx&80Xhcutb`Eq1LNq@wd?_#^pUIWfB?`+>5ar=+`zU;rDiS|tG-o1OL zTt6V^6`qgRZ=!V?(g&1u>6fi^_@P5LQ(dHAxcXiD>xZ^>%uJB|{qDGO?T_uwPqaVn z4AMuGbdUYx-HWrMq<;_fPb&Cp=qhB6 zVZGVgyF=GMEe&18)G+B^rz?Ng*@?Ev0nCTnx3720uWhiC8E2Bfzk-R!NX*wA!7vSHuAz6jn?sj3L(HVmEX zN%nSbi0qB*Nmi8lu`a>7J$QS=L;7}>l1X(w-(cI?IO(~t zb2xU|(Zh001ZU8pJwyV_5Tz}`p<0io@Is$lJg+CWe5saUEmZC>aujMpDspX_PR zjMv{gyf;~Sb0E>OJXc#AsGblTYpxyatJr#E(|*kJp+IybJ>HQyUK306-->m~K-bJ{ zkIc7tX1MYW<=a|&Zx-u@LhtG3O$Qn}u7`qmlk<(8Gsk|r_Rt&PyWkNjfG_NK{AfpyMv<+`Q{tZVLX zIn~qS!!;wHzht>1+Gep5vf>zJ)rzsxjW z+P}FI>y&#J4$yi7>wv-W$Cu93mzKqq_Ul&yQPaDg#{TqDM_@<1xnm9Hi^b8Fi$hm2 zZ(~2PQ?6HdU4V7Z4XhV1f04b3+UgBEFUGI*T-pM>3;`Fz^^b3C>TS?pOKxj6SPh-V_JUAfVm!e zcI|(pd*=AA_0+yu?02xPFgIxVjkST@@#baOj)())Y&$yBzgf0tV6UFvR59eNE9-}; zpI~nu`?QJ?JwI7B(nR}rtN#KU2@i5ziuLkNao;TN8_&K_G zyuNg?H`)7iYrIz;46@$*X{_^557sN!pBIL%vR>Z5?xS*QCBC+HPhveR;}d7PL~SqG zKis^j`dXWe*R^a$|HLIO=La?)JvD!+Jzvr8eNI-TWqro$4lQGUJ2Mf?dY=Q)xWsQA z>N9@u=0uR?J2QL0j8GipWXtWbLRAVtasgVoM-qI z*k3w+x-pFX>P&B)wcZUjY^V532IG8?{I9jnPFBHAo4=LXNu*(?onOwwz?+P>}uV#Zsf!`{(URHm}c0<4JlQ>|% zwB}lT=?t&e(4O(_gqKV`>UF7Wsduym_=KHUC&51KbMBp#e(IX^d(waGH)C2p{0i`d zIP64M!SW}8tr5hXHg%QKv|rxRJ(H}8IPJy$=ibTI@k4=~KDpzlAM{DQavTrqZVRu@ zGwQX5>jk@#vY#`<&0+YPo3foYcTJ9U9m4+S;PQB#Xxg!b{Naw>@TYn54};q}GP0lC z@p65vOZL<3@#x^G*2`^q><`cmL26fy6YVN(G%HCD`?ImG%5`b!XLnr0exLFu8ILkP zadQImgM1!F{B?8N9q8Mbl=-)8+JChzlLsC#Ue?HQc(s$`H#80&m*XIxI*fhkSr zKbQGrIoUCZBrs5DN6fM`|zk-i*W|O_-mgADpMS)@6zhcPs#ZG*8g{MDrNW6Ia>;JInOJKM$B| zsb5;g56v8(xp(pG)k8Cxpee@-&H&`RywWTCU9O8oob$CIuGJ1)HaPQHi_`~uuF*cYNzaELr22APvYl`t;}9de zL{iTOZhNJioWJ0olU%+PAH)3a`c+;pNdHd!%SSWErN1?!L)Wk`r+85ynwI{t2JwZf zZKeKQrDfpo=4^01;w9!L@(Xk0os;ABjh*{(4spD0u%ophF@FZ*1Mx>CF1KzI_CuLj z#0_a)J=2AK{D2v<=d(2t9+$}~6YYRFpgm5v4=xW*m~|Zgqc}{SHCp2_VVjiaQY8838F3R}j z68FmG5U+)tRd3r5IQ2f;~dJYmIH*uPex3Sna6zU#q<+Uft-(#iZP&uBXv_V2wlepMj_~4(<6xj41v@&I4Be z+2e!ku*$V?Fa7oaw-b+3E>FgbFdnS_mpDfGR{Jro<@^xh`SV&k<|A3pHC8=uQa`|t zH&r~&{bKJEQdqB>CscoO@AiRh-A@1UdaUzyHfZ%9uh$lCq5mCwcpc-~~t_`pV9wTetZ7m{=C$kpWSto z=H;;6PZdpE-`Sh6H%RmU;>Fg+qu3X8@5$5tFId`mFo|{B=6f>-u61C&aqsroi9~MT zer)!@Bek@?Avih{aEt>v`Vq&dn#9m**@!Yq5?>$@4s{!+9J8wmvS$ImYvj zO$Trel&l(;>z#>Xa~Fpu4$WBWXnVcTg!Ak3HJgttWqR;SlaY>0dUSm_WUVvi5NA14 ze`prxpG(#{!!sVu6Y`wUIu2D2 zvXR<}@*kZX8xwG@fbQW0iw_2KxhEA9>nIOtj@?yQa(yYWq2Sw08sJ zg8BpFY~9?MsTk4ou})0SU+qS@TP|Adn(n!b`M(b5sf}%g z?CHwNowRPJ^Ht2lyuXs?skA?;Cp%^T`u9Iga-EmCg}4as*X8=oUKf=tT+4OQ+(bNg zrtT=#x1rh8g%abar+H87khx~)Sj!EpYo@Q%Rh~LKfpdB6qfkFQ-`geQa>0V!kMtj= zd4%Fb-ZEQ* zc_nqZW%t?59SiwjT*kHKeBaQyl)3-3S-fX3JAMpyn}YT=)_JSw&o{JRPuG|FX+2Oe zA?=m>_m->irT*>QA2^T4ItlxnVXIvs-n}3oRa-socY!|3;R{?bFwNf$K~uzQAo=5^f}Z!xFGv~>oHnC z$9WuV*|WgwYqr;!&qSKjZ^?1Z`>>GA@62ac%{4skFkjL-l>D(}7wrRQX&hO0+0V(U zBk{cwr((U?yFE8RxItXa>Q|Z4v&91UIvbpE^>cZ`gwk!IM>E>pTsH8 zPj!g@0Dp2{c}d2zm*?OQvb4{^eml?kN@s9xkA0wQH;zl}SRTGg`zX;gCH>M4dA{bB zdzb5lafp2m-sg~U0&aJlHHG)!2ZbEAFsQ#zoCuxN9r@;adKQNBAzJ6G3|@@C$WFSdm0os zpmSin_cD1F>u<)5rC-X0rTv3j+bBQnv*A~`Kkfa9?Y}WTFwUZ?y!3~%e%w#H)@-JI zd}i-EzGX*ud>ZegOT) z_Iu{5B-^iyOGnO%Pcr^3Ict3q=Y6l$9vsKqD94FcF2Qm<-Xv~%o}%>?k2hXF(KvC& z1+8oFJ`MK0DsCIBb(+MjXPw6FqOQ}@j623H^kluyh&ws)ghs^u_B-=M=)e}NU%0(^ zoqyh}w)+!)V`B{aQZ5hpI2mo?cBXd6{9xh0S{Dh}^?W!un$t@!@s$y81BY|H?xPc>ik|=NgN>GvZvY zd17Bv!`^}YlkJg9c*|>~=EVL`YRBHDii*fpoEIbm`);QbExUI+^B>-W2o7!n-m0zn zZ!&}Z$df~6jprGD&RORlcGvkWSU<}6(WUr}GgkZv`+C}!_hBAAd3I~Vi8D3u*H}N1 z{h`seX~b8_kJ3J&Z|~(qU}N>I{^||gi&M4xIuNf~E7v1(d=KK>n)GtKK#qfn_UVhk zqZcXuzH_*e_8p|ZspouG0s2otpS5n=>#W;kxmbsW4mXErpHk68>pG;L4B$NAu~NEc z$DYDZz3*Cl@758y{G?zaDJBgye$*IUL#XngQ_gH;a4bto=qwJ)uc>Pa8XhZ5f? zpZzPx@gTQxAICFEZZuhi^$YBnxG*=nhT2*ibXzQMVD zllDCX9{=+x_`_Awvtz;4-WzDYnXF3s-YejJWNPyvdhaygZy#RAbj}V9xAbGZB;S7; zbla!26f}MMw(Pv0#4Mm<&_@oVi%DwQHt-S0mPe^8JiE zb5?wZ=1JT)kgzWkIB{oTE<2Si_2%anvU8cU3#Dv6x7h4`?43(5E{2S1+#IlazL2rT zG}dV{o5lt^=R$hwR(dwm+>_7GHHVBk(^%t63K{Eh1%XW0C%mmvBNZKygbv`c{6=G-8z@f&Eh(U+xc6W)}c)4Mt=HKIyXI+S!^E6 z=Wi`7gp6I#zq)A1*kc+&mPb=6S41=yZ%@tLx-nfuL+vw-i1Ud4^NLO5k_reNFpW_0 zwtOhlL^n|^Ex%7NCH`|-XwHbFx&GYH0V!jzx92~TJ%(+m5C0mEp4Ct&( zaV9-QcU}atd32$ZuV;xGo9@EsJMrYXQ>I}Km_}66sll`T2_&2w7&#~h=OAB+E4k!#}p*Yn`DYlUWWJ+01XG@e)H8np?e}l!$;(`>N&gU|z zg>Eo5xoKH0Buck4Q>i&L=5DLzQ~5c%W(1C9I@!Wp_NBq-!+|-fptmU+#@80eD*4YuPfBlh!!gEL9X{dbw;j zWIY-jS>i@1BR2$)Ne{U@C2xzRGPxC7+OauCS*O#bw3J>;Pg0A#6owQ?-E-43%hRc> z_mNWF?3B2MvP;=gde#-sU?3Hx{yMs9rU)1nGwJD+}*{0 zQhE+$StyZ3V<3_l60P2u(vVXW3$n$+$#f_x0g0v1c?a@i@<#Wb8F zkal}+TAFWnjcfyHD2)U6X&PqBClrnbPtRwjm*sN}J?HZCnc{556(Dh-otjt*(6imb zFc%uK)0qr><5bDbw3J)KEjsdMYZ8PI-h z1YwC5%)Y6+`K8=!25urETa#TzRMJ+T@Wbu&To&z1gNK`ws;&+mFPF-QNiFs`ksgUL z6(&G4Sx+54l}}?jn}YvNO=lO$E1)A)Zv*p!wjRG5LYjvKHw0F6v6L@ntU}hf4=|aM zRSsw1@+>q!LSz?HsPb$sGff%7R$UgL+G-p*sA}Yc#2^EZ1&f5wwK8ocUz~y=gi@3% zSuSF1ZKW(whf2$21|W@a^_jA>aybmb7mH7pig9V1Bo7kFO>V=JOH%>Mwl7*}l%+X? zgR8>L%*d7L+)u)x8RYU&S}mWsBr9)z36*ElDRW9bTM8VP!TRuM8_i8m4X+GCJJB8_ zA(^=N6c%VwYH1#$KDAt=X%Y^`G~nbghMDa!tMkNNE~czzmQKMM=vdA4&QC9tiU+On zt#-~@nUQ-C*{MsSwl3+P?FX8F(c$)^+c0pSjy(&RoMk1~k&-6w0#VY(y5*)w5G^=^ zp*fvGE!a9)W2%$avGTZ*4JrMJ-Hx8@Sbj)XOWDc&qouea*07FA%X9ffS@H(^VzQ}R zshG;&#?ojmzl@8^Xs}AW%%@9JH>8~yv~r%pC??Y~IkZDqYLjEYZhEXBBv9&Pl2TWK zwUA5)h(T%?>SH$1LMv-h#)iz~I%`$}=pU2?S-pyiI|*@yuFOZq(rje4%d%@cccPsx zv((5e63d(i6TxC?Su(iBwO$FCYmMaDK7{JYdbpJpk>WJX%G~LgA>2tCh*BR@a^SNP z&LbH%BIP2D+t<}7i-|}}sA({1O4`Y;q*MFDL&cvX;Dj@dn`6{wba4T)GL~72bXtK&= z+Apk>MvIC~9<|bE*25*#`PE8GmT>#xEU>wi#Iy4Y#mp40 zX5upsm$?-XTEZl8140(A}z^oxF)eJv^lqb_hN0Z|zYZF~Voc>b~PL7>Q0mtTLK-gxE4O~V;hUt;X zSkJj0Rk{7d%IQf}UQN#hWk!jl15l!k`b46klCzf zD#(3K_|g-I1gPn4zVtSk9;Ra1f|{Q51A~33~@IgXiGMCGL{Fy(h-TWZS?mn5Q*-v~HO!TvHjZO~ZpVWpeEr9zrx_ zavd0es*NXxlRbkcV5No&8#P>5so}y-4HuSbxUf~jg|!+k?Df=PC^>e9W=ypX#Phc& z@%-&cJb!x<&)=TJ^S3AQ{Ow6R*jLln+dGu%Ne-V$^$eUF!}P!mNv~mg{M?Du#eqHw zRWV1}Z*f?`iQZmYBqlR)*8pbn>7<&2b?`XhGFiv)c{T(_u#V9a{R3x*ZIi~5eWMO7 zhchdZc38$&_YEaeJ?Br_P9e(AW2pfQT46sB>ZuGVJC?$*hHE>S96N`>d+x;O==mql zrAANm4UC@X#i*zrz#ILiPNWjlNU&|K^{lj6jmjcth!1 zL{f2mjv#Tl#cX}DT`do|-pVX_+`MYhY8ON;QFNPILA%T9tVD08SxnYTbrcq7a5yyv zS8E$7dl81BrPf#y#6tC`UoFnr;X%~a&N9|J+?N_e`?~_{)ND(}&Lz*mTXEIxT;z?d zn%m7rEVtrz#}Str!tNB9@?^62jAVGRk1E*=sv(NEA6=~xX3Ft_>OjD5H-6$8%E}{+ z^I0gD(hAC16}JUvb`UQ`EyOb|5g#2mc^>$-@N7R=c(xxUo@)n&foHETFXNHl@yn6l z@$=d=Jn}n!Ir2Mx9{C+VkNmjGbNzTZm;87+m;87+7j|m6uvEh(KVGhZ{CGK+{J6>` z-${Pl&-1q@$@$xpc>eYzp1(bb=WkEq`P-9tWZ&S~WMAss+0^;56R9T$&yT^D(BRnl z1iTy;1E5^o*bf$N>_>^48hRp(4)>5oghjjz$IBCY42vS3DCE;Q#q-t$tQ#q@${yihHBmGeAxI{>u^u&5kQ#&dTUGOH)5=37~91G|O! zQS)O_^CRZR*96Vq#$i)>VPOuDp=MP2LA68XPne&qGYs>0ak@H_%}opQQ|1Rr+ow%q zi!VE!?2Rtv&Y`86L*{3I^`WzU=Lb(T(;3MsvMA+q<{w1N&zheHu4o^|(WkKa1D4ogOE6gvMe?(?|8BX5adGSfM`N!y@*-VN1+ccg~yU1$$a+PYE z`Vb?`KQX@+HNR?p9rI--w}@NxlT%Zf#l@@)Y9Chh`?9X8^&94&MbQf1G>rA6`5v1F&=$gxufv=0>uW8&r92 z_jBi2*#6n4~M^S``mAZMuoRjvk;hgv8eEoo5Y(wJ6a^3a4L z8mVG9Q0dK%bSpck^6WTLR|~_VB@L=v`iNH=kCrs3b=03cq-@WN>33}|pPF7qs3OHN zTNtONQEsxfz+r0&O90LY-a$e$p9p*#X*i6&O~rE9N6en11Vb#NR1jm!c#7u z?F=9_8Gwoi0bt=)i3)tgmmkS?evq2{fP<$%Jlh#SYBB&3o(y=lGl0}&00z|I1S22w zsepv70;EO-fZ-_<&vpionhd~(Cj*}C3|iPEfC4oGJzE)wEH5G90|-6a8MFXNpuasO zxKN-ZO$k0IBwQ#EsmXv2_LSiEeL~y!318pSvt0*m-zR*1PtSG+ZQm!*ogS0io~9&C z9eh2VaC@3aO$J|2C)}PUvOGhZucztRssoXl48ERjb9;D1wac?8Exjj#$ zMgh9lU4lTtHJ<_(32j;=wE2)g&vqTPNNDpRfu8LQS|qgjkU-CN1}zfWd`O^YJA)Pp zZ9XK>vz_0JA=0851~72 z?CBiSIL=qXf%`rsZts)0#!O%DA98!2NKFQGvGmYW`T2Rgqy)r0$5*C{heKLC9P;6T zp6!}y@o>n82YR+MXz_3eonAMMmv2gQODR4lzk%0d!hsk0Om%TWSv0lv;pC8u6C%qq zwEJ*E&z7k~YBKn6((dAfNKFPGPTF0Z5UI(4j#q)z?qY&SO$Hw(+FeW#smXwD_LSfv zpk0fAb{_)h*={8*0@{5Dpl3US76I+(PLD}$Pg9bn4!)jlcYB)1@(hQ4Jx$M+NknQg z_u-nr_YBKnG`mo#6L~1hldit>2(?n`A_u-nr_ zYBHcZ>uj$^r@_`9CGh*+4n6E5fJluZ9|8`$2q03E!H0muE&_-w&(PsR06kj>AX1aT zhky2jkhl>CrH5q&e=x`B0q$Yz80Ua&^h}2|2 zcdA3T!|iDzH5q(8-Qo5$k(vy?p6+man#l4DoxYx?XRD`))MP++Mi4>DrEor-2?ze& zTcu97*FUK;jnY zh}7rUap za<(EZD31VnWr}WO!xgQ*D!5>!ESjbO)I4qHqVR|og+~A?PX-rnl%y%ahqogx-iXv> z0FFE*xDY#{h1d~*hTf6JTgmdpAG=YX@ab`3Mmg4NqFg|WUlBDb&QEGQaW2S3>_8W2 zohkrktL+4qCpE7~hb!=|hgU7~IBG%b)B;xCJYYC^Kw)kby|QUZ^>Xdc|hy(07~9GU^sa|>+%3L z-aKG9dGwfy10S9|#IW-attlst&iCd~kU@#MJbq-v6=V>t%i~8zTtNoWx;%bl#1&)^ zt;^#_MqEJ#(Yie7YHu4TIEd?U5Jy+9L73VTrLMqE->XOg2j$Qe?gvL)0SD2#JbrM* z6>t!($wN_4k8Q-T!9lbxj~^TqBz5xW!9lT5Z#fD$C{b4qVZn}pV{&}4Uxk7d3Z3dy z__0C}Q^!<2Rw!KRHC4e1CF;uYV}(MfPC0t4P^8pbjsgox)Rp6h1w~Jta`dpEc&WD> z1s0U3DThL)o;<{`%OP5q#}5k%qdIx?u%JMxw;Tl)l&C8QUG2@I;D7?Bx;%ayQ0UaL zO^*W#mU_!ka6pN=a{M@;0IE}t9tRXM^_HXHfD(1(_;EmCRHqz04k&QyEl0rtC2Go{ zfT<@BG3;`P*5&czfa0l69z6~yg6b_t!2u=e%JJiX!lq6+dK^%o)LV{%14`7DXf6$0mVnXdNusfWoOxIeHvW0M%QLf&)s_ zm4mL{W^dpSAIKE(a}xf2wiCjs+~n7*V1j{FUyl`vs(S5LutJHNawxFs z$wLgg9HMo3{8*u2tCL5M6$-C<%TcgGiMnzC2m9rPdHG^ZWs6^nf*uOU>a+kL@+U(x z#Y`qOm0(3>RZt*Bfm)p+Kcpx=>r`70DT>H?tF1ta5_RPO5#BrsTqt6z%j1U&1!^7J z^l+ijthXEmE|jP#hoZBdJjAfeAzGKm-_{hOb@J%pLP1$?ISO1TQCAN7-&VeNqty0anOfJ$*{_ibh&MbgFZ}3hLcB&$;3f`Ey@QS z^QonUaOF$9wn_mDL7O-TTBFk+_f05qUrFoo z_(4mtUK>36xNm|&yPmF5;=VFbSB@W~O58W0kNYMlw7XKvOV<>x{J7r|1*!VTaDsxr zUh5Sw>LbGm3jWsXdn;UtA1`?IDL~anjT00Dmam7U?__bw2;Hs`uKWYP9tE5FpmBoY z!g4)IdeXL{FZmTIaMVYV6BI1=RziWBK9Zd9N0KGOhK@dxoS?w5YjDx3`Jed;SHjCO zhXzj+L3R}_TX4Wn5jJN8U6DyxKFkK!3AgH8`H z7PIXsW~akdwSFZEBK6_!1VywRC6lD2-mgS~s6PIkkZ%s#mY_z93*o9Qel-e0NnTeW zKSC)CZi7T05>LoiitVC{G^2&9cKC}{@JaHzqW$=!$hcFq9-kBxm%DuShtzia{3R>s zBynBIDmv54^!u@Jm487<@pH!-&>B55mToshdU-MXR3=>IZ%`$^p3ukF6BJH&(3xId zDCSGysxDu>l$g8BptA?qb6v;s6#Z%|Ty@;9NI|GR5TBs9yL>Iko_1z&KV!J6&#y^= zDP_@_1lU!(O*Dtsq^s!H^{QW@D81d%`iOmk0`yAFCYNxLRJaPi==3U5uuC~~_5h2D zJ%#*YxN6L=M!~K=vY((pzS3iK)lInSl3$O4XUd>62LSa}K|!xR+Ml4{zEg!|{E&1q zTy@>AMgcF$Yl@~AzpI8vamJ@cITJ|e&jb<__IK6bE@1S_O}`q**d44tCP>h!fTtmp zV*;6|s{tVAIs@sAi+(K%LiGm+2|6cmyRyj6VA-!nfhc9rSwjQgL3)v$!9Bko1)=%_ zh6J4_D0aT+sq0^^(PStI(TrM0VZ>H1~2eyQjkko zbSA0DO&6szc%fg7f?WNPMS@Nxl$u%2;OBgblyeN3Lt_seTR7NF7o{_Jm0yj5U6R+S zA?&(6&(7dAem#oU)gN~x=yb!=Im&T|Ow^T4=vAF59dX!WO@G{xpwo?2F0i8TRMp%3 zY8Bv8ew``6q1?^+@5PdLfJv?I^lMdMtUohJ(2<9?f(l~w=OGC?{_y5eut|wJ>w!D1 z_43!{()thhwJK26pO_^4Cnl0%caQ$WBtZux9_y786Pc*7o(@Hv_ju(M($eDJ@oQ2* zs6R(Z(7}k*v@kD!SDh*q?_kdUlwXtLSoLQq2|5|^)2v}WcK^8Cm>_QB;HA-e9eH#vym;anCYuSli) zE-(97KiV5Ft&&l{t={_Cm)2Nzh~R->D^&&it@>p@mEgj##jXX%daT0aXJp5$g4 zf%4+*N!ka}?dw7NBH5_JgzR}%egS@{c)N&iv1BX@AKi1hY)J84MtoT?`t8^QL{_>_ z*!e<4m~O1&i{+S<_sUgLmt#Al+JoM}ERi%<`uRq2U30+-IJg}`9kVx$`EsFhzXSm8-mqzKLiZ%|e6 zD!@7#7E}4$Om=puNS_i&%`Th9Ew?_sv}fyfv?u9S+|dj!5O#U83`gRDPh|~IfnV2Q z*vJde>+tRuK0UKooWjNHg%p(r#Ls84v&&PZ;v9a}Hz#2)CjpyT!i84y7hJ@cLszfY z)CvuFXN3m*|GkbPxEL%^i!Y&HotENaVXebaT33#r%+wTaPng0_HPJrPG`ay|mxsd9&}rc|d5G--5obAZM~kZMTH5L%?4 zc4nrX2H|R>kI%2r#~QV(73pgP5&ZGsPf!OUNQ8muc0q~)3FJndo&pE`@;vUB=R4wT zpcH>dZy_x%8!T2?j=KM)U(hKR!Ji5KEL9SLr_lT@Im$8LVtHcEgC2Z_EfH7{cM&q0 zDJ*4ijhpKywAn@QrNN(z8NuMogjsKHj2MC7D}eDmdk2KsU_3$3uf~wJZ{fxdQelST z{gnH;g}K?>LKzTO+F)mBo_p#pZUR|YDrM+nQc!$B1YZ|?eH3zUkc#1S@EI?iVoqts zZF-I7R#NB}T1x(j_Jh!EH@5RJ>#moBd{mgbnEJRdcQf@n!ra5u zCxy9}soxXkW1RQX!raHa&j_=Hd7l&Je&&5%mtw$VtwBbW;;{g6y{;3{z8}?EcY#0o72MVG>=ei{zjNxO#PiOyP5iqFpo0z zU12`X)c1sWjH!PT=5eOJFU%*H`d48lxuky=W)D;UA|c2=gRU z0b%wtRVmC#RG1gomhHm4$h>A@USevOFvpqNBh1T8JtoX6 zOtlE}DpLo9IlnVJ^n9j0c4d6%gh!hDLUo5Fk=Q**++ z$69j2d^+TSY&HB-ML%%5k??-1rMF!ig#{6(gIU6`+7>fOS8EmOZC%-1pXK4HF| zsSgPA4NQGdm~Ujw9~R~>G4&B)zKN-i3G>ZN{kAaQ!qg{(`BtWWSD0^O>Qln}Wu|^# zn7_i*XNCE8?vFnZ<~x}8hr)a(m-|Iw{wnkSNSMFI)K`T0>r8!BnD1ihYr=dtQ-3PV z_b~Nm!u$=U{#=;vW$G`5`97xpN|^6w>TiVk0jB;AH>1*oAupeGXEOPL3;y^8&lsx; z4W4BoIV++ZnS~!&LCTfTR)W5^@xYqeLaWx4 zg#0ya))@ss=W`xx`>JAY1@^6=&HwR_)s@O?;pv+o4<%+-c_kC2Hu{3dLujK9i#&uF zeQ)HU#B6Qau171oEvH4C=c6TDpjNBrTHCmvq3?cqhO7JC?5+aF%*;fELPVA01O(7e_+0RAV!R@*+D zW~+4{PS|SShZDA1_~C@DHhwr^tCb&4*lOnobu@i1;NZjc9*I6Aeo6Ep@k^o)iC+?Z zNc@uML*kc29}>SLYPGA*eevgU>~H6Aqduy`IR#zmO9?EZo1}eT+IfJW`z;q1T+uk^ zyF4tXn|_tg^{|l6x%$82^8owY&z?NMKIdyF53rBF{=h;jwlIaYA0*HCr-Q7|46&GQ+OoeeG|b}dLtmg^DQzdY-zs9E0~(mE@ChT%psR-UHJ*h0 zvJs2vI^Pm=K6u2EI?Sn(_5$KTa`p;B=eSgT+%Gbu^8h2|$CTJeo$IufD&JUoaNX@s zFR|n*w#uQ&A9I(s>K2Ta1yYoU%0o1Qf6VIuXDOypFAHC#(|NvSvAr5FS*nzeK(VZD z+*q<)6}#VcV7CP2C!<*1DqSgk1AR&Afd!K!ePD_Ob>qh>n7+02z;d0>Q9V$dySQDY z1(lCiJ+Nr{RF#6ARnSY4Ug)gS*CcEsY?a|?eHe?a)XUL4=AG~K-E7O*V9>XZE#<9< z&cDk~3Ar<()vaJhsYjYbd|-Y`spnS9;~wX^oy>t`1FgeviS6i zuCn;_i>|Wx^oy>tc~V6mxOplUUS;!CF1*U-sa)72RgO+^KFQtKAO*%Kp^3CCzsO*)m<{dp{@0a=Mw=`N|-R=z3iF_~6Pd;eL~FWt+U8 zDrC8Y@>T-g7n0XSwdT_E`21h%u>8}T2m4NGfD&iYQ<-jIbQ?!;`WgO7yiufN?^()C z&r$N@#xY99uXn=uKwst#bji0u?YAE^uaLO!HpthW@R|y}ol+&UZL6hD()z!l+EB}MpU;paxde>q+)unS!( zW#?LlmhQw)p^R7J6$YmaB}J-ybtL?%@XzB_5AU}HN3&Bm(0s`Ki}ZG@K2a)oZ6y4f z@ayn04$C}Q%uHuykPmNKtg-T0JSqN5k?#sO&|2>~Vo!C!}`<21NKR;kQPS ziWe%@u`;}3ccXOyEtQ_d*ATuEqBY zFJ`ApH$?b7!B<7Y?+*V44(}K8c56YQF`aGTZBIt{!kRH@?pxNe9zDND5m=P zLi;L(+jxEo?ei2`cMN#T`AmB)*F^0{IT%I@dixz zx7P&2_yNpztzn)lYr~(cKx2mJ9~0w-6UQ>eYm=CpPWt) zWlCubzEZw;Hx$N)ENk$!8--^Y&rwbAe5~^nUay?XEH)43^S723)X#Q4%vV0u&roWb zyzJYa;m?KtAR7K``15F)KQxWjRU6vw|C38O+52&T?-SuK)){8_OXzNHBP}=v$ggCk z$1(*#`O7$Dv7r1QVTtZPj)cDw{wjewo$Fc3&P|^z=I2dgFML^9k$9IEeKghDtB3-W zUkiUd3MGGP+8<=q7Azqg3jY}f#yowBb<9A86IK`4yh>1^N$$ugpOK0Q^;Hz#DhY}+qnrrZ~4buZ3#uc{bF=1R~UW+g$n0G)J*O+%u7%8TJ=@r|;De*eXwhLpDsSaUGF?B>3(@ep# z3{#H_V}_~Y!kA?$DU2IT^?Ey679xzSag!=_QW&?`*i*uoW8P_D%yZU3VdOYR@mKzmDfvI!CD6-rIVJx!TC1I49x-5((PQ5CO+swNrjAf>-3*!!_P732LQ`5qD zim4f4JdLRv!nnsdZVKb+%$pO&eWr54_%Tjh5XLi@R}{uGnJNk6SxnvjUqL|*4uZn7 z831>M@e@ovO&HJNa-S}Y=W-c8CXAotc6p{Sp2yU)h4Fl*enJ>8VCuQT_$kiuJYoDa zYk7e%eujBJEsURKxt|rr3z_#KVZ4Z`mk8sLHwfcZO#PBDUd_~-h4J%Dy;T^$z|=1b;}@BFyD(nETHYy) z*D~+dgz-A2-X)CJbLxA9@dl>eD~vZX^?qUe5>vk^j5jg$Az{3ksoxUDTbTN&Fy6}4 z$A$4WrhZ2lzs%Gph4Cv){hl!1&eW%c@eZawBaC-4^*LeuDpQ{q#;-B;1!4R;Q(qFs zyO{d2Fy7779}D9>O#O*4euJs63*)^^eM1=UZGQ#z#2yABFKzrv6zNA7koYgz<4M?cap)+f4mGVf+qL z|0#@5u-p%X@kyqBD2(5gRMZs4?=e*&j88FDC5%rq6%xkpGZhiWXPAl!W)N_*<6i6~^B&?}RYE%~ZcIzQfdj zF#evYGs5^TQ$xb|2d2&n<9pm{Bf|Jc);A`Mf8x~h!uV(AT@=RmnKv$se_`s1F#eUP z31R#jQz_H9`0&%2x(xA6ZqBOC@^9qf{$2XDD17mMFa=-ypIk0{@qckW;ER92Jow`O zW(vOehn$L+W)0@yrCF0HyfhnN3NOu8Fol<9D>(;Vnyq3AFUxhz_@UcoYsX^6A(BN{kX&5MPtor@J{Nsw#OR3r zDr#VtNHqM$=x;w%NdqV}6#WENbhcF|?y#y}{GKK| zmpQvY5s$^@Q1o~4YY?a2D@e(P`cx$Pdr^c5f@JMf$utfta9bgxx3pedpS0L5qMwO= zHVR#zL$Jy=&np;;ejZz~={$uA`TwSIZKZw5%I!_9;BKwFMJQWOUUx>n5dC5_{O%|= z|4|oMBKl=+_pc!81cMOgn!9TnBgzlce^ljvHTox1{?`zuYeookX|Z`07x@8pZs^K1 z4ymxQx4`b0Tw#kB{nO|-q8J+p2COR|omlv<_%mtRBds_%k&y-`{>1ElgnTH9U4N}Q zn(V!O^lzfkzm8&W-{=^DPtfsixwEC)bZK**hWcK3ApNiKl_4s74e`Ea{^9;Uw-M+g zb%^M-4&-jzeoj&YfRnuMzWJoCj- z(|FRI;{MMg)EXGQu|7;HF>DR4@NmH06LMTmri&srz{BP=?u4MZZIMj-(VD@PjSa?z zXnYR)8;x#RuDC-p2>wN9)!I&3o^JJ~ac&hGgEMv%>?(JVf zw(=~hOrz2=XuJvUWL$`BC*O^mcXpP=>~$f@Pz(p98)&L`CnS6FLS+|Xv3#t6P$O4u z5pzZKYlxF_{cf*b1E2xb#8AY)7=Ei&mRvBld&hAU2SE2c?`Hf?8fQ^@%5LguS_q ze!t8n^ePzxgwUVzR)%x&+0^OU^oMNI7hnL6h9hLtXW6DNgY}g&b{%*O^~zlwbp2XVAOuEOg(yo zIt8$xKkc+4k)ZpRGD(Q|OvC7)OcvzOi@tOu_&AWE9Doe{0WN&$NbqqOJG4qc4*Bf| z4|?gob8im1#hgSLZS*MyUpf+e9Bu;|8k7;W@}-y6oUZ;RQLZ_C^}v^-tmbq(H|5}( z)3*p*#AoT#?{sYy?&KjCC+zOVTSv_yu^z+UK_9WGi$#4zY!Ha5zg8kiAgca4rncbB zM%B@B)AN~W?Ba3O$?bMk1Y)e@8Zu%?N+sn(Gnb#wAbLdx)v)}O2&`iY%cuZN zwm}()01==$m!ClRuyRBM>N($>2y9^r>-PXnjhqKWfM!U30^zH~5?-L2g_jV4ZJd1x z%l~X{YHn#d<6N4#aI2Img~T${u)Gj=QDt#QJS74>Q0I$yg#MQ#3OMgZOl^{GbMTR9C&VP6_r!#PR7 zSQ*9}gcvC=Qcn_Wwj3faiHH}Am*VJ`6~4?g=3GDL#kKe8N95TsE?yCZ39l@x33}2U z9eHy2%f-}kIx8L6szD20%G<9B8Sm$+{UXkp<&-XPaI}JEti|QwNI=jFq)w;MqL02X z;Nz5cI=a#)g8}B_V3@5O@si6}_TlBaFwV%iLnZxz9sflE z2<(;9Ts79yZ)DyU5jem+tf$|=yhmut1A=+V0}-Gt4L^Z!{jpMF0u~42tZ5hC*I-S1 zMBotf9ut8MrdmiJ(9Zfm1ZevspNI(1E{LDXAWyf;yZzKVKmBB>c(5-sMXmK;a=xer zOiy#!fZNBJ*G2mS#1gbwd0blFk$j*MwX8ya$Th&bHA7rH?qk-8S|zYsqi<>WN+@$Xbk)6AhAy@D zamqS?E~Izchvs?w-h%dZ;8)1=r%E<>9Bg75khNw+-K}Kz=p91S?b%rR9+R(-PzV?NkXtjhequgrGER$f! zSd#}$6+R89w>unZx;WDA#Fna`%U1p*OnP3OQB77po2~pQuztGEsL@ynq`;;&8ZyIW z#Q`*!i>xV$J}2Tc2SE0jj1Ld{ja6gZKk)dU2laEZ>uwE$*woDU2g~L9d$;X z&Mve(?1C#EW}LrE1Wqz)-y;G8OubhG2AO)l2%KdKekeeZ6-FwE00mh12}FQmDEtH> zK%o?V0^zR~+MntTIr^g!0ABp{KIGQ>_qg7lLM1<4XRM=o{|?vtvtWI$&RDOjH*7?` z594Ju?vF3x6*Z>*sN6y}jlQu03+YoS{wkpUehWKX3%|w|ejVogX`QiwEc^;v_)W0> zyw0fCSV;R-SXd4N+Pab%3>W&Kir*SEq0gH5LD!mZvo+sA(SKiOY$R*`nyvXBSpQgO zY|>eS(tO3x2AJ#sg6I!=(Jz4I!xE$F|Kassrv6g|Mj1grzzfjK`ymiQVI+wVBK|+- z-UC32n*ATo)|=bS-OkQ6??4enQHsD(rAh~>N)r*0aun%RiVBE|sMry)gCZa*{Qwcc z0(QX$BE{ZCv3L1DnJ1gu>}H4D?DyXP@AW3Ig+-Zx_w>Q*R%e zJv&If7a?U(??9wNOc1CC1p(n@8?zV#!pnX`6JyC*s|QQ&TC608dWWFEJjMhKL?$2- zkwN#c#zX(JK+##Se6K^PCns}5M=>^TUdfhU49|?~ksI7=AF$V&>@%ph1kqJz=s@p? zF1Z8PBM8W6Dr6#MV7<%?WY*tI^9fwTkF(%LnG69hY1+~q7&xJuzv>P7ZHz1Tw)H@NS!pD0r zdrw8F3SDC_uwQRsQWaR?;eI1Zy^wmRpw!+_`U-FnrP+O<8FYGfKkB^#v-(5U$iZWV z)9eA51>eKH?1k7yzz8pUFUA-UkpW_3(SC`^$R3i^^!i{BH=w@Y@nR-c#2zo$i!@3C zB0*nlM6f3D1|~P14GWlRz*Gm>Q(*Q4>b(kOPl9jJv`vWihVLQXYcOpZ^y6{a1a-p4WR zARM~F+TeraXhiTK>#f)@W`vjR#$ew8;bmJe7-Po8c49EbjEil*V2l|T+jGGf5Q%-> zhN?eLm9`$ZTs(|S#HuM7h{Q^oQ131jQy-2|pk81RY;6z42tarlb8YM~`(W?$UbcaWViooQYts}| zD6F0db+V-_w2im9|s@yA_L*09QRJMQ`j058I&2hG;$d%j=f5zut`$k0$1kl1!dr)Z)8Yh zC^)}GhQXPFBu^x{a7JV}e5NTGJ7LNU<`;D$;UIftWK<|JB67Khv?&|VyiGCRIy|uu zi^VzXNtNSqmB^S-YJ2fl8#}abwaF9gI#aoU z#jsCecSwvasl)t}n$$Tz=Al)W%YY_KiA=RfVPqN@H2WR7^HR1$@W#0Z+D{Bp_kzUf zkr}KNGimm{*n}&g30GO9C^C&^ufU`^kTlmKl~~eIOu815uCqvGNQ#_}NwC8ZfgOgE zSyC}3!45+Nb{I}!Nv$yHHb}bNB2`!$3b2b~&2BRu?mOrM$AdB=cfm7PpYEM|v+uP#J8w%3VMFI#TdF9&0cEjMhJ5@T%2W}9+$j1gY8H-|CyV8FJ&FvivlwhM+a zwq~%sD2xG-IB|ktcsz%H6Ek2j`5b|NO(S0*@NWV5cNRIz2F~`jP%ID$-0aaZ0RBC7 zV`1`=$R1_bcN+NxyXsG<`Y(%|ja9=cg;fKQ$PJBTa$&#wDtlfx zJ;`P2Q}4HS4W^$)j@ykhgOQ+_VUZeGL#$WW5A`P20PVFK2Q9EeP;z1s;9?-)`^wb_ zBAQ$Vp1bhugPBXs2!hB55Cs;gi6COe!1k{aYk(xXa%ip{CU=7U0Tn>NsyYWjR81BG z4hcV^CaO`h3W7KjKvcI#Ed&v>LB;MFd*rbzhixEJHhBL0&-3uBe<|pq$*_#SBbr zVo+@DvB`#kfv2Tw&|Ki@BvD)w>hq$wq13z-&7}mu47W%f1QVNV*xp?NH=DO?Gl|(Q z)L8cMn|($YH+AeZb{uWo4E9YnWgZ`RZ0=z4*si60QgOl_rv28|m(ZU`GI zaG-E7{Kg>jRe-aU!|a|-6qYpa%Lmy3t?5@-Q#8Z z#8?#&XGuK)N0=v-3>`JOWRU&S=YwqTE%uwlY>*{ZsXzgncYh;_$CJySOMMYU@g((G zNUfp10;JY4WFQie0pVpk(ij86%f7K&C3u73j;E6L6kzpU7on$MZf!_O$1FTk!K+${_=N=L&dsC!r z^x+!X` zzX?BRwaVT|Fb}~?aq?@&c>kaQ`875qcMu&`_-g`W3@Rf{UR}$vS$CG$^GirWh zejGACG(Ry2EZCu`<6>;^*;#QU2Cg+UKR3T%9ACl@cOjau4bs{muG;bb*?^j|imowR`kNH!k`J?$Wys*Iai9r2o5ZJ_!-S6AODg~1M zh<|~yCD|Fal)o~~Kh3{k24f9JT`Av|yN;SXg}oh_|Av6!KbS%t3iGoG_6dcID1p8m z3G*q9dOh1iQBM?Js>4&pR2w{WXvyTsY-FEcPXX(W2BJa64X<=gdkWo|@}l6WQ`MtJB`l`yYZScR3{c`=Poyqnf7-*P7n3rg1u5FaW}s+cD0)&9ZYgU|I>1$0(aJF52i2p| zQ&?x^M5`DC_P@M?ifQyTOgY^komonK8a)$Jsv88h!MuYS&}a=zscDcdETtiho`WfM z4APaQG@{XBOsQ`W*dOx_YD}YzFr~3U;IUG;h4+JBm4&`+3R_oVAlPYk&o$4CHjBbs zlP~gOTlR3R0CsMxOj0R&9&At~6=6>hSf))TIVsu}ESv4GpA?11>r3OVYp^Fb!7XO9 zq{})qFOL9ZY!F4^nY&UHp1I?Wy8j>7gtIbKb$$rc)Wsk@400jd48Zi)85SCtT;jf5 zu+(-X!v{|t!?Y6Z5$y?|IHDK8yb+t7;!9*idxfIC=@NtVqQ0v3x)<$dklxgXj@QwP z4bn$?C`!J!A@(KScJ5EH3?&bkvQ@`^=A+Sp(Mw^~i4KYcqwo%(zTGs|1e?W-!uQ0Q zsaHNeX~gz;?0Y_=!z@xaT4IpC4vk`uZm~wu=t#sh3UFO+k$Q}|zd=AR0}OJBK?WM+ zQW`!pSf7EP427ncL3pBL*GS;tmFow$q~hpwc;ZW%b34b~E*hN)%XIRj7gxj{H&4|8FX@zSU4=25d{aP{;^}1accrQtDN?TU?~xW^(5S?W+4u7|1Mt`r4#rA91u7!4O=Dr_G{mszASONC>y^)dA^NL^u( zCMnzfgrH-WGMkrwuq?THw8A}~ykkJMiW00{l z+!&d*L-w;4Y0k388Du;SH$lD^Amc@gv|t$%3^LImlMFJMhR;R5*C6|Ki?n3fQ)sv; zGVO)bw=B|%rA{@-G#YM(#rHwRev6#PGOnQE=9qdAQV&_AHA{v6&oIbLgUm9>l{DM} zOMC_;KDS64R^lpyTy2oqG~5#NV8<;AJ8o@R-W-F>HOMv8haRfYpAtRE>YW=KDsIQW z{R&8q|x7?^4~4eE((3s({|&D{sl>YTcmw>G4-KSY!seaWQVrmvJnfuVoZ|d zi3BaLMLIA^!)-}c0Fr_h>Bt;{;@;qf4N5pgA15pmg@u4KY>`fE_|J1-mgWvCg3Kl` zM=jEsF<)np>uGqct!WE(-mC(PoNo^j@%qF(;fl)~!mJ{cQV9xIwn&#KJX$9-zRWkv zs)B`2g~C-W(v?*?-yk;_WC8WnwTHADNPXvGq!#rxN9r7d++>iO&ERGl zK4^a=uS~dm%uo{e<2t4X^7n+o7g*#1#{UQM_lBfC7P(Nt z-zYX-sC5zY_lLq4Tcj7`{|EU8Leixc>8;>zQpP_R`G-K^p%&=_{FEU7a7Y?qk-lum zv_nn6M>e@74eFLj8iSI?0^2x?^kb6zC}|=jO|r;E$w(T+X>uy^PlLi&SfoGWH;^A3 zZ!K`Vy;#8?r^&04e>N1JW03)jpCbP}NV?V{mnit-G&vvn;e(e2AG`)Kez=u@%>wYj z%YqMHmoiPD`=@~1G4y-#2aw|Mfs}{{_8P+1uSn?tai>AGDS&K8RyRCcVmx$sF z>t5>XjvBuo9*Kv{pcZooqtmU2;MO?X^TS$7eHUPnN2sqiQp@cdqf|)Xdtp*?$C7bz zcRH{KQENpA;H)&rZE!cMy>Vi#roMhC{7D$O;2d8Ku4JEV|3j@c_GYEE)*!dT6|Tsz z!62ZKJE`wtWZO)AgOJ*a=Q*pfkD-&PwGEk{G00tT!z(iHFvuc<+)aH$kmUvHD?w_P zK|uNFmuJ09edtSPy-I!PAZNW!edyF?y-9uOh-U3I$UW4Du4mRe)Q7%d)_d><%J%lC zwI8+mKJ}q%m-T@`mQdd{Sm$BtyAG)j4RWtR?xVgNF#f4Q?x((+F!BZU-GbCt)OR~l z-%#J(NPTCJ2Mhv--rCu(`PMOmJYh`$EISG;qEpl0Su|ZbD+eFMfXwJ#D zr%28zJdy2h)0|W79?z+2kSD0`Da28Y`qm+JCiQJZ>MZKpj8qNkdm1TNShgc|4m{Y) znB&h>m%*-!u77mJkSbfvx* zP+oURJEJ^UF>+wV7@pJBAh2(_8}s{6+7t8pLHe+i`nF#l4>A7qh{ zEPoyKy^i@qDIJ3O@O2~yzK)Dy`Rl1~59VJ^>1fO!1Nmd&c2NfIZOoiN=@iVI1eud9 zGMX`OpuYDo{|ZX4!TcGJKhq*(81ws>c@?EMVkXSsIWU8dWy~Al)?|B>l-`C6cLBp9i;QQLY%)lxK{gv? z3#Iqj56e059*_g?0TbAhz*d7iZIEpSc?K>}M%j9HI^-_Ict$=9Wbr8$Rw~`vJI^inhp1f zK1+RHqH?xU-#18YqrRg^ftRAZ;x{Z?adUQ{=$#^a&yO8>xMW(XUU6iiG)B}+EfkmdV z)ZGSo$sjKq1jN2-kk{bCX>8c1)b|@!_&N3ciPV>H2{q<^%{=pdVv770uA|1Zqm-_q zkF&HNpn_v2h0`KeM5j~w1P=3GAoW*^Oot}`x&{mW0ZH)V1v6Nwby(^jNcz_zGg+yP zcBxzv3Fg8byt7!TQoB^HACdwVxe`hd`;FC`Yf!os%fmZDF1#aL#maBP^4X9SvB=e| z{7W|6TnldAMk*I>z(y(`Zoo$BBJMY2hCyI0eGBf%w!ik~ow|lBC`RnKN|}- zp#CUQjSTX(LEsy}y9RmBAp79fY}+<+TNq@&LEbmW0k||9(ZNRe0i@a)1eW2027&9o z4q_7AM12S;*t!Bg(|b@%ceuhEsh$QoVh~uSKQhS227!HfSUW$18?=$DKirXx)Bx(w zMQ{TR^0`62Fvyn%`N|-0^7RPH8pf91EpSIRa*UvU{3et;iu&=hPAHzX~#$o0(5-@{V(LehN}na@h?$5IbM z(nA)xftC6IOD%(>M=Y{{l{$o_U=t-5Hc@V5r9Q+`k3-UGi`>LYeT=1^f}}MTxfx3N z&qC#{r~aBqZKU)w`Z)~V+)^mE*&++sr2ZrI*Twv8lzxTzu%VI*8!ETJD&cR4na@#r z6f@kh{%Nm5xvt;4z>Vh*gTkFA7Cx` z3+}H+2n`MLH}#K1H8nBFKQs&XAM@ZLH4h$AA7uMMWoKR6#vQ0GtD==XL*$(YEUhi_ z5X=x+E$ji8*A9}vgYaQCL;Ra82oFw|3F?f3&Ic}dU|q@tbwEMgA*qK&mN7y983fFz zv%PZU^@fZ-7J0A1&{2YVcOu*dNzlimZR!yZQ->~Smy>F^Rw{zJQh zJ^hoV&g?m9)c8rGrp#zRZs_=7a4B|1-Z1C^xx*97*d0GSGp{6Xg!H}JzO%EeV{zxv zvpa882#Q{Acsz#33ttcs$vDH~GdzBpb)h}K=D~Au9y}L629GbE0L|)!s<;ADr(0wN zOAXSj-k1t5yLsTUyOO15(5ya~Iu}x}vB)ZxYS65{n0h^=&bP?pa;L`~aE`O98?mo$ z0+yRCvKs8llWBNhtX_?>V8=Y~4vRdIyrFn**|T5XB9sD4K^`mxPbP0Dp4+&r1MkJc z_d((NE%FpAoNySkZrMgs-a}aWVJN-SB5PEo>zB!X6iY9M(vMkWt*Ug{CQsffEDcLc z9xO5IRHemcG|hVoORs^_Yb~-~QMz8)lXl()EWHs*Z?eb+W~RTQnQnoktrpqHHlPw3 zUN26k&!7NUrSf2v+N7$k>|6&JgGme5KtV~t?#1%c!JM^C-`kl0KSmt z9fqVM7I{V`AWpv@qkvDK^rsft&IHs)1YbbXmlkh{g*|aX9BvTfPW$BKa0Ge5>RG- z`5s$9zBdxg_gUmc1>X8)`ppkw=?o}sSY#KgZnRxpei)LdMRvo}Q{*ChFFrp4Nv1_! zVmsyu7TKVz)AMofCqEZT=UL=skQwgPt77TXp!De$c@3&FaSJ#9Oh~G3k=LOKWUf88=EEwSfV^Ruz310LQMG~Z9E-fc zL{&!Qup^WYJ3?=&kjE*%Aqr>&r5jsh4--%e1vG`EW)|725YVX1T=QF^0N5eQhaIA~ z6ishbW}EqKu{5l^`LOQ3ttwqM_VYVo>CRC4e2cuJDqUuX`Q5NIEXDb-6u+w~9oOd< zVrg*I%?DTA_gKTZ9l@4TK5QxNV+}8Rmr3-06;pHm0F-nIunn}xekN%=s_-&M8f=mG z*}5H0>c_@$22_GFh6BS0iyUAwu0R==L(*uAe4yxt#&I?^4h4*d(i1FlkO`QD0^mz~ z{uGNGQVEFD^%W>!I+UJak;6>DY!m=1bUv)mM^pmh)IZ1m`kxOgcRsA#A2I>+%xmF& zCI31|y52P5+r&rg&1S6qIG2AT^-n_TX6nb?>-<}&|4K}QFArfH0C(W+x@AB0F_Grq zWpBLZFQWdrSbQ-x=bJaM%9cQ7_nPJn7Wo+7tjrq`0=%W=!&}-Xtp4kfWf}D^Kc1DMb%uvhKWn>p3ZIREQWBj-oo&QQQU);!k4f$RNzBerLIU7&4PK2Ae{FZ^_C>Ih9?JpXbR2l82tDYQa`~@P9gORc&o78|Jbk2 z@Q~*JX7Bmu|8974ssDMD_80t)6&k@m)c+Eu{YU+;+Ef97->kB83*a$2YKt%M!*5n0 z6-@4!vaw!Z*dwhVGZHKaS>ziw((bp-ydVpbvMuthVyHGL8|wv8TcrgSl+Llpcg!Mi zCsF?aq>8Bj5K@(?|3josp?=(JE;yC?zs9uFsQ){ps!{)sNS#UjzaVuM z_2V98K@IBv2h(cNfCs5_XdsAGT^hjcxPoFDFfpwG4dfx!hz5#~YC;25kZMW;xGh!C zoCd06T1y(Jfz)|4PzR|tG|&L4cJO0usOSzfa4x2Gq5<60C^(-6@b;#Ht~AgIbGy?3 z?kyDbq=5@D?Lr#phg5GGxCE)bG%yIMi{NMAu#SsqU>K&sPiX#zX_wN#C``MI2JqWn z!4Mjlh-t%UU>Z`xXF((wvLn*LbR{+~}-?QPIM+5kstY85RY(OYC(Etwdf`v4&71M5|foGAroqF<6 zk$2L-bC|Y>23|yJF%7(e)Djwa6RG=X;4P#cpaE{;57EGWOj}9=A0YJz4ID;lISqV- z)CwB-9H~__@D)<4Y2aI=o}_{Aky=9o_ywR~9S!`3X&Y#OoBbvl_z%-I(;zqdtu*Mz zv~4t)fz);y3?a3H2D6ZQjt2Qqc!8QL?Y*J`n9mDfKK}uBuY!DZyh6=Ym<`b0dBo@2_#oo2ZkF3}!n7N;tTQL(}VG7_C=BFHRVGWKc88-rc zOupbSe8M&EC;%sNVibG?Ug6Av9e!+X_$b(jk=%%5PV0SX!6yZuf{%Iuc-?u~RWx`^ z00=L;QwC!|c-hr57z4t~E_uNi5MFlI3&w!(va4D!285U0*n%-2yzH_Qi~-?2H+F)7 z5kC9GV+LLY496584k&mWD2M|N9tR5IfP}|^f;eE|aiAa$Xm}hbhyxxT2MXeVh{u6~ zIAG#&pdb#Yc-+Rv&P-vPQ9k?Z6p!2Z*hR!VZsTJ&G4Z&KkDYYlaT_1IGnmJ1eC$jU zkK6dzC5b$4<73w(^0YQ{7?0cd*cDP3XOyoVy8wsBZG7y?03NsT zvHNd$+{VXleByB%AG>3T$8CJHC7P5Fw&`e9QL7y7f;k7BWY*c9Z8{gP~7CpR(-RX?Rrlaz@=r!w(>}h=$i8wU~xq zMQRDn>W9>QG;20e574aJka~z_J&e>+n#Fk^p;>QZ+H#upIZ`WV=v({xiNaMhd@@q2 zX}FbrKStq`G&~roH8ea6sdY5`7*ZQ(cqdYuX!tFp;CRJXNNuIz-;vrz!+#^SoraGi zwS!V0QsD8DffTslg^>aWy9iR?@{)tpE0oqi>NQHwK?;0c?xznhUG1TC8B%Xix*RER zdf9>H-lOytr1sOST+BT{v(7;3AkAusxrb@ic}RUov(88AW12MusZVLv^+qAY5n zc8em^JRNhR)U1zG4mF!2l}F8IaLq zE2|=-sF{b{sSw|-WXj0#!#WKfH*8GF^);biS+Z@ zQZ9;>5cB-DgU5{NHEK!;c#J$()FM>Wyr^X)SOgaXM90|5h=WdxS{F67$j?P>z(b@L z{2tQaDN`rGcA>v5_@%%Bi(XR(4;|fY@Pyv%r?uEY=TYNIx=$TDq+}9LvAV*~l8@;- z7_0mqdEB^?N%l{Vmq0YsYy8wnLrXf0Vp0p?#shW;qwlE6 zqd-XOapT5Mv483u3Yu`La?0c>@XNO-6OtmmpvJ+S$Bh9j>|ita^xG)_pHwAY;)lN= zF+5`koMa@;;hH=+nMhjNVJmW;9k%9S8y>dhVLKMWcW5|lZkOvwI@w`o9-hy`ENCZ>&?SHJnT#Q3BHSfuYW@P;siMZINv1+=>vKC zr3vYS65^M|#Ro%uLlWXc6XL@X;w1_3;c;=+?hy&`k#TWW-zajqt>4ic?-(8*8&{6W z8OQU-$E7p=3B3G79!}!rCdZXy{8QrMjBhG0H!UummAfJ#KAo4F!NZw6oW;W{6Utx3 z)34^??1cO|Jbf+?ui@dmxPHDCa9o!Vzdk|Ee9m_RZ}$Qo-pIq7cz81}w=jYK7M^}9 zFLxV{-_FB3cz7od@8aPi9^TEv#hm{h9$&)a_ww*Q9^TKx2YC1(4>5@HHO3&cio&_$Ckc@Nh53_f}jzY`nb9)8FCc-sRzYJbfPz_w(?5o_~OcAMo%X z4-fJ1Fb|J#z7Ki)BOZRt!%ukrr#$?Or+?1FFL?TwJp77>U-SHLc>G%)e#gV3Jp7)A zKk)EaT>Du+|H$S1#PfgV@n3lSS04Vx!{2%MN8I@S6Xg7r5dWK(`zNkGrtg1w`hPq; zF6YCy9(%p=czEdLp^u0DxblGC6Nrn0d`~bTo{^a#EPw`Z- z<(!J^yQeA-Pvha~o@#df89aU_53BR=EFPZC!y3GNO&+hs+`Sy=WocvMm%iH^P70iwedCOVKW{!=V1#Tw&Y4SM=Q182%)=o(9LmFCJS^eia2}4};Yc2i;^E~y9L>WqJRHlzalGE~ zJe1+GypE?|&*SrXzumy&3wU@V4{ze(&Ai+~9^S&kTX}dJ4{zt;9Xz~~hj(!~i+Ff9 z4;S=n|N5t!_7S0!o#gRe42&++exNpo97wg zEou+5!j;5N*d^e#pZ2^$NY|P*NVM@l`PbgNmT zR;lNUezn#DgRh9a+=PkeUt(o=c4l~v7IlP#Ac5tB%qe0k$f+PXK+f`f>G=v$!{jv2 z*Pd_ST?T3+?LFTTQq&pffCpZbOaKLL6}q5~r4Q;y0qQ3wP~hRA3+h)lpyo=N`b~iP z-3inm`k-8CN(S|(0QHv>sK50={p&(go`|HW{{*Px4xrf2TkCe8D^O-UD6c05%IkFk z<(L@}A^IQ@N6+3I(VlCs5!OSD`i~gQ_e*o$Le( zyzw+ac~5ntsXR$jRRyTioIstf4a%KO$)KtUP-i%SI#U~zJ5A+FnyM~9o#h1TY<*BQ z-E`jq2~;fss(gYvj}bn)CQX{uO&s_z5}{KR!fpR4YZ zK{XVh8aaV#tPjdn_sO7|2vFxbfoiG`%9W;8N!`~>fNJgps)ar%SDKPRwG^OQIe|J) zACxOiJuYdgwE)$|2^1W3&^2^dnvy}a6QJ5Vf$E?S%9W-zNSf*>Ky`8g)ma~uD^1Cu z&KID%IDzV_56YFMHcFc6CO~y}0@Xtwlq*fipn3{W7dU}}GdmS(=+8)+>Loz+b^_I> zfPB~8r|pssWRb(KD-*=}a^*CbGL1gN=ApsvveM0<}mVl&jJAjs)s%0cx=msC)E5xzdyjYKZ`KuM?>IDj3v0NmKU=P!BkPdQcyf ztL{4>fqF=Qde{lnQhiXax=#kROn`dC3Dlz%4C_>wmE@s|uM()80@QO(px}BXT~MwxC4+iFfO^ph)UFB!^@pUX-2&80 zPM}_{U{HTbpk5K6UUdTXnm(vETs#hYeG;fQ1*knvp!QZUD8B^iEdlCnCs6NHFsOh8 z>RkcqJtt86Di~By0<~X&dfy4ufeHqdA%XfpfI8>|3hqqPou^zGx*>r&EI=J`0tMH% zRVb)T3Didd)W=SsKB-_(Aqmu{0@PPsh3aQRq;g36XaeJwzJ z;{*!sL90+ulAovdI|1sb6DWP(VXv#vC;NH+AV3{+0`+4BYf5&h{7HcN*$LDy6%0yt zsr*%d`ppT{@A{zrbn}#uBN_T%0@UA5py1ZZ3N>`uQSe^@>OUt?$Mr$E(v;+r=OZyt zK92(^pI0B0tI;R<oj|qI2i3t1DCsMfPk6-ooIGOLZ$#@(30>TP`bZjmU4^E)Icci9HYiu8 zLCD7vs2&1TPbW|pR4^#%E0*s<0jif1sNNL}>QhNmeFUh!PN4efgBs+HYndEZa{r0ff^`4UFrmCkTxizJAoQf!Jwp%kiMY;)G#MdCHkP; zI5ZO(l<Mb?c@>3t;Bb;0JYEw z)GgYeysm6Y26d|db(<5Y+qFUY?sPNyWKeerP>Y;E-K`DE-3EsYYOw%yj}xdR+MrzB zRzm)j4EOLn>_v?dl^^|a20`-6Z^`H}|hyJIa9u}aMI)PfI56YG2K9Mx_hyeAd z6R73-pxiv8dt^|L2~aDXK&{jV<>nzT0n{o1>TxGftMx&->OQa3eNPBbPdb5mN*|P) z8QmkfmH5^OP-~q)ts*Qwg9p2~ed@pf>A+a%Jdp_iYiN zwmN}&S|60FlaMl~Z35IYPN25ygK{Qw>iH78K7S1>5a0mt`-0QII5 zs67=7N^-#Q?G>Qjasu^s1%r|uaNZH1-gN@?UIl}a9dPyuQ2U)gyWv_ZL>(F-L|9|}+(If43E8gqZ>X??=wu$#?&PfJNt!gtu`w|K&m(W%MMFprVCs5h?pj_>`bdW$r1Sr!9RP=ue$`YV*oIvI3gK{Q}<-i=))vXrwUM2 zoj{$Y56ab)FhT-#x&T$p3Dg-C3~H1F>P!Kux)Z3g^g+4WUYsI zH&33^Bv9uFP<5O@)zt@8>;{x{8pK~;fNJ0bs$m6#k`7+@8wpU2oj^6w2j!~!W=P$4 zt^n242~@KR1~pRx)m(sT;RLE>1%sL;fodf{o#zCqbp?aEQUWFX(fv;T=ze{FbazvN zbePBAUTCU=lcqXWu%@KLJpN7sRA(np=T|VO*;4m)5umy{f$CPlpyo)Rx(iS}oIv%g zU{KO;82K*{pe}R*)vJO*T_b6#w*b}02~^(-1~pFt)lY!B$O%+`ZBXuZhhWl)J&nNSx%a|QeRV7rw?kj05!)6)LeZ~^W1={B6Z)j0@QU* zpsv>j<#Tnmy{ZIiz5sQD6Q~8+pj>%bdu32J3Q#vWfx1~6lq-kk1W*eFs9T&s-TFTT zb(;WnyA!B8v_bjra%1Rn_bn2j?sfvTSR0hj&7NmM_uV5vEpY;MuQn+E{cbdMnl$=^ zm$l!?%i6E+W$j<;22?c(l(=`;?{x35zZ~}tyZJKel|hMnhy70X4*T`*9rmwsqp33_ zO+7AbYPFM1J)v(?Pq_hAT>>S1hy6~z!+w3=VgCjQ#MEue;GyJxNo-Bi8Ta5$o6Yi1oka29)IM z;(uFc>K!Ldy{oUOed&YRFF?KT1nR*56x0U-)IlduhyJIa4hv96oIri359;IeyHEIe z`knkd{rY~M{x95sY9@`oFNLPQa?;e-`kHcO=rX8p1gLMFKz*kV>U%euYA$K&2LbAs z6R02cLAjczWKcf|P(M3?`b8hqZ*DZzLeiA*Ui3S8FZ%Vp7yYhApA70Rp{c)}H1&_Z zrv7uIDam`$e>?^%Kpa2?JldcFzO+FF`~pxCRGvPl0ym&qOWk*p09EJ&sz@JHWjCNCKhMC) z0@Nu^psG|bD9O(=AUw?iPM&4~eNVGMH8+}SCw1Q$LQ`itX{x%mrrbTcbd*4yB|x3+ z1geHMs6Z_@n(8Ehsx3gB;{>XXKB#(bKy{Wt6$?=Hoj^6v2i3?8sPiRIjRmMCPN2@! z2i43Cs4fyH;Xf5{@}COm`%eYVa|5cY1WNqEeZc7#?gRS2a35&z22?i*R0q+09i6(b zlYaM|?*>$N36$^(3OIQM1@ygw0zKS->LG#ZDKvF~lcp}z*Hmvepn6K6`Up^coj~=| z2i4yVs0$=e7Yk4WoIqWo4a)E8y|0%9YM=mhsS~I{+Moi1-Ds+}1Zs!?HPi{zFnv(N z-GJ&Nff^w|jdTJvN*~l{H=z1Tpv2va0jIkc1NwI_`dz&tUnGGVFElm5NmCQ`H8t6d zrus{urU+0|oj^^~2Q}RdsEZ{~GX$uaPM~J#gSyHMDCsay;A#PCwiBp1`k=0H1L_h< zQ}YCR1x}!D)CYC58%W=0!|(r0eugSfUEA4L2VYA z+Tx_Ct=gIjY;)6n(n-j`GXm6hCs5DogL0)Q8PpB|YNr#Z=d?k&^9qtdJug7L-~{SL zZBT*TZn|%bH2Pi=pk8(Y^@={I*W7>_FM)bpfO^9T)SKF%+-=0lp!Nt*d!0bNr4MRf z`kE3R904Z}jzBp)I9%-|$eMajXlkF6ruOS=%GEby8Pxj%)Bz_@ALxT}^@c2iIw(LL zasqW&AC#+a$TFxS0@R02pgz(D75K!Bp-+&egii&i&zwMgt_{l7rC44W)E5HOmrkI* z(g)?{7Jvj$Ukgy*IDz_BAC#*%WLZ<+2~bC!Kz*+bD&Xd#HSa{pro>HM0jHa~0{S;~ zxqBRzLH!~$^{bPne$&^KtLG^hl<;*4IQhB+^nG0df4i9yCQIG-kI>Y=PMZ2pTT||y z5@b-q*CpuW>k`!Wb@97;N{~PWy)jJ%eGZxm`t>#C>M2166%e3;PM|XMLAiQLkU<#& zRHhTCkUl6^PYE)pumDA!KxOHJa`luTgA!grK_{=EpuSg7(3Mxv)c7eOD7=D#PF_Jl zeXk&Qprjjcg88ER3Y@y{B<=2V_vj+sfDF$VC=xt7SOd}+ zbvilE&d&%|Bb|VwGb`(bWD^oh;tAFa*5WnPfr3m_pvIP9J+*v_1yFubJ1Fc8)&?QP z!TMZCQ{sjH09B2eTY^p0Qu48cKp6}+0Bjx`TfhSmhU;9l$mVH?Y@rr;UK%1>2ix$5 zm-SCg=$}q%nWthGik4>t+p?B}zA}RC;`^s9^iMlm51mug&|SBN^F<9^;%hh`YUpCu z&_k`33)K}Qcb;8Atn)xDbY8C%p!=z1Cac9Z2wN?^<3WRI1bf>xTomk2d`0b{$OR+- z|AAH4sB&_le@k$%x{eye&k70EX9fp&&b3va8N37r2^(9P!GTblpBwhYcAK(%!2wX~ zCGa=Uu6amsDDU!~Ad(3+YSgS%drRj{v1}6Z;8j~HI2ozIjc5o6FmDV}&d`HJ;nV{nNEbJ_afDGc75gkWRv{wDxZ@*PUM}0RY1Fc@rO5q`hs>rNPbI z`pQIC+7!HBTQtm-vB8QWJ*O&rcdJ(Kda5d!x9KsTrexl($9#J5S>9`9!(wA_rylbe z%9@|oV?I;K{GuLnbtUs|J?68N%rEOPpRHtmRgbxbQroZVG1pYq{H7jrEoIGn^_Xib zYkpgg`5YzlyL!xZl+63|nCmK;-`8WVr)2&>kGWXMd`OSEzLNQf9&-a_KYygh+)&B< zi5_z!CG%%`%#D?8{z8wrN$^WChk5O_F#yZW*H0?fiG+pK(^Sd)tsZkTCG$}|=H^P~ zAM}`8D4BoMV{WNr{#lQ?m6G{aJ?8V2%)jd~w^mx%pL#~pM#=oQ9&=kI^S^q`?Uc;N z^_bhMm@_K0W4+O6Gtbb0;Nph8}Zgm62p*>KV!TO6IU0a~CCZmL79gC38fN zxto$Xs>j@2$(*Cd+(XHnr^nn=$y}hve1U4x&nVP0k_(m0mGqc zN6B1OkGZdMRGqHJ+)r8a8G6hYDVeM5G51$8pRLDyv68u_9`gVtb8S85OO(uY^q2=K znd|8>U#euTug5${X(SExm@iY-+*pr!u#)*)J?0@w=4N`#LzT=e^q7Y!nOo^GmnfNA z>oE^kGPl)Z9-(Azug5%6$=p$od6bg5vmW#1O6D$l%%hde-Sn8pD4BccF^^R;U!cc4 zPB|od=`oL2GWXGAo}h%?Pmg(`lDWSg^CTtn06pf(O6Gxj%u|%igY=lEDz!aWk9nH1 z=AnAbS16fF^q8kBnMdd`&rmXt(qo>fWFD=@JWI(uR*(5gWmk>YW4=mR^F%%7tCh@? z^_XWXnWyS8&rvd8p~pN|sjwM(%-1NHXX!D|Q^LMVkNH|9^K3ol>y)tP>M>uhta+Xu z^L*v2(RF&SMmH#Hp08K)0wwbTJ?0yg%s1&V-=t(-sK

Qen61DQuyV`F1_#Ta?Uq z>M`G{WL~7le4CPau^#j7O6Dbc%y%f6@6%(xQ_1{*9`jvFBY8;ANERuXm+CR!tz>>g zk9o0@dAT0*Jxb;kddy3d%&YX6?^QCd)?>a;$^4`q^ZiOAS)*qp4=9<}=`la3WZt01 z{E(7)lOFTKO6JXa%uAKbTlJWiDVewFF+ZYY-mb^|sM1Jw=o!g!CG&H7%#SIVU(jP- zp=92r$GlR>{E{B?DkbwPdd!b2nP1amUae$)Ly!3hCG#FV<|mcUVQ=X%Kc%es9X;kX zO51)<&$ibpYu>L{^ExH-0X^pRO6G%l%o~){#cK>RLT6Q9`j}; z^XGcZTa?US>M?IsGJmbd{Irt!TP@~{@6>)wTiyFHWgJzD+?IyO@6{r=ry=r(jAJSX zuASNe^dAP z>)Ildd;E7b$~Uz|CinOsYLt7mMJA*CQ;qU%ZIQ_+|5A&5Hw}@0t3~chL*zedk?*G= z@?W*c57H3%pIYRhG(;X(i#+09q(Rhn`H{BBB)c>`YLTC$A=0ZB`B@qweQI5Pp)E3L z^ca34Al4o{jufm>WmE8LZISHAh2)QH1l1zHO+#dcTIA6*L>g+5KcpctQ!VnxG(?8f zB7aUpWLPco*EB>@waDMo5SgVOJ%8$pOnfFXvehF0PD5lwE%M(qM4D=m$I}oQRf^2; zq#@E$i}a--GDj^kkcP-ywaAP#MCK_?JtI?JWYT<@uNE0jLu7$kWL6p?Pg0AFq#?3U zEi#&h$Rf4KoHRsMQj5$>Lu6&O$bvLPo~(Q{$SBknnfz#Qidtl)G(=WWi#$0Ek*BIf zR!Ku-Rkg^fX^1>cJrkX-Ei(C$^>j7LGqgn}_jon6$m(f`JVPz=>@-B4sTNr?4UyH= zB5S81@+`H;I%$YJTP?C)8X{|`Mb=M4WKFfHH`ErHZ0fbtC>v{wOg8n}YLVxrA@Urx z$YyDXtfLm$A`Ovs)goJ^A+nxYWa~6U7OO?JO+#dTwaE5qh-{!1*)a`~4b>t$ry;VD zT4a|rL^f87?3RYeCTfvA(hzyBTI2<3h-|8!+h(iyWJV$WCe_8m}!f*@!x;QBKqrnQTPot3^&uLu41V z$f;?F?5Y-dMH(Wzsk>)}w#ek}>8=(zD-Dr7)D6E%TV!&N_f(6VorcH@)D54jEize` z7phUt(-xWB@LuXoo$ItkCVxrktwuRtTVyiIK5CH*(h%8KE%K%`MD|mQT$qN)i`3n7 ztG3AG?&+@4qQv|8k*G(?V3i`<-s$gygXThkCZPAzg< z8Y0K5MQ%?+;@6Z;RJYP;!i+nB(k(1OSUr0mbWVOg$X^5Pn7Wq;dBB!cFzLJK> zX=;(Lr6KYPwa7Qp5IJ2fa!(o}XQA}V7^(4*;r`Y z!u?YhlT5;{v+lUwSX64<(;b5K#slk(W$TRkjkZDKKwTVIH4^`5z_bp7vdm zG0gTw!p6@@*hwqZ2_EA=trI+?wTd~D=rOlZGJExy+bWs;dd%&V%t1Zoj+q(B)}5JI z)>ZMp=8$k-C+VzY4(l}iZgQbgNTu=#>7{JO$r?fcL2o5<6+PxYO6ID1%zZOszg-e{zjo#s z8q8dQrvv={N+H$DC*)#fFPyC}gmvWrr7mh}2m$6xln82TFvl6wKqZ1Y8bScUrAh?) zzw=_7^B|>=`Wgu0nsJ#@NF#kA4WKzgltNPc;EXhpawJU1P^FNj4yd`N~Xg{1g-8x;~}<|C9s+UO%;LPjcuw9^;DdS_H-d&OGWQ9}qYk5-PA&U(ya zlr?wJV;-w)dp8Z{xG^$L3BQMi5NP{&C4vhynB&IA1f>poX$S!X6P3(;^q40p+n(a5 zgCsMCnkOsU-e05UIPFhS3K^g;gqiPDWitk92m$74N(6&6nB$spg;Ge0-$#-Z87E}A zvKd1)kU%qLD49$2m}e@PN9ZxnQZkRyW4=0seJLAy<}9$o0xzxLRKb zTS(_CbumXn2r%EEoQ1E^V_u+SzE+Rzi7OON>uCG*{S%y%l8@6ltvOUZn%9`hn4^Zk0v zcPp76)MH+(>}UIj%ue`&XyhJc&CB#^UZSk|Q9b5+mCTRnG2f?Twts8w#BIJ`$^5up z%?~JM=jAWZtdEyjscpvL5pjO6FJf zn4eTKzplspl#=;PJ?1q^=Dm8%Yn9Az>oKoWGQX?Gyk5z?Pmg(nlKFi-=8a0`5A>Kf zDVY!HF_$WtkLWRPRx*F2$Gk=P82O0?Gk=U^+Xq{f2tLyg0?bbf%2QAuwQGQZa{!9`nmeX0IOeD@ta+9`mb8=Aa() zYf5HAkNI^ab4ZW*4J9+xV}4V~oUO;aN6BpJG4EAP5+O^E`7M==hjKNTQUeoqOzvL5q3CG#nI%=?whr|L1kuWa*addvru%+>UmKTtBC zsmFX!$$XX`^C2a34L#<=O6FR6%tw@6b&ekMhsv7k>M?($WG>cY{#eP}K#%zoWt$u6 zF@LILZlcHhnG$wWJ?77q%+2+fzfi(%smJ`KlKDJ6=C72@ZSoNbTWWGd?`8Or=rFzW2E156TWBx<+xh|yhi5mMmG#I+< zFQpKjPt|ck{#G_a^ON;IO0(7ZWF7Z}_^%Ry&Zle8!GB6-%}>_HmGE^wS(m~05G4Yg zPuIlb@hF+~K3RLbN@l%J)*hddS?`mz$FEe}3=P}oii7PUPe3VTmc9`9+UyA`g`v&$#MPzsq_J|UUPAw5rD2>1ecLP{jp=?h_s4J++qzJ?HBrponTfgW>~lKCb* z=4>VGg?h{pCG)L%%%+n0c0J~(lKDOFq^G| zcZBXw^0X`sJ*dS@T7(`_FfY|$CRT81a2aPVfipB-IIweSjs9Jqudy-s@Jl38V;d>% zUt@D<`KI8*HD6dkf;C@&le-=$SwJd7Szl2H_&1CA{vib!#M>?-v?A4)P94ojg zWtA(j%37?-m79Vq|Ieyio3hF^SY;hn<*H4=HUBqNnuVdqL#z4eh1GCW$p>m0yFRoI z4nNgcA1bX}8roVKdIngn_LYVX z)T|s|I!flIE`GQ)^s(IgJ~FPT6YE<95_$qoLb3mi4L!+EM~w|V1*b6abX1lP3Yd^( zLZS(6`6ToyZ_Bm3EghWNGTxk(8XUHzH1v%EH`FVrXvE$vpTH1zA1(C@W;+e3erhW=eaGP~C<4SRO%G&b%G`^+kV zGQCF09J5VI=;2_E(y&nppsV=$JMhUhoS(8}Xdl!Nsw5X5o4WX6x#W!ImNxYl`kBJR1%-a0@o>{XVE}c049@aN5~F0HgUGcoB?U+> zDG2@Gnd-UTvmo>XpLE(0|8bawd@${JGD1HR_~(cA8KFOqdq$H$EHRdGoMgy}-VEay z6fWurg8;uQ&9QB-CBzCyFnm(D5Qd7MR1O!BmQce2VuUL}TEH`zR1H^#G&tMnc_DN( zSc8otpxE%iU)IRh;YESFWzHFd3WuIbV$=jvPK zTz#vos%Mo@`TrYMIajjE(}Y!?F08Veu*x&^t@6xZeLkT#gaPIO3l77o*1S1*sW1t*erR`_fsws5V|aGgYKHzi2BJP}*n@<>~FB5C!? zBkk4`Nh>aowA)W4t$ul=-FYHu4a(D}ixQ+ISxCe3NLzd&X^qO$(j^Jfk}RZgd9Xd0 zAT6n-P0E99X@ayQZ0D8-+hYmRlCU)`54M#F(vq+>D-X8S3DT0VH7}2}Cr>1;MR}xc zIFYoL<&n1eMABM?*>iS#m~)T96$$K(Yb!jFwc!tE@y|xjn4MEU8g&4sPST^|GY(5_ zNP1L!#_X0_a2FZEh}0!}B+kaq*lmYX+pJNsXCt6eJR9{4w&9xS2G3`Vsc?O`Uk#|= zzunl_-&eEO&T#+I@W9e=(mr&2O@-kL_?tpu_(JwN!>>tzWD^qL1tvULsq>)PM?!ND^UL2W) z;TfXuX2$p34CuR=cHhks<7FK592=QU%WiG~#a<29wbZEebohq&t53o=ZVfN=ke%UM zpAO#x6F~TW*3TOgCy96{O@#`YhOcBQ;6s=*9@8|V)v3&A zO=>fWhoWIdYs<5SzhJA^;f-gVFv_oSZu6{$QL(WS&TU~|++JOjyl4(gJwr^5WcN=C_Tsf%`yikd@HmyFw*WDOXcx+I%t<>!zWqvY|F<>h%6 zir$^FXjldjC3mGRD$U6tbxrD`NptdxWrNAHIyNDBR)^Q)V1hJhYm`k*>&0N&?tU;~ zy|KactQbr?;s?{SFqn4OgK4McVA_>xFujs`FvUaB7)-A!&HoLx`R_WB`N#NDn*T0s z^WUX!{=2lzf7gl3Uw$M647%nIMRm;|it3s_6fKYW?@D3*ucbEs*M#}M>E8UY-kACC z5$3-)-u(A~`R}#O|1C}Pmu`@YeD@WS@*ayALV-x2ux zNP1e>W41^+70Gkq93qTtpkONO)g2dF67V-`X&{^2S78MLGX z{=P_R(O&Hq?LAS81xi_|<-&IWjeG zP%b$jb;+%XPxJfA6iAlE6bMv`SN@;Gd@-09_KQXB8a3tGQ-3=QC!MQ_uf2BoXFiA2 z4*wEAhx`n4$S?LB^1Fk=0}6%jH=CzcMWVtBOf$YA`_1mDc_oGKce3Urh3_w)!v8pt z!uLC^Zb=G{uf2BoPoeO?;uZcUDEu#5;eR_Q96l2!z5Bmk9)(9tBVOU}Yb*Tyaw+`% z@+thE6Dj2k)-hW+G~gZ6$<|^Ug7_O!vC`seq1R0JwBx@6;ld)-bqsUf$}Ik z!xyjb1KRpNP%eERh|~8{F{3O^F{4m&B7Glldc9B5cYN)&sVAmy>W$MkB%6=`yA#wW z^qn+?4^>U!2h*6s4{A^02hH+K;RoXse&Q*d`cI_rgAVQ=$y0cI?X_t@C_EUi@Bk=0 zXe&I!LE)+?{7`ueJYfnyq;27c%4Ok)%BOJSL<&Dtt|>ge_S!U4C_EIe@Jvv6$X0mR zLE)+?{BU^`o-lio8aw+_9`4mo1r0~P#n!@92uT8Ur!n5NQo&^fewiO-`3QwBC zhpMLVBjr)JJcS?8*7uQe>HA2WzBQ(B^F;bSQm!dHzV_NQD)eo|>pKeiwrqXp#Psc1 zMY8R;xv}f%Ni|Ao5&Xq(dGrt$`#T9%|R6>7Fj-C)U7sMB<>oP?<3H)@ATh&uQrJ5E9{>E4>MIaHb- zsChx^k|&sjpqf>wnG>pUm`~+t$aV+y&^Vh~B5Z0&Dx0d~-lpPe0-LHUY^q+oP1OaP zs%P6&G3Bm%o-CMt*k|=S*V87@i%rWs_#oDt`9628$y{-1%bLOyHxt~UneQ__5B?uN zJ|pJz)T(SNmvnF{%`T-K>`C22ipbfdmT=cShj@>ZTEq)}9%W9s0TB;m(1sbtM*IJ= zW3DG+_XPW7UW){2M{sxal0s6Mb^>=t_R0KC?46iPB7B~K_p;7HOW9mYccGTLq|{P3 z4K0~bGEY-Yra3HS$#4az$&}R8U8t!CSJPc8HT4u~nhI(PfHpVUY7(Y%VX{(;V>@Z@ zWG#YIJ+rmGwS}#PZC`MuwMEU@DV0MdYl}LqNJ5QX*i0-SMPO~`!l>yYtgb(E5-$=~ z#(cz&Lo;Jm=w((1F5?7#KZYA-nQ>-FX|{pV-YNr)+L~_wa*Mz~``Mb$00X_q)_fOY z(EgC-Cr!vH^kPV3V|JseHwK8_NHE%g$?E1qdr)%!Bn@o~jx}g%+j4r76xwz*wvVY) zXGunTnK0VHPDVRKsA(EE+D)?24og;wv(XOMGTNLdnXjqG9H*@sp~wGUtu{xp+7ZHP zM+&PQC9L*xZnc|KRy+Dc-7&Vj-7#LPJ972ABlrLP?#Pw8V}j_8iK06uiSC%})E!eq zcg*0UV~adGrX_a=oxYP!FQv1Rrx`x0U!~O}c~Nq`<^aia@^MrQkmjTd>0a(>y|y%k zlWDTqWZ1!&{ z_sF#=dt|=cBV`X)vE>dAV4lGqu1>hjl^u5S|FAA$zJS6}%+Y1DJ3(_23~g$Zq6%DxsSAH?*WY2!iPjBeOEP*}HAZ$HZfx|JN= zloO$=ZeEmfs<6+y0=h?~y|n7)_|zPVBd?z7agCTF8ZjkRBbJLsY=DUqygJD26E))O zDA}7D6Y4m{iBS*mewLCq4Bw6tkMMfVj%&|s(Vp3<+Vfa>46x3L3~)txq}4l-w3X$N zR{uoOR?)}#;OGnI2-wiB#SRgO(cIi=%Oq(xsd-#=wdm@&u?P|NZux}!cYT!2eV!;g zd(Hk=NZFyfnC~+rn~=b2T62PiwJ=XhZ9+*6YaumkZG6Ml+SRRd-!PONYuI|xunqAI z1FuQC!LDiJ2^%)bb;CBrH*Aw#UFrW-!#0bCZHaH#W@y+JyQZz8Vg0x%)|O1MMU;Hv zvSqdD)A22P+OBWg|5eML5iQ#u-?C?*W!vqVo-K##lc#;0si}^P(j8)FG>@+sAH#(I zoHXH&wijWCk0)@xpYBRvN$sgD?{tqgd#3J(cyD6QXs5mT_`_0AvK$9@Jfm$L;gI=Q zOy?h`n$CB-@9F=KyXOFtqF8!n_u}sD?(FT|K?9PJBvEn_k)TA$L81bJpn#|(113a4 zkZdF)IUUCWM-UYw=73pIQ4o<;6a^E1bx*3Eo$lS^`QER-&wDe>c2`$dRaaM6S6Abf zNY)D$r(eXJegQcBBIEQ+7H{e)S!{zt(Y@C3^d7B=cbj;6kCrf#?r>xs6l`dX)&>e0 z#hlRMisDEz-UmHeyGY!Gn{#-y%{o;vSqE=|y{T8upLM7um?mDeSoa!c-K)U5*BI+w zFUq{Crv$N2Im8*AZ&=FAeO?`_RT0uHewSt}(g_!3y@_?%n+*B4&Yw&=Y;*c~+al9D zm`ra2nciV7y_=w8<7K-sar5eUu49LA#}2XP-aG$}>9Ea?y>E5w1KhFqp<^GgmOivP z=05$5m#xs_S%!O7BkLpFvyWJNAOBT7`^4(mr?_XIK+is9Eq#`_XRj7P82O$Z#yvaC z+WY*k>e&}o&%VSx`vQ9QC2Q$O)>nr0>R6Z_WeZc5_P{b_Z_ye;FVVu(nIT`j1xcgp z!t|Kc-gmIhq1N)Vun^WYJZ&;1%9;d~d>k9m6SO8m`mzmNsBnU>AUXELZD~%Bg{uW! zs4zimkbnvk5EYCpE&K-2cNP^K&WxDd9`xuabGgJ3c_ISu>dg4w0`FkzDI2`S)JX}u zGYPfl%G4i4?VWaMZ*oE*nw+S;pGEDRacOUg)+hnHrX*_btjK%w8*7g_KP-CQ>g*p1 zGSR&^wzc>HO%XNgA~_}dV8SMfL}03T430e^b}@Bxp-9Ul8Yip?Dh`#9mg zBN6yi5%~0Ez)wvCK0^fFmkfA4V~*I*81Rc|A4rDwcO}9;C<3n~1OA>w;KLSpUaif(`BL5u%a+izXeLTB-x^A$N-5_?nvCPl@rc&JQDY7dBT!hq5vSub>fl` zT4kqB3zQZosREqKig3PM2;YgboLW>L~RFYY%8R-P+&7=YOMn`&L3YNCMX|J!2)^*I`>b=alt)4mfi^ zh|3n|ti^UPN`o)Qt4>!gfxlJi{c1IJy4pj%-;<$E_Y6|?j8-&r+#V$@P!2Xzyvkao zRG>U;rg+pFl#D!Z*kq=egcoHNu9C<0DVB}>$vdwa)KU$Q^ zPo41}jJz31kGvVlj=aj^kyq7bGal44lOB09lOB09k{@~1r6W(EEoMqm#_%I=raXWc zxvXbOM;_A_X#_S2PVWrVAZaM%CY_|)i5A7$Vx~4$I0~IO4-=N;HQY?e`AuG1%t%^W z%qXI^@H(}HC+I2TDQ{_wl}g(GLTgZ6la7;6;;^E)A0#?w2I!m_pmSz|&Y1x^XQpS5 zI>Q@LXR>*_8>j`Ub81>Trsx55N5msM^75a+(&9hlhyDh zV0H8JcY2_f6cT7*Iz0lHAg2d>*5Cu|Yz11HV&Lhoi-D&K5(9W`g~>Bl;*Sfo;Y-qu zd-pv9KhBh*&Xu^XdGVT?K)eF2xIKuu5{EVK6Q3(nn4E`Xt|Us@k=vJ-@VS!IsJw*F zl|+ftCR`%w|*SnlQ*KtcEaHYj{nsdIdg0VdK3kkGdF1*w4OzQwjvR3y@~>DRU&A; z%>+g1HNnU2SCmwmXUjGNxCH3xYKb0Z{)&G3FyqcBt(K^HT_qcdykMC2$AZ2_%Ml7X zZOyOQ6*+5VjaExqG0-$Vb(p$FvLfU)^ct#tkKGdOM)vSFpw@#^w813V7Jgw z2*>76e67`ri9yoY=W4d*p=splnrA3UMQa|q5@HGcW&>6)N%FQ-MwfN)pLX2I|zNYe`D&;UpbVJA-7nQ+u5j zmi7=lT$v=3K^#Fc5kw#Hi6B;xLKOMJ)@dz;^>~`C)9MLJGOLI3-GwXblAZzANxTC* zSJp}bHu?4QYKc|Hu-4a8d>%q|og`_$A179YlHxEL9+P!4oMERtMZHnIQ@sbgch9Tu zsUP}c-g@3P-pk=R&AZ6^sI@NtM#(s*-owJRRq)H{*E!Hz8|b+l{Y#4B$updJos=}| zCtQL5nrc_l>#4((46Yuo8~=-=(JK^RTxATv{Z|eMb11@M9I}S3R&G$&s3X<2UPWEw zt){L^S*Wf}*{`lnIj*i_Q`}g^4^+tru%j62IHh!e9mP;Tgm0r@F`uR$fW`c1Sj?w- zFNbf}!?z4?UHEnbd`tH}3g5=SH=p+&_%;^4WzxCOG?-Fv4)oEpyrtgE@~`5=v+$FV zgEcVj{LLr5A4UK};6}@Q8jsDV8^L@U&&;QrOfy%x5xl502-h|7Y+#Zg$zk9&w=8dZ zlD5^#MFv@QbWo-@k==NEQLK^;iL8=4Oshm~1bm(f0`P4V1F)B&O=0lb=t%5K; zkS6t72;x9)b=Qe&lZ1gVHCmT|fMB{#BAYbHGL4%=Hp!wom{-mcVcs0yq)igf$@n@V zb(74q&p8z~NyZb6Ucu>h)lIS}slZ%2V};JQO>EpV(N(WCMOVExFh>_%_1bvRonwja zyz>`byLlf2gGer5JP2~Vs~D9enY)?5XS+;N0 zdIF564yyT{(`rG=5OrJ140UVDcD2A-A$NgQ?p|2s zrl`$eg}e_|xn6Y@e0z$mkY~fncRyPpN8sDjbcO8s1HL`OR> za@d@%Qw|4=YXDLX$4}RApbb9KN%Xn%pRVK&%<;LCv>2t7p>`9 zzCwOgG+n>xHeKs0_ZwlzSR9>AP;G+;&;m5Ugnf@443+Db_&T zf@8ZT-frhd?{?YUFmZO=c4WsrZ3>3+bl`2nj(a*@FyN?(AsFwUzhLO?nu0-3eCT%E zhgdM++(+O;CKw-Cf+1g;9u^745jVlmmpB-eB!uECQz+Dj;B+5dnsx*By4v?q**QFi zqk}W6qMA4^$zhN2hFnyVdx)Q!qLLsfUZA2f(dKsYqmpThcc4VW%1p83$4P0_mQuBw zDWz(+z}Lnqv|GHCer-wV(esy5yLGTbDDW#68?#4pkugnN8TdU7=NMP_ zNX8YM;iMT?^}Q zaxEQKAu6fBcUPR!GtTq1Cqb4@I6t5&Hz>C$Q!Tp`vJswBrrKfGx><_iu+!4Ba@j6S zf$@T`-B$N7iH7`Z03$7^f+glvu2)J0Jz$B!kW>$uQVwtKAw5AW2fOE8Jy2R*{bRd}N9>81xDf@8HaM14_ zG)&83;n^$M-i{NVy@^x(dX-j6SEUCC&neZbrop18gj!v#X$jL78lKyuXu_0ST)&GH zo>R&cAxJmfDaMctkdx%SOp<9xZV!;;EGEg9f+Pp1B&%skMi8hD2Nb?n$^}F4Ek&&f z-!%A^t`>)HVfY3ix#}WI;unb|KHJo`YCW*8K-(%?-6VdWWEP^@Hkd-LQXxCCrI3SQ1T?@L7WouzU-aGFhiQZzR5$>&CjLw9AD0 zow2SooZlOOhw4)jH)9-co{|{P@f#fY#?HAGG3SbiS?2tSiCZGUvKBGRVPcjAVwPjX zEN>E1y~Y>^huw&|Uu!KVW(~^|R`~?5BEHa1l zCO%!1QU0_nJ-aSTmnfZ;u9hs-rYg9rM3x{6u4&7$a2k}~?`ca5cLi~(!^Eith*Mo% zoYYpP;(#}S7QXoW6^GussfFuVilaVO9Q8n)>N9a_U@4CG!DOW3)WuDlo=GH5jr3Y< zYs8pGn%lV!G67dR7vs$)?^*kp92amD{}4^sFY1 zLZy`DLUEqe#tSD48Z~qNR+A&WseZ>XRsYm#YGqkXmnCmCwLbsxs<&>A*EZI8ZHvci z8yK%`*?4Vdv96&p(LfWi%7cr>#eqbkj_rm6+9)CS{!*J) zzp&TjUPp_2oeb_7`Nd&nP#_u(ozI_p__|;c>|$|`o{liLEa0;igmV!vd3UwAw*@9| zSmP)M-MIIhb%q?!jx|Kk&SqMH*AT)RwL(uY>_Ukcmih(3pdmh};EhPnvH%RT&LyPnvI? zE+Y?`S`^*{lk`xFnbM*7d;(8ja5&=R2oWc4#J;(z{9Mf$uoGf_oK zBXzwBU4GI?UFuX$VknL}|DmY2ZYt8z)=<1255>_i6t8DP@doqi;`A|K_+&va@FZCK zjH4TGY)D$}fbsDxfkPX9&O3XM<@Isjx)0t2+PN|Oac5r+N=}Zj(PX7AIf(D>4bt5~ zb_jT4;D)z{f9JsGCF@-Rz3#AfLb%+hn% zEd2slLvxumv=ywOdCVG$!MFMFEnWElzAb=nX=)qzwh+E$sP_y_^b1wD_foNBHAgHDLT>N+vbMae} z9OrZ{_GiPe=Z=%UmGoTvmQ#Zcj;T3g!COsD1FSj46cVJHsT5Lki_dm zqmF}{;cqKn<5r=*<>!+_vK0U|VLAisZ9MD_LfCOWutQ0YpF_NqLdz^$479%8IIaT^ z_}7kTurM0j7Y#mxk$O+E>Y;&7K{z`Rd_E~aBZR%qj3 z5(g3O6F@WqiouV$juGioGLTig6)>A4yXF@VO8^k{lO9g7;AzT@UGW z4Q{2wIdv#!gnld`6mL_0EZMLO%(dA1u~v$gXVY%y<=I@Ly`S6~?GvdP4*lY|^ohjf z%6Q7D`%k2KjI54*!duGF=7$Ddxq+HRve@GDW0Hjyp8*nZUwnQlQKa$W_o;*jtn{Z? zSU=UeC8Mc6jT0Dq1*P|qjm6+$$8zK|$#D@Q4$it~C>7)NS%Twp(9$Iux7hwna@vJ1 zm0WPUUD$PAtUr@1+v29z&yrqOea1`6RoFlv9zse&!jzPt*@?AvXV9qJwGO~zQRkTD~b?ngzBkX6xUUhbcu!QU+^ES zuMiyN4J9C2r{T4lw2%#t*}c{1N=ZGWUaP+6c|m>O6H`C%?o&VX9#cO`ZK-~o`jq-f z+QaIn>EqPTm|Z*z%v5R@e{R{uUr@W)TMBI8FR5MZO#{352(^p7kajir6}5}Kkajir zHMNUVo`-Ko;ag_P7Wj4yzGbAIfN$TxH-G9O_;wt=`O=<)Z{NbVK-y;bb^^YEqcr0M z)27aNL1a^ZXWG=^#Y#4<6oP1CH}7i9)uyH?i5=7M7ZUXf(=am|e=rmF2Y z?q9NL)7@=NHM@faUrO>K@s`k+vYC^!guYDRYW8c;;j?xx4V%^X+oC}k4P+Fo|#7y zOw$f#9+CLhd1fAw1?F?iJR+N8gVlgG3gTuol)_U=e2j$&W057{NLX1dW)+u09g036 zEJ|B0+BSyoX0fMXyZm7Qa!LBZg031!); z$1=)~O0*l_wWAVU!Os$3CvYDiJrN4(IB}c2$FvecIbfrQU(_CxoHgc0|1rr@HKbul zKPJo64MQksOjZuO#h2+Y=2N#9(*G6{j!7nUo(adKOIX~4qq0ez+XwrajStbxiD7=F zjTAG+c42(QG9Dn0jJ|RO31kD$>h?E9^4x^7lk?mhPug=6%1O?1b6hfSA-DB$r=D?H zxbuF}ZzY3|3Jf@z3};9vPv{KcMDSZlv<-4`e9JGcKrCIC;)ahQR6IE!!-+&o@lUBD zN<#UakX;JQ&2=ZFK22y2nslhj?<7N;R~O$&rWkWPyDHW1WMMKK{@+Q|Jg-zwgq7Kn z!3SOaQJ=z$g##Y9C$+NTs?;DzW)eIpQ9ZnpIw=W_DlVn>CMz*8H?1T8BeQUXMC%Em03gmCbOq{+*eWk*7v%4!e0;m zR;%A-U8|nRnya1+G*iC|3|CJC)~GSO86P6xYGK=)p%UO~@nk}1VyGm1OU;DP#84^t zmXi4ne7gX?rDyJgZ>8Z|n(q$yRtCOh`bNUHvhXd#_d9$m2jBd@ui;yH_y#Vf&_L65 z6B;OR-GnNbuA9{E;AMi%_>>AD)bW9Fp-Qf<8$K}Zlw|8d-;8%#VxN*6I$}#~yo|(3 z`;_D~SEw>yQkEhE)H}C|Kc(Fwo(x{OhtHa7TzY>@5jfghzFENhniv1o>S)#MEK)5#m|)sr*aPbX)%pXLP-#hZ9XdvEi?sd(=` z@9W;T%=t!{TZDKMZ}0!fe8cp-9wc>2eMJ2cZZZ4Gb5#A&+g&}4!%spNfgaWMat+X< z9`97pt@Ng$RPS}5Tj@8@*{L-Ma_A(VK=K{3NA2 zn+8}VoRu7;<_G#&Su!0iZsV-vXgKxH*Pl932@MqaJs2ssmR}XiU9X7rJgPjt`z+?0ITF5?B)pM zEzw2MrR1MZm{w?rSKlnMV4jOB$%TfP<$y~B<`Apxtnt71ckK&g!4aMD?7q z+@mm>>c%Sbm98QTFS9Vb4y;3pVFS1|8<^Fm9)%{(u+X%qmckU1LA9($VHxR2 z9_&d$Xf&|UXdptPt%U|8u48D_(b2fvkQswe76z#-bX|r)D#D}T z;4uK^v1oG#tI{M#okgrlLl`E(s*b)B#)aw|3}KqcgelY+?`V0_WnK!pwQ39%K}XUh zNBxYBIB!<=q@#{Zv`9TsMCvZ0j&$WZk|9g!owy?z5*M1NBN;{ONHDr&rMpA@`ohGd zUy>B+pC1|mMo2U?EE*cMBXk3uvZ|FV3{6m!XlM%P;r{ercbNZbO#gMa@vq=E`mg)U zfBi}S1qt!>dY$RNAPc_!uLAn7dF)@k^Fxoo@lG9q{Lo@*gy?7LLrddrz^s=0vs%*1 zt7+wRpnfft=hd?DR0X)QD;5lp=4sH-23Nbrf(we;0XK!+Z(~h?0GDMnG~pUSo}ql# z6^8{k8V5JkIj!rfSre}5*Wc;t*SFyAiG!OK2bUR}CS22Hzt7cWZ^3;w4sN=W4*C|C z3D=0q3q9u=m1n_yDGqK1%U+D=o3)HhDY_^$2@<#+`t;7wK@vpKejyTK(S8=(*W=)3 zI_aQqC7SqZL}PH@BpQMnCSe}#yK!)RJic3DLSCS(R95?9$~Vfd${%o!=Tfzs+7q6S zsO!{?@chB!@ub4Jo+~{4JOkjl%#-ig4$re*pEm&YSgHiLs#QCutWI>!C-fLQ=hG!w z)7L5=cmJ#zOBVM7i`TO1oo5`HRbP0F$829%fX71`VrIgU7UJQBWN~dcwk=^n9&R`h zTnsy@!Zv(ndbd@=uoQVoDXqQ?iF@BvnyBw8m#d#Ez0@C+q3SQnjp}d8o$&j;9Sqmz_rG{Kwu z`Sj4&))YO>u>QK~O9?YL-ZtylO2=WJ2Exj{iPjQvc3l8XzEy65dM9bhi4q_uG2o3CurC$*9(Y67LxW7-z?*^2(1ZW0@InuoKDLy}cf z5+;5~mJ?ZIDrxp)~zP zqf_ss!9vYvvQYCUJvz0l^B}NF*%ToUmw;G+9XqizYkZ zFA^3_AS{|wSTxp?h&6}>!jdZy7T9W~OAKC>1J)1yw}nOTq$w;VEMX~`ys(rye__ES zCfWs-u$0EaasiOHG$U~tk+78Kge5H5I7>oU!m?a>B4G&&gr%%gSRiF3XqF0zgvDiV z*<9CXRo#RIu%7kb78bperm$4Agr$1&!gA613kxPO(P(x^`ah!8#KJ;zMAl>^u4R#! z_fBeclk!exYZHXz6ixftNC=R3QmgG0g4ztji!BV@r+uxyL}N5Ih2Srl_I1on&Ni_) z+cfEEUu$Od<}~Ecr$)?}YEi8P{I#S6*AFVV`GF&n19LP)LThdNf3&voBw<;8qS_Ud z5S^6%U~`&zgjo{HK@!t8HC?Hdo>4$kXJxhIa%OoVjF*%mgOoI_J)=lRrIgmepvV}@ z5E>&egtU$pMH~{?B`FEak)(JONnnmZ0y{Y+uoIKOD=iEK64))C+?E>Z^_NPZj=3p; zy)6msle`4>wR&^VSWLCiB{0b1`E&_?hU&YDQRq-9ga#ca8tJLS^s{Z-ORjwsh z^+B%svwoHbxf)>fvyCNJZA5Z4(3}RSaD16JTwOW zu&DWmHpn>!2C*@4wIwwIyKQK^Xjo%lggFMdK82OV!nxxwGV=9Kn!`m;H3v&@%}h0y zcnPkZf2uhTCNa^hRC5|mH3y$H_&}|l8!Qq(Y;08yHNE?6P;$5Oc#2CxIJom`P_mtn z&T;n&dx|5u7;4GIP|+wGV_7Og45VvRtB%=RToYfXq1^?E#fd{j5LrYXry+ACMfXDk|}WP)_aIUkj>DWN33Ax*nm zyT^#65sV|SV1vI0?S^7q8C-e zT`wa$LEF~Zcp299EFF$^Kji{T$(O3aKWK9gB- z(4nE5#B9cKrBHH^xa6Lw?{l6^UeAR{BCWf=6wzH@+ANDq7a3$alaNf66Ojp4h5TZv zvLthyi;Y!TcF_k%$jY*tyl_$hPi09+qaOkx;s{w85%P>RMV=8Ux!D#WY5Xr^U zA*)ENLmJHNkgqBd&CknM71<3d99gQ!(p-SqX&xBo#z_@P%weDzEN6|Q<&H|?JcIna zRYjXDmU(<=!c#?h^`Jgr!Bep->T??^RcsEcb4(7yn_wDy$l~y`28U-Sl`3pE)RWe$H9Ih(4rIF`wRf+S2JA4Y*OU}K+u>Al=$q&>S z{_&Zy~-#He4#~A!=nvlQMipJk+N%OZ_()_KKB!8)!$tC53r36EijBxqAkS6=mKbEA!})oX^g4^4FCRN&LH9P zr+OMlathE1B5Nh*lWZUn1zvqLkghAyPQfGbZae1D#nwy%nb8`g8w!h*CzZ99=I5g=loelFUDXMaP9XU^whk7nWIu%1Tj zgo!A*2-s-Lv@&U!kBua!@achf>tKCcy|!YyIa+8WEXkd`0_0^!EMe9%GyTHU&iA3e zqy?ylll#Wi6+1DU*om{*^DFB{vNbqo%QTW$)%=X!NHXa-Oj&yN$LndRwDL5v6|kqD zses{4VC*b6#Z`R*CPR8c>jD>XM+Ea!$x?0=qe!zD*8saM;v!WpiK z*9cC)EDRt;u+1>OWCU6Tcu?UiT)WqN}Li8@YdutQ>js%&eG ztuL&twVT;lYP7W$cSP-G*1B$v)X-Zu%n^E-0eDW<3fj}wyR>&p!uD=S)ZT`yuk`+T zI)hP?4y3r0_0PAgV|5zJuWhPsMe=7tNUe1*4;|2*Yp^0)YFI`5>_~`Nh+70sNZRu~ zA)$rRB$cGHrt?WEYMUM#5wzV`C^G!=WP)TkxNfITV9C7^&tW^&3!*etD1vi zSfxajU6z8lpPpndp?JXFwBy!Ot$)K+B6C4EoKs3EDMk^y7z8<^ZxF>kSGSnXDdUwi z^b4-x{BGAmG078{s9X**05QqhHqbvFcrT?Dfc{aH=1PXP9loU~)s)g&6uxl@Ap`}-^+Rr=*A6JMfELDTMo|FHj4M5it5NQ1)BQ{w>A& zEp@r#OM#zPD_Xwx6Cigos{PE0|6&(UtCp|*uBAt{bDb`FIt(Xpw2StKRT%x@6xg9? zk^(OobV$p!Q%sD$6)>=pa{HIhMprF?Pj+xR^~-!huykR0}VE0RNh z&n3CW?|Jksqe-#+aDWtxhQp62rFMrSeMt&QCq5D&8i|m+9pSu=tCfnYmExRhcZ`HP>9BoAw`USp*lBT~ zC$VIgCJYPoB$j5={0yKw+n`J8pncJ3xSNhLMc#rOH)(2~<)*3*95FXt%-OJV zH`fHPc8pDp>dE4H4+q4?^ByOjV{JTZ6b;XNxh4S5aW3*4YR_ z&{}XN{VNP_5+Jl7fpF*uSxJ=;LJK*aW^-vFhbTzOW*ebafDE_=f`1YVJ;riknTiWw6&kR>3cP}YB|zqJ=Nz%yMy7)fz+tMv9*>zf3$geK zj+HixpK!|D9-F+KfV5}nUtxH^fV_*{WDZe>8vt=eSXf!Y>1v?Q(>8s&#C4BT^GmhL zh)s5nmTDEycM#fV>>hOm;-t~P!tnEg9xdYtVyHK{z$|z2=mncc-IHPR3a6C3XanfU z0no>=b*o*ttqV<8as&XHzGTz1cN|T{T49x7M6Pm<$d_#bL$;Gp7X2#>za}8?lWrpt z$uP&{)f_i$Ca-2mBF%9HOn%+Q;VNh!mM)=73&U>#NBwp(z@jEt& z2e^{h#a3F!aoz6QI&Mrr-%Q5LY|$51HghxwXoqcR!-@=T z3(M?nN+Ce|+=g~-k)dsM;`W6NZKSJEfsnhX`g{&6TdwlCl>#vGOC3I4VT}S%kfJcu zp)h<@z{qVl1&}FMMNstx&M|Y$?%wr9Cf0T*vA(gPjd3Mbsx?nrD}^XG(rijaohyao zHl<*tqSd8;g<(h=;c9d2a9b%9fl@m;#S3Dkd+eC&Xnc_=wTojrK>OaN)XhbPR>+YI zp#5M&n?Uyhnv^1?m@DH)QVO{;E+7|}t_*PITF!{{DDy;|1xlKpi2eQtcKIJvmHqzN zh2cLGWtabPmI~0~+8(Ts=+)}bXlYWKHF}PeMvaysWyFnU!;YqFquG$DIZjz&QO zUUhaOJAfcYvV%Hhs6%D4PG?8Avm;#j9J~Cjt`f`{Qx-X%5g6~!aEh~IBs*7!Plx;M z0E#Y7qJ`N6aBy<~JnJytqyAQL{Vk2~$IgQT-1xZ{8oDN~ZUsTz=Nxqzq0!($Q`5-Ho>Ra1g}UcvPNo_-}2a8JZgOVd9Ji!kZiQor|MDT->v!;|iK=2F6g^U)p$c2a&l}TlHf*+LR4Dkme z*|lwgPv?dRH8pLQrOR-AmD5o@BiU&4;(<7o(HPE%W;fScKnn(uLHicBPO)eAw={l- zBD;l-KUIw2V)6e#{|d8P2^8boS{8_(Y4pXf9pnn?9S$kz@nr__z#%Y;F{cWt!kE*B zR6)$CN~)TI3iGGGw@n&5LIWcPPg8H}rd;)<^_%voh5sILnCYA{;Y}7?4^2eKF!FD^hEY@2;-(gXPndlXthag z25lv&jiA*bbr7`R03BMIE3{MutuCp{pgl?IB4`(ri!ro5Iy5ch2F~C|_S*d!N23|X zBiV+ZmTv9N)hPo5RL@+^^$bX*2NMGWHrQ-soU2FbF|;<3dI+uhq`uBMy3v?|b^yc5 z5y>evKMuKsk~M@AX7<)dcD}8G<}>sGy#j7mGpu|ixx^}~TqJwD4P&7N18-S|m9GRz+A+yCss1{I*MV2^4C$7NiA3@+Hy&A$bY8gdwSGxFFRc zOwwACmaOza(h`-vlw4|ei67kGO75tJ!L92SK6Y98R&tb>#@;%#YAe!;;q)qLg>brz zT!wo=et=ttos=9jd(P4qrVopg7n><_XFpE^Xczp~l9&SrBD(t|Z}l=MK2^dvp)Mi`rW zkzTCaH>4LT*PHaV%Hg13<&@-ZU@kBhN>-Cdr&H=r$&4g@G2DlMnuaySx1Jf~y{HhHt|lr~;W z34A?0&`ffI9G^gelI_JXVOX5Bg)=t?GZ|t80OjC?7~L~$ zH&`%<(bAXjrRmei?dFr((m@Th!z>zuTE%x*wA(s8QO17Xn)$!3Uz2%#oYw?4;J4c;58Mx z;w(`gXxaXxKh?4kh4e>Sb^rl)5@>LCRuzhug+Fej{GqiGvXR}Di8=WP^SHQU!wN?t zs@<_YtYfsnNW^1*-lz8$juq3%VIUdE&`u!(5!!>uAT;p=`v4NK*!{k*m(>|e2D3V8 zWH72TgbcxT2AI~ae{dv{Za2T*CO0&HHMyFB$|P4KP}h)aFx8;kP%@O2^OK>d+%Pgs zFINE9#4yx`li{pffDA|Ft|iynkjb(j0`YR}91CUJ0iA8hZr`b1$M#($+lKYL4$E>Z>s!Z>Vw~sH z-a3wyG(4!0NGTi0i%5=|*_xDbMm%Aw=~`1^uOnr!Sf@*tS-}SltAM&Z`Ncc5AkO*} zDbMQJ$%CMDEg?Q}EK8RxunpB!!KTp5x-N!+e;v7wiD@Nr9TL+~WE2)tC^wpnX5}iA z(Wu<@51aS-*!yxJ=dDeYA&;~++#O+C{nUW)jv^2Nh z38ni;VFL-qlCcco>SQcJcpMq0lOW#C2cVJ^i!QslYGzouUx)@jpfhDhXbP~k(*GTZzeap_u0oH;@zjRKr^c~pQAOm5RynekveN+ zsWWs~>lJsM*g^yZ_B7e9QY3=59bW@GCC|)z4E_m}@R)NUsX?~_R3Yn3KpP4kqlc!le|ENDo`hzQKW+OeQlh zy~tz)W(t|Yf$3*}xylCf76;~5aw`KffZU3}+(vHWzzi|KTy2AShXZpvxt)O-N^VDB z?jUz?VDya5k;q6J3=I{z#3c7rGL=CYMW!Mscal3fC}ZNtor439%*BvF?z_lc4CXj; z7lL^=xtoJ|v(dp@Y|P$gO5K2R54ndynMm$IQ0^u7a!_uK!z|AsTWkOuFsG4e4Cd`* z8iIKrxsQVhJHt#6?zS9C8oFb(rATT?#U*f`RF54%3-rCWAkR%tY`X zBoDe{TEdAbSY8GhXOUS9(mXN?L7GiwbC@oQ!?Yx3jX6e<*(r-cQA@EzGiF3b&q(B9 zn>`=vBO_T*7ck=nfqKLS^=TX^nBrvqF1577+Z1`!hIUwohT>pw;JjLfi>$GNU*J2s za~ckyMbP1|FMz4b=wD$(aQI6tizUJ}2v|EV@!+ZDxaNV>#D&&B5R=q5W;vDB~%?EY=p}l4<)Y@myEOGE)=gdd5fTu zG?h<2Y|L6K!TgLZIT>3)SdQNp&DcGQ1w%zPV;hLYX~5zX8z&dC!R2nv@P_JzY%IB# zq^X_~p;C|tmC9nGI53eVEMgpu;x1N}T)o3nyRvLK5{yK4*kXM`9~aDNca&LXEPls4 z-~!^*uU?F)3&4F{s9D6op<>r#PtlSW=)VdOml4t4N? zJ`oOeISbFmp)S`Epu_t%4!=YDztF$J$j1U4F2)NM69;363XIiyPG){$GgD6sWsY$H zfcj1VpIQKXN;2ZqfTIPN%jQ|k@3;H(G*byMXvnQf0DyV?5&)zmgFz$i&^G|E<8*v! z$pC1~;?mFp&5qOYWx(18E`y1{LKh~AN6Dj1OMFcpMOxx9@|e4pXd>O}We^`Hk28qJ z$m0m&6XXdSBD9VZxT;O0@fg_JXd;Ot<)`5$k{qv~cENF=0U?$|BPU=eH^yx=mALNN zisd99?FwKksZj79Oh%8|_ zS;ES5GT>mT^SG!1NpV~hWNM|jC`XSbvb?DfladpGK61*Ug(e9W;@HwcmOhL|-K@t* zw~$%*IDAZPAzdj0&NwU$N=tUj9r$*TPz~S~mrSht?Y2Q+PFw}g&saZRrwYgp6O$r{x3TC!GenuQ%fuc^&OO%>eq zWz5O!E^NBvCFdf;@-5iz%hsDlYY-Z87oROcZOvKy_DY~NUTuR*J;%t+2`eIB4h)@I z^sg}IVmuewnfBB+nh&&!X^|S|Np&933n-{5x{j=4w5U(kAzG{_>yZ#RBgHn54XjQ> zvH{iENH*d+C{hflKrxLYW?PA+We~HGP0T!3F?X~<5Q;MZfXB0uoF?}2 zq=XLF6wN>>iYey#6^VJ?)JC%uhh`EXmTG&)#zjsu8(L`xau8{l(*h&cAu)27*x<@? z$O+bR9i236X%nk_G5|U`0bFVWsK@{SiD5OA#SN{_GS?ty3UrpJ9%jy622|pr1v+c3 z#nY<;_Qm3o!AMS9yDJwaLyInseWaXrHh?P00Jzc#;Bp&4H6by(%Fak}9quZLx?{v_ z55(+J` zvTG*jHF-H*9rTvPTk&CG09$;;roSym3CJHSBpPpl0n~fMMY$DCH`$ekoV<5S5NT8p z$?0Z{Fqpw>CNo5PIEQF=dx+Lf20%~8QI;Gtng<3(-DCjta@yHFZ4Byh0Q3n-n&nUJ z&G}(_Msn=@)xHKrhStYPo!&Ni8*$JC^HE=iYcQve-MJ5uWfiaE^PEn*(_RgzdnV^3xi*~Bc%fn*c1EH{(Q=AwY#XTOSVVz{@>?>k;4If2e= z)qY{+0Wk?+O*P1tzGj^GCNs49JEG8Y2HS(7g$|8Qf$?M>Aakp56UYFW;8O?8cBjY< zVA{i-jD{UP#747aT&J!432r$<)q&0~+rfdpRvawB_#fodj@Q^6XbnR@MyD?R(lB+f zPiOG^S?I4%#C-O{xS;Btd> zuq@4z1Fj@E2jB+Kg#=-sn&h4&2VAkK{z8`V zqaTV+6&9tf$NQg#$dnb=vmxw+o%`saYAvT9j5k+RKSXjnF0bl`$V_g|5E>#o=^b~}}5I40-=q#>W=Giex2@{u%bBinRopurT7?#P}u zua*on$O=K-9a*KJf}Y;RSS`TA3|PosZXa!Z;9)| zHL^W0ZaHy{WHtysNIMH{z%>k}%jGZWGy>_~`D-NGEPCfTN|lmRDw1Q|0RjP>zP9>A z!HY-%DPSTph7=$X*-o|#L}X}U5eY&(&0i!ULB*X)LnXX(h)7Nga6fV)GAwBk87654 ziwJAuuj;feA`GN^=Z6(VM203_su?$=P!YM@O+=z3%0%Qw5=A1igX|E9$hERKGDC}$ zb)lAJ0twX!Dq+=!0b16bPS;9|IERq1G0q9eh@^#NL^47GNee}K1a%7a18YxI!tOSR zi3t={L&{-9QN-k0i93+dDv~qaHecJjiOEi~lZnaAWG51nU1XO)OhzUalbi`wf9<&h zQ3G{6A-$qG6HswPr;*8vNxUw(E@?5jE=e&F>5}v8wk{?TZE{^v#AIY*F_~zKNk?5w ztUz!`?MBl{=Rk@^JK_>YL)>X>`$ux_vY~VqK)Fu@1(G%Dr|5EK+EA|4p`dLfx>B-? zsp~oah`o7qJ-4X@n@11oAnE2&H%Q11wrMC>m@`MPd2|Eb?u9Ti*f*hy01R4g17eJ1 z@#xQmz?YLS4lG>9NDd}2W0{M@7$Z3w4W&UoMBzkZBnfnQ(|U|7e%x$>$)Gc2X^bpZ z#o35td!IG}@k%+|?NC^>9+5_rj7<~TQM~^J@LeV@O0jU=Z5^xi6xZTb6l1mS;*yM% zJ~owvXgnU>R0gv0o66%PDoJO!xhd;d@82j10)X{CGzS$K7vm~QGIf;0qI;uce~Rb! zILSr@6>|RY@r^P!K1bghrSStW%fLeOhq&ac>WxW9klm;yh#;d(16zP++IUSSfk1nR zJIi?jYZ)xu%cR0RK9N9B4Yo=I8}8SVM5v9I=m>t~jF(Z63O0?C+bF_fs~n54vQ;u_ zcnK8WAkS~SjnyVfVCr$GSpzWao7mARCvMak_I4fqae-inE>ULCEbTdl_h$Y;oY1oCO} zGzSu{jBt^3kU5u`AfF+SrwaP|B6$XZe3m@RfqW$nF5(g5l3vyt2E5?!@HV$q#LB330W*`rfml4Q=j(*QFy@AEo3seb2Ng2I;jl9Odd`DhGU|uJ$b6|cjz?k{! zK&1PCu=F>fyg}YzP<|qBASiE=H#sP0;yO6hDbnDnHV}J@yv0ENO5Q>s-zIN!ApeX5 zd8dQ3xU=t&cPPwUg}j4czDwT4FmvHv6<}>7H`O+d!Mm!#$zpoQJ*O*-Wr!;QU3G{Y zV&KxrAq4I{@*V<5%e_zDXXP@<`>5OpXXG=k3z6Jnc8ddH z23Tuy^DsHg>JxGp)&HD)j@l{Ay&#U8)37#iITsJB3;PBsV+joBxh$P|A6t)^!D&4s zxutCc2kQgDT77~2re8#mi|=-c1FUS{+tc}SRh^$|dFLk!)xy`io z7Iw4ny>W0S0UI1>$*1owqASR(nzOn1F?;G1Q^1M5a?H!3#nRM<@$*PmqdyO z+G0*#Y=jnb0}v20vcph>rT{W4=wD&(WC21;u%&0pQBs1vlnbq~5n9T5gaDx_I=d)B zw*fNm(!avoI|K+V!{$f2MvY`qOSmE0XC`eLzL&&j*p zhxLx5s<{u@eE@e|;4b=Cm^)w4hYff-gg(GzVCTKCCtPqoSzSOEv8fxeDMYLL;owr- z%{;k_1=TlklM`(nVoc+!uMkw<99R8ax9V#I)wgnS_0W3ga<}SqNpG?b_kFuV^MkCo zRnHexk2*9^Gypk(nsTd~e=5vmTGpdz$tM0?qQ8)8~E+{>Z z=RW@tH+b2w6oR|rq9LGO98dC!iCQz0#v;FwCxCmvWGBlFW?2l=CV(f1k)?djTIp83 z60VL3P&J+ao)DHDic(&6H<-0WFd@sNbJ%$_tnesIzt0V3V-d{eE-=GR(&f~0gGsO2 zvt%5RF55Z#`7_+A!y3l5dcK(vip^^St-IhY`9^8CTAI;?zLThDd2t_^Xk-V0a9 ze1~k0aXiX%(34sGF7iD{1oRpMXe4i_Zii5h)_t&=S>=Y?ND-LpU2r4VTBGtqQ$WQJ zy&FX^Z*hTH0$WcE=JRear-)#J@f=6Pl1?2Na?`tc)XcSH67vPj%{Z8)Fig6p1DDSc za)kO8@@9}D$hYtn`O0+p(7fr8M91EE^;{rHonx;cp;&3~#2Zc-<7ULK$=9s42g%o{ zwWH*y-5NXC35Xpd$5_$Xb$(k}0E@0zpiVyVUEtJ=sAl#GSw);G#C3{p^^f;>NsezL5)IC8?Fj72BP9Rcz zN4_&jL3!!d3iJ3KwAqDuXy*)fxr`+AInWFYZJc7uQ$}(?irWN(lH%0%lnGqI04Uu{ zNIoZANe(0{p)vq06DOG`$w@}L733tMU5vyq?LaKQC*QMjtH}4L+z$jcOYK`6pxh~P zij`YUPN8x?k{?+){X}%$I!x?x3DO_}(4WXp4Cn^(69RggoJK&iKj49i53Ny31JIwz z&kX1$@-qVZ3;D(B5l+zNDW?q-a)S$%hLYj|W3+vSKvGB0K3m8c)b?3&R@AmQ^4r<= z^+GJ|JOqGZ**Hn~mHf(Z$|t`foPHy}={V`$)<9KjB$juKL^j(=j~06>u+SCTU!Awj znC4)|<$lJL-^uR`iS6Wfgv1}@50fx-DCg~9W&b39va-9#pQ!9Pa?UKvopkY3l%)US ziC9q@Gh-2;qJsb+R0o=<$^oJ(46ohv&B81Mzp3y=<;Ypef>sHi7&D#MIC~vc&Mbf` zk6VH2mz?H3ZBudvr4F>_fz~_>lV|B0hlv-ycuhLNmBsE2y@+R7){up6WwtfV&2rFW z3V?+)jv(1D(>JckRQQr=cV5us>*6M>U>~%cLhr;uiR8U&n^X@P9`q;N%#{ya>V9O` zoz3dP|Iu(5M&~r>SQ_i}C-jZ$bUJ)VH#?nC0I|rCJTtEs*miSFVDxZ51C{|5G8nMK z^o;|S312cDz&^Jzn`Z*ct8M`EK?NTJ_9cDefcfEz9{~%cMDmWOt16-{>3Htq^<(>D~ICUAL3> zhfzy|Uo=+h9DU$x=B*Yj2G@T^z47d*BRMe18-I1ASz_o_&s=nGaU@d5nxID^u19qx*969O z$hwoY7zg8Gd7PP$nHMMB^^0Y>5X`RoztiA^66G1T*)EnPl7%gMdN$d-Svrp27i&|J zXE$8YOAibK3LJInB|{w-!Kjxsb?PNa9f-+=9)>V=>J^PTyzJDI+&L2`JLwj6=+I7$ z9|-j&N&Dzk#vf4Z>9}%S#ct`NI(Qe5E|FTVY)a1oU7Ex6Y%%)A z>DgTPl55Ta)V5_c^5AP8t3l`+SA)VRX4l}666axKt7rp!aR5=AfiFqlIPkPVVuIIQ z4Pe)mfUhN3jSJ`-SA)VRY1iNol7)A3XU08EB%#3=_fpVWDTYZI`o>{Gal638gicyk z&^&-ICRPuegP{rDGhw38#vog10AHFlU5>tSO;cpbm`z(`D+^!CvKkfW8&`wEC}-Ee z$TpSG0xja2#$gK47EFH11MKpw-AeS0YnNhD!EV>6Q4zjYWHl<&H?9VSQOT}>F>RI{ zrp>UEozax$K%j%F4TjcS7VZki?sMA1AI_uWX)bf9;A6E=QhYdFUzCHGUkE+DkWry3 zedDM==~CIIfdBWCN(xfI)0b-#Cc0jfN&fSa-M@@2zCVd~udgPb*0(2gLPO&RIJ6DU#7ffKwxe zZ&Uil;Y)kaI1#>=$qo~8_+FMA?=Qn!8*DrsHn`OU@M*$uZBE}fTq*WVOnU@tGkpZ}M#2Saf z#aUOOv?MK@18yq`Tx*=P!<5&zPe3!b0D81ww7QhOakQe;yTqnC4NbS8U5>ZMEod#F zMoR|mGWy0rqwp`aq3NdF2ykvLW1b0T))UValEpg9dg4qRU|+>z+QJI0Xm>A*>zuv5)-Q{&7Fq2OhWbhX)P;>d#=3pdShsh@ zx;0?dnqhqfedDmE{b+-*wp5lyi4LwX+d{pz3}y%V#=&d{U)mv<%*-Ewv>`Z|Lh(zV zj)~6GTPSsOmE+5y*~=M9o#-2f67BdEHcC|Fv@%*LOyy1z3n{Cd)pjSWZ7soQ5AfTw zw!6?bu5C)74tCo%9W0og@%&}M>OsaKVsL<6_(7HjrZVYB` z`o_Ven0L2f(oH_6ChsocD%0fL(zCnlf&fnAc9&%wkJIGclh)+jwWKt8cUM~V0DAOb zwCYRWI9gGT^t5>jZiK7y!B+%kO-_H^|6?(?hm2-cmK;wHR~hI9?etcHSg&oTSYnG)|JfmPAj61%#ZDWd#MDjMb$p8`lLTlu0NydF#5(( zl}f?@%y(o(^mVl&211R24BEByje|A_z6`RVCA1>?{nb`Pzoh5mey+oGFmzxr>)c5C z#&wR0`H;BIC22*#P8pp8+^vYKlE(TfSFEoF%&ulwkD_lJ)^s3UgRqXbBKo_+918V@ zGMLxXHx4EhqhSapXGK6S?aWy44>x;aK+^Wa09T0~4hRfq$c>?I9CB1DueFhLvnK{h zv?#JC2D;i4BLMyg*7i91#~yA5KToj55WzTrhe-M6v1iChlX#g8LRg=@y3W1N4nU zmojgHjjmB+B7B|5YRsf>Tn);aNoEbp94-C^nUWSV-SE5O>0tJI!`khy-5ojFjAq$*+b-I(rP)&cv&o z>+nG{>eyjjybEx+i{bY$edF-E8@}9ac9AU#oC9vOt9rZ#>fOU&K1$y>nD@e$du^Bo z7SrJCG*;tr`o`6`55C-I*07{)I((hZYAmL2T#ftT%l&o@Ow=)wWK@PGLzLkxf<#B%T!!8n`o^I* z55CMZ(X;js=fl_etj0R}#?@E=Uly1(EJ32fav`g+fxdAy7QvTAb`4B|u?bd~j3IPL zJhZlP~nn~%Yl$ILb@n$aQmIIEFQ-?$o2 zz?Ub?8WzpykXy`Z6wo)W#uE6l#IAv9Hcl2@Xv@Ml9I$WsTj)?+%G!+5H?GZP@MW3V zrqwSx6qmCaJLwx&V+DL!Vb-wvMTgBwR-=%;?Ks6+{86 z^cH>NDs6`^+c{JY#i266>43=FVFRa{Aj*KhPv1D;JK)O>4mcdlbo6r~)}YzH5 zo0S{##d62y-kE!kFIK!<@w&z9`(mU9X+bW9=UlRaJn4&-SX^RriF{wIRMS!&OLg(Z zF6e#1hzmyfVx=dRzQ6QLc%FlE3K3tdOs6sf%M5|%V`Vm!+3bszty}i8vTc2_a-+)K zTJ8>bt|}KTx62nR|4sQ{%m3kvRcKbBQ-v$x`FVv?6;AtN6+;!vR;&Qe6%`9A?ttf; z6~CzXl`mGQU!_r%Zh+^`N-tG<1)hg1{ZQ#AU+hBvg{3Ym1J6^Hy_M5^vC1VXSFc>t z7prnpm3ym9hvx@Xj#v547ptmP&90gY&-GRJRDH@9tCn7^c(szgSgq@8-CpZXU#xb; z+VyKUf@h!FBWsU_=Ze||aPwoV_QBd8*ZvHiKh{a9lkSVvy`XN*x^;Z9dI##gTkm~% zo~ZXneZ?26-=cn(`rY6eYoIjn`eF@UZtziqPkph5`x?I9@GW1g@%+ZC8n1!pvBqZ` z|L%)5snw)qlgr?FOOyMWJOIzVO*{lydXEj^WY&kqnHapkche?N3@hY1^bIJinRr>m-n;$+af8oD6iGeAnc8lL4>EhbAALeB2kC zQfW$qDUIRTY0AJUAfHnnp0akz26!Hua&`*v<5um~a<^85=ash(xpk;7cH8RPcHXwz z7n|jsl`{+YF>BncyJp=B&&OwNoV5j>2WGuH3-~|#_1TAKf9Z?O@y{tW2jp*2A*5yJU!=tFE%%IZr)r1&pYSNox8vno0mK9!g)aVd5_LpKM(jb@A-M} z&HK<7o8N!_xcN81^XvJ)&HvLEThMpG4GYHkVhcMjyk_BWcs{mp%ffB&Jht$UMId*J zu39v9(Rg3%;kpmEd$>J3M?8Gn!&7~+N75cC`3TT`@ePabUOWw+OBZinyc3@1mgFpf z{w%4pr0tR`;JJIr8%y5y#g;Z$+F@yDc#c?l+ft~%^zhQtOV9XX%d(eMUIzSGUVM3t z<+b72e)*u~K=++AvggV^@SL@B z#mXn)d3fdNl>l$mL#tM;f^qORgy&D&Gq-2?V%sm@K4AM`c>WU2ih|rmt43Qy zVH`xSijIu}-=b%C1b2jeu^lycT(+YvJjd;rw&Q+ZY-f1qg*!n$b`IM)dFO5LT(on; zPSB@2U)uTU&d+_ZU6pn<+0`7Ly?2e?1#-6QlU=8F0lf-Cg%t}c!*f&NvxU#Y^Kjwm zLZJKZ?A?`j10Q$au>0=a)8P5V?q7EQ>Wl5k*;94TMeuC9=c+x>uRVo(Uf%=yYR`$i z>R#aQ-qL$7-V6NQyK?WYy)gdv9@_iu-jlxAzG?d&+XsBxw{zdC``+-yp1S|3#ZN7R z=hsjD_SB!g*#2hwyY2`6?jN^*+J5NI{@3<@x&LclO!1azt9UkSQ8%7bb}MSS;!!;C zf9cwL=aek{-(LOS>Eyn1YMxS@7D*@fr-#+_jDquiVW7_esNDYuo&_fRe85<>Lq(`< zRmw_S$ts&EENc}>a~83Fp$woTXCGR@Kh7x`j1TGI$ayos;-B7(`msU)@?Qcm-S-0k z^~KI9>3=OyQxbh=&nW|yl7A(>80WtnFv44VM!Ddx#@ocbT{m+2HWqE!E1Hq19(T&eNDZrntG>Y)FZ#*u(4w=1>&Kl+bp z@c)K@pZ1*UvPaXi7p7;dOeZtoKQL1p(tptDu8mUX|BE`9LjSKwBtxZ(|39gODfR!3 zNMdTuQtJPIQwvk6w=tRE2DJyC(#?z z{2zz7!yqiLbo!@}9+UqciM+KU{NCKv_*CigPvipT#6PwJydvuQPvryV#Xq_eyn<4@ zD&7CdEWvE~$9IHhjnZG~`A=sJX3xK(GrTtI{cqq8=Fz{VLyn=eOzHb?VHIZAzp7IX zmUUOI`Zuu*v+ZBkF&d3%1Q9_Tk-iO+IzSolZ(|^4;=i(U=>{XSa_Q0u7zrS8_blI)s-RtR#swm{;NBQV+LTDu1pVJ1OMqU1FinAy|aLC>T1LI zIk#=vG)4_*X2zSFV^{C zW&9bJ1v*-^O9`v&y3#4;1v@X4<5xQ%?u;zci4G}c!CeZz%5{wnyQsVl%dLYG4fU6JIitZ`Z2d-X7(Uain zhjXH5O7?@RCsO=GxccHJ(L1I4!qppUGVuE+=f$KvE&3{R#Qz@5`P(ivA$NWtha$4m zH)uiJ=l)eif8~>VzZ-OVMNNv!k13s>H{Y>LNik4a=ALC-&p~QpTx`RMNnoA9$~yO3 z$JIV+a&-1Fw9rsxp@bH4wUL@20~;Awse~A=tYmB@S39Xma$_e>QrsKzyVueqm7m=E z$<1 z-PEK#pxroWCVs++KY0kn4eJ4=<38#vBPJ;0J-G2)&8H^L1M_j>Tt8xOC?+Z2dFDG; z@2Sc2(0l(;0H-J;dTvBlGpY%E2s7#mqUn~HrhNEtK6Le>n#70nA}7&#qXd3eF+-X0 z;mzo3NHvjf2juh&!)^ORK+$EvP&Rg;=XcIBixfAGt{uMziiAn!C{fpTr)xz^RWYGM=7xtv(n zpC!bKMas8{>swdvs>w}c@9O+(@)k>!e-q!ot{zqsoCF@$`B*j=%ao6k#>cK+R+F4W zUgjk0-pIv@70S{{XK7bktBFoRTN_xrl~|>$owU|=wYQq=B(^sv+xeNsy+2~sTdYwo zPkNWTI$ce8GC1AX?LEXg<@V%pyQ|~Xq$iW(4P7t2#0KU1GQ6tIIZ&T>B>9T+*6D9 zl;s{5&-v$K?*2o?@2V7h`clv}4b=iZg=uJziY3HZm5NViD!QhlTEwR`9l412f9w68 z%EzZSA6;`&E#y<2llr`@Dn3wo`E=){Yi_E=e9Ci^i>Z58Sy6nXQuOIhQP(t83;GPC zDHqh|zJK=@znjKC@Aiqx)@LJIT{BiK>NAqDTvWqWc5wVn5r9ecytMHsYdM@MnL)?_c!v;s>?pDPz%{3x8Yqszv8yq?G@>d;{w7U(1O9 zj`Am!?igQl0_sGXZW= zx~fc&GELw#0^a~A6Qr<<0WQAtKaCd#@$UwHQ^nxkyg274CAHGm|5p|SWdxT5Nl6B9 zzSg);3GUpCQBC}=>O#t{3$%r^HYhWs@Y+B#++QF5Q1wC82iF>*454a7+#3wnI?+@7 zsp^EP6V6La=kIKa6m#7Zb!SRWDS%aMlaw^+nv*7h;h3N0~#J!_^%38zh6c ztqh_JqBn@sB>a?98AM%q+`aN}HHzz1$TTTc9Z_|})gG>WzKf|E_x0Ie>7|UKjN)nz zegB?W+Dac~7G)N_LG;`A40B1w{jM@N)HiaRZ?NR=upYXj&iaODef{J=t=sV*tXY&{ zp4J6S?&lqMD&jA;HDCXWan~}XrCFVx)amI#jp(d6$~5ZK`oO1FqpD+3RYz5w2dz3B z=d3)+II8kIaOI(KT&fS=O7ZsRw$iGqkE%YNR3Fz0q|Bo#kVh5BxC*@~gH#n#RmjsS zq|Bt=w|V@&O>aIw{^4@`Q7xVFW2G1wuF91vS9-@h%(k;+DMP7}^~fcQ z1~lsn)}0a-|Mx)Z$VgSWRONb@N@esIXZ2F1Qq?O_s#l!J^cC#R-z#~k_~#q%zP0QmGpKr|>e+wQq(|N7tZB+8w zwN9B%)w*PW>+OomAnTjSBW*oS@x9iRM|_mvPbi}d|vmwDtfBu zC00Ru&^XS*r_84cU$PcH8r1dkyiPKgs(-5fJ!suZ%yG^ds0^rTV6xXh8rkI{FpnyN zst6`#aq}dWvk)p1szUf!3L(vU|3zUwRS#7?^rVI*_E=|4R7O-a@v+rJni?00@qeAW zj4YsvqAH4sUFbZG=PZoMjH)m`*1~vSajYN6zDaJbsq%x!`kdM7U(%`P| ztXIe)s!pmpnG|*JVPZOKr81?el}|*i)Yr=;vY4uus$M=!ZA+@hIBTXdrmC4wOwFX( z?|xEQLY7cPQx(mmDu53gmxVL#Uk8)XvXnBYGU&q^DJdU!*QBD1ETc@SOq!Htdz9Gs zjCw|vQ$|%reH1e#^<(dub)BrB%&N?q)P{T1`1cH3Nmf#ZRfc_3LnX)K@0oVAj8&#p zrcDmhCB`xC8Mm9Ps*J0Qn;51_t{C^sTS-<|=2hlRF5@NEaqbzowydcPtPGr3#!AjO z_e@+#)>bA~CQeTCCFZeQja*k5Ss6Jo&6V7-?wT22`PNrvR%T9a119$I?iyP3l?|1l zm7x>cV2>l-JyVyFjg_gDsUL?4lj4~7j9p2-sEnzcT-mV$9@v9`_QUlI*4uKqWwO8ShCtj%yNhS4p6f;7KxPay}Q= zMChp!K_x`1};Yl-SazCGY39(%ERSBUIBDoFtBp&ZxQpCvqDk)S_ zJc%ZK9M6e~aa&kaQap~ySI2xZ3z6m)@Zw3s?@HWxW11YS&Kr-XK0R(5sN=g|_j~Wcv&f+;LEKN2GEvH%8|MX< zN)UB{r7o}xJP>!G6=09M&?+d0tMf?8t(EFL`QK~rJeQ~>F{mTTJpXN;6f-}3_r27V z6V&sI1gBFRHCHJMA5Ii>v<iv#~9`L?%zyFJ;?f6w@(>|9j_nXd9Cnx2Lr`^v_!t-~Ypj1Ms6O{TOA`v{``XPBu zIZvIZ)OqSjsPoUlb8w!kR8l2Eoq84wpVowmrvZ6sFPRX6f9Nv)jYa-zWd;sl-#KJ@pnZ89i|STfI%{yr=52Yh70Tr1yjK+^3Q+8S9=hcG4UBX_#Z( zTfY->i#i1=XFLtgeb%0%^E9XuP@M+VTfoQQ0WV*_oxVW};{K#@ExApd2i1A-Sv$u( zEw9IUE>ubQ80z5DViNT{leR#+o)2T?4s||E+UZtyR`dH`9^g4K{@>fkB6q1|RA%`v zv#5PZ?+@ois!B$6k*YqhdCVS&`yT)9hc|oF`7!D1pE}2=IsShhaGoPoLO$mD`2QA4 z?N1rWj1P6L#DB?gOO%bOB!tCZJ1&Iq7Y<<-F~TD9pe--Di>hdwiXNg3+J2&^7>stR z=q2W%-6(pCQ)oXFeKl`k*34*aXfvSAhPDLS@@N~PZHl%#+J0y!pq-9(721txkBEL= ze!}dPO7!7Gq5BqJ3YC^-U|xzB$A=-zI2Vit)b7(QXwJ ze7{2by_o2iNtpfei%EWXy?!0VWWV)j_lhZgKcM|ZOf}~eW^-{d&D;fT4>8@m9qmyu z!+aI(4KdTdoG|;>7PI_EpdBM-`@fI&YcagF5t>e(n5zDNYck5}f++fkD$GGS#hRe5Xa|Y4L7UKS7wdv93$sm#^|mT#8;K1z z#I;Qp8*QJUy(l&XM+kFp8nHPT&o{V}*b=-N?LM(J__{EM_=;^IWzp6b+e0vaAzQ_c z5X@i5_hM&gI$;jYFLs4?Lpwt34t*Q#r(#c-MVP~EVs9Ay6xLMi3xj{cHj4dW7t#JM z4%$14L-q^8Z2wjqaY$iygo&dLc+gQ^9Cu7ZyF|R|I3rGk2Z)p5m4!LHx_CW&G}?LM zRQT&?KNW98z(WzK#G8@*g*kGfcqEfH{pM*L3U-9j8i2d9l@!fO32y;3qzE6kOkgmMAn7)!Q zr+-0QNM|FNc!X(CQbRqqrXV{=0`r~-!ILD zc1r)k>4mv)W@#zh7;R@6PVMi)WJ&#fQtt;_u6-64_;{5<`T! z#7LRC!~wML$TTHQ!dx;^rY%_yZ95rVat+#D^0|_jo031tbfq#0bEzUSeW_k($H)w& zcA-5bGnPWml{U+mGJY~snF45=q5V;2E?Y%rD%%_FX<;t=rOZ-3qcE4xE3;O_npT`9 zvsHWp?PoH3WxS@!nPiU2IBw;3^7+cM(5{s^E8{g)z9DnP!f&xTWbW9`Xa~qVu^Z5C zm3d>Yp#4|otCCBYtK^sYtF%PhTNbFY0_|>Du*$b+|B!{M#t3uO!m@DHwrKmyB31Fa zs_v3StL~FUtL>A;s{JO5SNlU2tDa64uTfBzsQH;JS@TO-qLxJ#uT@YMt<_wXtkX>v zt%LQgGf|eRvmfngS-M_UVXjwPmZ^t$_4doM^|5~S3&?Wy7opuM%h&%)m>c-X3Jr>& zEh#HD_z~?tvQoqB!rZW=tlY3H+WIoKA@a51N?D~L^10zPS+x;rW}`B)S|ikiMk8eP zMyTP9UX?W(4;1FclV#1u2hqMOYc>8ym|w8T+Ao|!dtTOQ(oC3}^pN$MEJeFR)^CCu z)8x8r&=k+BX#v@=>C0$G%0^9*BTdiB#!ZnU%|hf0%?HRAThx&+w7@x|#Z>uHi?`5z zA)B=9A`vdZRdYReXFr^x1Q&k1wek7Ubshh(ev`(?}a7lpa~ zU$S+FFJ+sKpUc*r{Drww8riP1k8Iz?Tej2J@M!-p<ok zoHTd_+GTR`;P24>D5ng;>l{)+P94$*?Lawg2-bPXt8)5~o5DQQB4-T6{0wa?XAYf% zcA=a#^eoy7a`v#Sa?Y@x!aS^>oHuL)+F5e`uq9}>%LT*WjbZP~g~Nk{d3YMRXm|~@ zb>-sW@Wk-Va>?)uXup+9M_?^Rl#%hz9El{sUnY#SuBr@xh9W~%_CnOJ4&7y`-wa`E<(OGuCqKfZnS0{_o)^* zK2i%B-$k>HKc)pwuxlX`>S>`9W@up(&T00E!J1=Y8)2T;a^V;)s?7}=J ziabev?vELeJalbKw{My(Lmadc7{vgGuuEX6 zymNy602XBr0{amxP1v7cKY^vTzXJ9%ShT$>*k!P^5e2}00ZSJV4fZS8bCG4hu7G8T z%no)HEPdo^uxns3kyF8b1Irk-9qc+-=BR~Wzk_8;T@&mESk}}Vy3WmM!f>u)n~bPumCV7FdqxP_Vzja;5zT>>se4(JjFK14t)NgB42G0n7)iV7h%^Ca@yuR)G0}6;A&Tm>*cN z^cTU*U_~?30`mtek)bG<1*~|6-@yXFN@chJW(6ymF#}j2SecAgupqF~8TWwMz{+J@ z1{MrfHsg0-Az&3Uz6%x#Rz9XHSQuEPm_}fBu!=EngE_!rV|IgugH?{X4i*7cHRcPj zNU$oIqQRoTs%J8Tr2?y#*#wpvtY)SwU}?Z=WX=he7OZyW2(W0dT3N<|JqK1dOAoMg zV0E%w21^fCKg&m88NljgwS#2@YnbIWSPWQ$tVh5yfi=#$4lFZRqim_bvVgsq%?B(i z*bBLagJlD2lB*L~cCeRn7Y54#)+~2=u;;;==I#uZ6Rbt<24K0sn&;;HqBn&#!AgO>T<{C9(qKIco&qZa z)}zolu(Dvi3mpV22iB{|TCnn9eTz&7s{q!g$lqWU!TJ~Z9;^~rzoO;9DuWFy`aD=H z*npzr!K#1_F4_yMD%hZ+XThq04J~>AtUB0`V&Pylz=ju-U^T&p6+^y7)B+n>4EYvO z8*D_eZD4i4MipBCRu}A*;yz&Yz{V8&6|6qk=;DpQ8i0)}UKXq&*x2IB!5V>0C_WLa zG1&ML8rTb9lZyWg_9EEC64k(70-I8zAXpQy$>o_xO~Iy>XC5^Jn_9jfSaYx$$QxL2(*pfQWfpq~}Tz3grSFmMu$ANVNTUz%j*vnum>YfMd z4z|2r6R;j&tLjw(>j}29-g&TIU~B4~1nUj9x_$$&K49zWmj>$#wzfe_uzp}08dL-8 z54OI+Y_I`fn;HxQ8wj?s`4zB1U|X7h3N{#Qb8F`15U_2nnU_Pswzj+wZ`J zgKh7S7HkCAt`2@+Bf)ldLLHBI1#C|z)bWT>V7t4t2OABxuS*@UF<^W9OadDVcA!sR zuyJ7f`(^_h4|b?;7}x}`gMD8Hn+SHK?+aj)zz+9)6>KutvA&zYrhpyo=Kz}u_G&)? zHVy1}zYbv2!A|z83pNAnM8AVzGr?Z(w;F5~*lYc9-inwF_C`OPw<6|%o$Al|n+x_< zf6m`Lus8ef2AdCdy8lwJ1z>OYXB}S%_HKXH@kLPMZi{qeKarw*eb9O2jYAbu^Q}?fjA#UtO5IY;6|{u zVCM(U23rUA=|JZBda%z2GS4@FeKsf~*ha7mg95=efqgNkHP~jbuLjis+XD9G-~(V= z!M+*13TzwL*OQs&+rhq@%sk%#_U+_RU^~GsPVNS_3+(&JTfugN{V;hx*dDM;lUe`v zg8ej^^=}{8k5j$@+Yfem%4x6zU_VdeIprYOuhV!=IRy60v`S!y!LClr19k-L%Cv4^ zN5OuZ))?#<*tKaVz>b6cK5aADt6y{1@yDnAgm&!Ontdv$}(w1M`_xAM8Ca@7Zm^-UsuYT?Om|Fw^WEU>|~+XU_rq z2+VJe0Q(rsGW%PwPr&@=^aT49%sQtb*m+Pb_FcM($B!If~8-U4eT0N%(6hR-@r01&kc4REc5a(u;0NlEpG{S11#(EieP_$ zWm(}3b`vc7@=IWUf@ND#5bQ6o=T}65-2%(8Vl&v^V7XRI2m1#s=ZY`E{sqgk;sn@j zu-q#fiGWA}mTzTokv&p^2SV6D$C%)SAs;RjCrhtWlm0x!oEDWsDx^KYjU=`O-2XlbMuI~dD z4pw?Cp16E^W7+6}c+8b_y zMT6Da*dFXTu(}(ofu#ehvuP$+da(MN`hjHttGAi2EhAXNO?+)JU=21SXCgC!HQtPz ziOdYvX!CxsEMPBgUJRBM?1im1uxwyWwp<6x4))U4reHb1nr$r$_B>eAZNl zSS7H2yHQIbD}xQ(jam{J3pQX+Fjy6^!MlG4s|q$~Perh5U_VmzpcMDiOurYgQfYk>Zz4t4y24Lg% zo&;+MHg;bLuts1L_N4=B3^smWH?SALChe;W_9EECef0fHU{m(d_f5bi@6Qa@6l~gl z3s^I-srz38YYsMJ|9Y?%VAJi{F`dlfnXbtECU+^w&lnuu)$!PkNgWZ z1Z>-pufc|bZ9U3*H4JRWQP!*BVB3$<2P43C9i(sjDo!@PB+`mo0may|znxBd2V|md5Y$gh@zfSALEmo{Jyl zzY&k%|NQ+op~wCH{+sd1fEE>tzSRo@Y}jS<-z;o6&~?Ax-5qGU{y^RCJJ7dx5A>a= zWpto#aggtv2eJ&c3`=mJRPnE9rv5-j?mN(B&Iw9Ap>JN0n&4ISNKhXBO2Ri5eK=btnI_c&>Z@E9vTh0Snd%Hi- zLfwJn8sh_58#xbT8RY6ZtPytEEQ9De>lpV3TC6|NCN~Ef=Kes#?jC54`vWc2A850i z15I;(plNpxwATHBmg^6+#m#}1xj)ddy9av1{ef2M546qAf$q94;Tpkpch=}L_Xk?7 zKhQ2W2YS!_D|+wlfqrs-ptbq~?RImZ@7*8hd*^|In*=w#Q-Vqe4gVMTYBhUYO z&Tb)bsBcISm{>-z;L)=DwO&|Gl&mxa;8~Kzz@)sUO4h?l1`AuD9>El`C z+-@Vkt&eQ+EOJq|k>Alr4)84U`p^w-&Q@piku!M~d8^yV=k$>?dlvbK+sNlSFB{+Axxni`>avS-3edPEzKo1;w zzT3!`^pWG=@jP(kC2k}CsE-`~7UzK@uXG#vXMN=O_cRY2d7azHzvv^!zlnL^$eZ0p zzM_vD|E}eMBkyn<`Ip9SfAx`%c{UqAx{Dko^pTHy7Wr4Vku`nf zS3Qe-(`{sLePlQ9+T6^uL%NM@(nogluFYL!liSFC`pB<&G#d`L*UwS@y2zTxuiMBO^^sF~7I~PvPh6ui=_9B1Eb>8j@2#S;=p%dfzT0uaZRBkF z$ez9LcD&^_at?juES}BA8Ml#h>LYvhj^6R1+sL`~k+XU9oWuRxdLyqsazW1`2fKaF z`Sp+QJ4#n59{HobE+y1C>BJO$- z-%dwW0qQ8MB2d^wFn&K8D(rE;JB>gaiS1NqaUZ@&i#8fv&^*2y31z8Ki0C| zVBBVdaVHGMoiZ5rfx);>5{|R>OE}It$Y9)fgK?7$#?3bvx7c9Z27_^1492}}Fz$@O zxC;j3zA+g0i@~^Sx^bqUZb3cpU(dMpeNS+Eh;Dm?ZhN$Dd%SLYvTl32%eJ399JC^6 zrPCAW!6#r_4A?FMcEErg3p#!`-tjx}P8qOw4A}by?6Lv7mGHPWzl7s#5e6)a0V`|} zuf73mr+=*9vEUZLt(>nHy|^3=?qtAv2lu%sLR zFz!Wzam@_IwKf>n-e6oegK<3##u?Q85QDlOGTb2ED1&$g=Z6r3^Fyd3VZ6|&gz-Z2 z8H_7rFs_8bxH9^2@^EOCgmomejsa_Iz?vJdb_T4g0qbSJ1{$#825h1Mo2_Sl$3hKi zQ0V4_*CL@i4A^l4b~a&s2>sB2oi|`tL$5jOCVFw#JE1r9>w)L|m%+Gy6OIeh5{?Tq z8H@`s7-usWmp5TfhYd>Tp|D{Fj~#6=ZoI*`sR`#LY^K5Un``jcg$Co68jM?MFmA2E zxQzzmw(7^p!(n^%=TxvG2JEB(d&_{GHDDhZu+Q~=#&N$fU_ThJD+clYFkt^AWOlEF z@$7*HEVZ8b9kZuTm^1dg2CPIvui48c^pL%xem!uEY6jzK8H{USa14WUirwIxV(*pE zr}q8^@rD?%kp^t60h^?M9e8as493kh7`MoPEjM6m4A@2kw#|U;)-%gA`+kFYKB6Co z*OB187}y*7afo-?Al^BHaUU9tJ8v-Vg2A|N4aQwE7d|SALn<>Vb(LtG>299SX_fSg73cua~NDNI1H{A9MSstIA%sY!!fhyj*06$M=pbL z`3%MtHW*jjU|bpfI2@y*0jp}jY8kNldWP50*x-uvM*l7dyLBjK0_<2_rRa#ub zCs;S}>C{Yo^0e7%Y;@5 ztrOZfw0UT|(5|7qLI;Kp51kk~J9KgA=FlCX$3xGCei(W_^lIo0Tyg#zriGcp0>W%z zdBX;U4GS9`Ha=`>*vzoGVGF~ShOG=+8@4fQYuMhfBVi}Q-U>S#_EFg9Vc&%P5OyW( zkFbC2UiLtHYI}NnUV909S$jo$HG3_41N)2iX7*n8{`MjEk@m6nN%k4`x%Nf&<@Po9 zjrMK!-S++VBlZ*aH|(eF=j~1Z-*$LA%nqv~*x_(QIiejI z9a$W?9QhoD9mO4G92Ff^9km?w9gQ7L9W5R09i1KB9lad`977x&uWgvIp zesXE)z#aGzk+k%~4%-?$-Ee%gEp5{S)=S$=vT0!aT0z>TvWKrOq-_#=_)14wGMVy8 zOF>IkXntsCy=xhLU`+U+>75cNb5!Jz0SS3n@3uI=jKgr;uahk=*1pxnUR4Z?BNy{ z85oHnfvLHP`%+|JG&U`T@pJ>zli`jM8OZer-)!*PSI@@O}>1NRijAkL7D zv*Eyv05a${#@PZe#75US@D;wa1(BsD%Rq)N-=(EELfN9ZBL{cn;|_dxE^WEcvlZti zzVMc|TI}HqYH6#+9gVq(Zn=CSxzqxsvn=P;zAh?+up#r#;A-G{)26%%7_;M3>xyt}QZd$mB zThnC#7daq^o4B7`2H3cnmvy5P#FB@hMsRZyWh!L>*(yv^DDEoYll&WE^}(jqj}pvH4zb2k>fjjGy69O7aI+qzKBWO=2xT;; zgg1vu>rmDb{7_C>N8;et+1!D9JEe6hci@L@(mI1X0(smc*vosQWx#8Erf+Z)H#Wd=PbFpyTZOXTNx(hjzxW`-u(0ZY`CtUh3H!TGMEkv8N*&CN@hwH zN>)lX?#<3#4)&gBFDE4zB{wAxB`+l(B|pa%pcJGOB9mrcA^w3#jlF*<`zRlh6*gTI zvM8k(r8uPor6i>kr8K1sD0M0IDD^1~7^)$AjVO&NFHl~jyhLdN5v3?)xY?A_j1OzhUJFV~N-IixN^43R zN?S@hN(V|uN+(KZN*793hU!LnnVa1yJt#dXy(qo8w-0-LDg7w@DFY}2DT6p98w@GQz~ z${fmE$~?+^$^yzl4qilAOj$x%N?Ar(PFX=&$-%2At0`+JYbom}>nR&38zH9bT-r^1 zF`LP@Fu+#!wo$fIc2IUwc2Rayyl6-K{a_*YaC0wZA4HU8Z$EqGDdo5s$=)Ay@k+`q z$_mQMX8f+Zrr9AxU&`MQ=}$46(+e@0tSO}r#_l&`tjoxRSK9^5>} z-Y1mLDAUNQP-;-BQK~~sII{~=8BH^+1pClLRn2rg1%P8B)7P7aEy~XV9WN!^+Ib{`P z31uT?2W1?`&8Li|R08vxU(3{{L$oSP*mB`KvSr72~& zw=8?*DCH>?C>1G{D3v)Rmc1&Js+4M!>fBL-Qj?ptD7Cp+hrPO-l6vgbr!=56q%`7= z#*`N*FH&BjG@&%5G@~@<;1-mYlvb41ls1&Mly;Q%9NdA@klnb^Kt6U5dxI%MC_^d3D8nfuC?hGaP)1QkL&TfN1!+fC zNE;=L5=s`tULeKF%>YU;H$%`fO*hSe_%9TqnBN#7E@6i_L-~U8JLN~pPn2IMALC)- zQ_2l){!F<{IZyUEtyFB-%~!I zT%&wMImICdDOV|b$PQBuar0ZsI}mw59u!(2#H&0dmQsUKn^KR`kn#eh8Kotq4MYoN zuRWy`r7NX7r5B|yWdLO`WdvmuM02n=mNJ1dnKF$sn=+5Gkg|lboU#g{rDAU_Wdmh1 zWgBHDWe;UP~Z&2Q*yh}Mp`GE2<eD^T&7&1 z{6@J!`IGWD`>8!jxi^ zl9VzKEhl?iH}6W6DwOJ!T9mq!29(B>mnd98Ef0GwDQzh2DP1T%C|o!1L6qT?(Ufrz z`3pqL&&`RHDU|7yIh6SnE}8dA${NZ>3fD+0#NKYoKFUGL5z2AO>y$SsTp928DW5>J zqU?P}xj^B1c>h58nR1nKox)Y{{u|;WAzBIcOcc(&kBwrdL{XwCoNS-Wlx&n-5Un(O ze1SeiD4b`Xa+JyxPOMKIN<+#^lx7euZb)lxcA#{nbf@&845SRBjG~OEaO$**?9HUi zqb#PZpsc2>qim+^pm6GZ_Cd5*_6}2yQBF|apu9slOX2+ad_?(_@;OAS#@?5d?HC4GL${=U<2^ZYFE8=S}gY_*1MD&Z&uWYKovRIZbIHlA$zClUAS7h|+{Y z!)Y{}M#E{HD4Z*eb0u%G*Nf7ZGJrCeGJ?XX(>Qh7B+69EEQq|t-dxH83MWxpMp;Rr z3AOcIsC^V)