From 6ce67de95ca4d9f3ef3130e9963c107736f2907a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Wed, 23 Dec 2020 14:38:53 +0500 Subject: [PATCH] dccm_ctl with dccm_dma_rdata corrected --- lsu_dccm_ctl.fir | 4117 +++++++++-------- lsu_dccm_ctl.v | 1825 ++++---- src/main/scala/lsu/lsu_dccm_ctl.scala | 2 +- .../scala-2.12/classes/lsu/lsu_dccm_ctl.class | Bin 436919 -> 436964 bytes 4 files changed, 2972 insertions(+), 2972 deletions(-) diff --git a/lsu_dccm_ctl.fir b/lsu_dccm_ctl.fir index 0eb098c3..105c864c 100644 --- a/lsu_dccm_ctl.fir +++ b/lsu_dccm_ctl.fir @@ -141,1079 +141,1080 @@ circuit lsu_dccm_ctl : io.dma_dccm_ctl.dccm_dma_rvalid <= _T_1 @[lsu_dccm_ctl.scala 145:41] io.dma_dccm_ctl.dccm_dma_ecc_error <= io.lsu_double_ecc_error_m @[lsu_dccm_ctl.scala 146:41] node _T_2 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44] - node _T_3 = cat(lsu_rdata_corr_m, lsu_rdata_corr_m) @[Cat.scala 29:58] - node _T_4 = mux(_T_2, lsu_rdata_corr_m, _T_3) @[lsu_dccm_ctl.scala 147:47] - io.dma_dccm_ctl.dccm_dma_rdata <= _T_4 @[lsu_dccm_ctl.scala 147:41] + node _T_3 = bits(lsu_rdata_corr_m, 31, 0) @[lsu_dccm_ctl.scala 147:104] + node _T_4 = cat(_T_3, _T_3) @[Cat.scala 29:58] + node _T_5 = mux(_T_2, lsu_rdata_corr_m, _T_4) @[lsu_dccm_ctl.scala 147:47] + io.dma_dccm_ctl.dccm_dma_rdata <= _T_5 @[lsu_dccm_ctl.scala 147:41] io.dma_dccm_ctl.dccm_dma_rtag <= io.dma_mem_tag_m @[lsu_dccm_ctl.scala 148:41] io.dccm_rdata_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 149:28] io.dccm_rdata_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 150:28] io.dccm_data_ecc_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 151:28] io.dccm_data_ecc_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 152:28] io.lsu_ld_data_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 153:28] - node _T_5 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_6 = bits(_T_5, 0, 0) @[lsu_dccm_ctl.scala 155:134] - node _T_7 = bits(_T_6, 0, 0) @[lsu_dccm_ctl.scala 155:139] - node _T_8 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_9 = bits(_T_8, 7, 0) @[lsu_dccm_ctl.scala 155:196] - node _T_10 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] - node _T_11 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 155:253] - node _T_12 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_13 = mux(_T_12, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_14 = bits(dccm_rdata_corr_m, 7, 0) @[lsu_dccm_ctl.scala 155:313] - node _T_15 = and(_T_13, _T_14) @[lsu_dccm_ctl.scala 155:294] - node _T_16 = mux(_T_10, _T_11, _T_15) @[lsu_dccm_ctl.scala 155:214] - node _T_17 = mux(_T_7, _T_9, _T_16) @[lsu_dccm_ctl.scala 155:78] - node _T_18 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_19 = xor(UInt<8>("h0ff"), _T_18) @[Bitwise.scala 102:21] - node _T_20 = shr(_T_17, 4) @[Bitwise.scala 103:21] - node _T_21 = and(_T_20, _T_19) @[Bitwise.scala 103:31] - node _T_22 = bits(_T_17, 3, 0) @[Bitwise.scala 103:46] - node _T_23 = shl(_T_22, 4) @[Bitwise.scala 103:65] - node _T_24 = not(_T_19) @[Bitwise.scala 103:77] - node _T_25 = and(_T_23, _T_24) @[Bitwise.scala 103:75] - node _T_26 = or(_T_21, _T_25) @[Bitwise.scala 103:39] - node _T_27 = bits(_T_19, 5, 0) @[Bitwise.scala 102:28] - node _T_28 = shl(_T_27, 2) @[Bitwise.scala 102:47] - node _T_29 = xor(_T_19, _T_28) @[Bitwise.scala 102:21] - node _T_30 = shr(_T_26, 2) @[Bitwise.scala 103:21] - node _T_31 = and(_T_30, _T_29) @[Bitwise.scala 103:31] - node _T_32 = bits(_T_26, 5, 0) @[Bitwise.scala 103:46] - node _T_33 = shl(_T_32, 2) @[Bitwise.scala 103:65] - node _T_34 = not(_T_29) @[Bitwise.scala 103:77] - node _T_35 = and(_T_33, _T_34) @[Bitwise.scala 103:75] - node _T_36 = or(_T_31, _T_35) @[Bitwise.scala 103:39] - node _T_37 = bits(_T_29, 6, 0) @[Bitwise.scala 102:28] - node _T_38 = shl(_T_37, 1) @[Bitwise.scala 102:47] - node _T_39 = xor(_T_29, _T_38) @[Bitwise.scala 102:21] - node _T_40 = shr(_T_36, 1) @[Bitwise.scala 103:21] - node _T_41 = and(_T_40, _T_39) @[Bitwise.scala 103:31] - node _T_42 = bits(_T_36, 6, 0) @[Bitwise.scala 103:46] - node _T_43 = shl(_T_42, 1) @[Bitwise.scala 103:65] - node _T_44 = not(_T_39) @[Bitwise.scala 103:77] - node _T_45 = and(_T_43, _T_44) @[Bitwise.scala 103:75] - node _T_46 = or(_T_41, _T_45) @[Bitwise.scala 103:39] - node _T_47 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_48 = bits(_T_47, 1, 1) @[lsu_dccm_ctl.scala 155:134] - node _T_49 = bits(_T_48, 0, 0) @[lsu_dccm_ctl.scala 155:139] - node _T_50 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_51 = bits(_T_50, 15, 8) @[lsu_dccm_ctl.scala 155:196] - node _T_52 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] - node _T_53 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 155:253] - node _T_54 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_55 = mux(_T_54, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_56 = bits(dccm_rdata_corr_m, 15, 8) @[lsu_dccm_ctl.scala 155:313] - node _T_57 = and(_T_55, _T_56) @[lsu_dccm_ctl.scala 155:294] - node _T_58 = mux(_T_52, _T_53, _T_57) @[lsu_dccm_ctl.scala 155:214] - node _T_59 = mux(_T_49, _T_51, _T_58) @[lsu_dccm_ctl.scala 155:78] - node _T_60 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_61 = xor(UInt<8>("h0ff"), _T_60) @[Bitwise.scala 102:21] - node _T_62 = shr(_T_59, 4) @[Bitwise.scala 103:21] - node _T_63 = and(_T_62, _T_61) @[Bitwise.scala 103:31] - node _T_64 = bits(_T_59, 3, 0) @[Bitwise.scala 103:46] - node _T_65 = shl(_T_64, 4) @[Bitwise.scala 103:65] - node _T_66 = not(_T_61) @[Bitwise.scala 103:77] - node _T_67 = and(_T_65, _T_66) @[Bitwise.scala 103:75] - node _T_68 = or(_T_63, _T_67) @[Bitwise.scala 103:39] - node _T_69 = bits(_T_61, 5, 0) @[Bitwise.scala 102:28] - node _T_70 = shl(_T_69, 2) @[Bitwise.scala 102:47] - node _T_71 = xor(_T_61, _T_70) @[Bitwise.scala 102:21] - node _T_72 = shr(_T_68, 2) @[Bitwise.scala 103:21] - node _T_73 = and(_T_72, _T_71) @[Bitwise.scala 103:31] - node _T_74 = bits(_T_68, 5, 0) @[Bitwise.scala 103:46] - node _T_75 = shl(_T_74, 2) @[Bitwise.scala 103:65] - node _T_76 = not(_T_71) @[Bitwise.scala 103:77] - node _T_77 = and(_T_75, _T_76) @[Bitwise.scala 103:75] - node _T_78 = or(_T_73, _T_77) @[Bitwise.scala 103:39] - node _T_79 = bits(_T_71, 6, 0) @[Bitwise.scala 102:28] - node _T_80 = shl(_T_79, 1) @[Bitwise.scala 102:47] - node _T_81 = xor(_T_71, _T_80) @[Bitwise.scala 102:21] - node _T_82 = shr(_T_78, 1) @[Bitwise.scala 103:21] - node _T_83 = and(_T_82, _T_81) @[Bitwise.scala 103:31] - node _T_84 = bits(_T_78, 6, 0) @[Bitwise.scala 103:46] - node _T_85 = shl(_T_84, 1) @[Bitwise.scala 103:65] - node _T_86 = not(_T_81) @[Bitwise.scala 103:77] - node _T_87 = and(_T_85, _T_86) @[Bitwise.scala 103:75] - node _T_88 = or(_T_83, _T_87) @[Bitwise.scala 103:39] - node _T_89 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_90 = bits(_T_89, 2, 2) @[lsu_dccm_ctl.scala 155:134] - node _T_91 = bits(_T_90, 0, 0) @[lsu_dccm_ctl.scala 155:139] - node _T_92 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_93 = bits(_T_92, 23, 16) @[lsu_dccm_ctl.scala 155:196] - node _T_94 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] - node _T_95 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 155:253] - node _T_96 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_97 = mux(_T_96, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_98 = bits(dccm_rdata_corr_m, 23, 16) @[lsu_dccm_ctl.scala 155:313] - node _T_99 = and(_T_97, _T_98) @[lsu_dccm_ctl.scala 155:294] - node _T_100 = mux(_T_94, _T_95, _T_99) @[lsu_dccm_ctl.scala 155:214] - node _T_101 = mux(_T_91, _T_93, _T_100) @[lsu_dccm_ctl.scala 155:78] - node _T_102 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_103 = xor(UInt<8>("h0ff"), _T_102) @[Bitwise.scala 102:21] - node _T_104 = shr(_T_101, 4) @[Bitwise.scala 103:21] - node _T_105 = and(_T_104, _T_103) @[Bitwise.scala 103:31] - node _T_106 = bits(_T_101, 3, 0) @[Bitwise.scala 103:46] - node _T_107 = shl(_T_106, 4) @[Bitwise.scala 103:65] - node _T_108 = not(_T_103) @[Bitwise.scala 103:77] - node _T_109 = and(_T_107, _T_108) @[Bitwise.scala 103:75] - node _T_110 = or(_T_105, _T_109) @[Bitwise.scala 103:39] - node _T_111 = bits(_T_103, 5, 0) @[Bitwise.scala 102:28] - node _T_112 = shl(_T_111, 2) @[Bitwise.scala 102:47] - node _T_113 = xor(_T_103, _T_112) @[Bitwise.scala 102:21] - node _T_114 = shr(_T_110, 2) @[Bitwise.scala 103:21] - node _T_115 = and(_T_114, _T_113) @[Bitwise.scala 103:31] - node _T_116 = bits(_T_110, 5, 0) @[Bitwise.scala 103:46] - node _T_117 = shl(_T_116, 2) @[Bitwise.scala 103:65] - node _T_118 = not(_T_113) @[Bitwise.scala 103:77] - node _T_119 = and(_T_117, _T_118) @[Bitwise.scala 103:75] - node _T_120 = or(_T_115, _T_119) @[Bitwise.scala 103:39] - node _T_121 = bits(_T_113, 6, 0) @[Bitwise.scala 102:28] - node _T_122 = shl(_T_121, 1) @[Bitwise.scala 102:47] - node _T_123 = xor(_T_113, _T_122) @[Bitwise.scala 102:21] - node _T_124 = shr(_T_120, 1) @[Bitwise.scala 103:21] - node _T_125 = and(_T_124, _T_123) @[Bitwise.scala 103:31] - node _T_126 = bits(_T_120, 6, 0) @[Bitwise.scala 103:46] - node _T_127 = shl(_T_126, 1) @[Bitwise.scala 103:65] - node _T_128 = not(_T_123) @[Bitwise.scala 103:77] - node _T_129 = and(_T_127, _T_128) @[Bitwise.scala 103:75] - node _T_130 = or(_T_125, _T_129) @[Bitwise.scala 103:39] - node _T_131 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_132 = bits(_T_131, 3, 3) @[lsu_dccm_ctl.scala 155:134] - node _T_133 = bits(_T_132, 0, 0) @[lsu_dccm_ctl.scala 155:139] - node _T_134 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_135 = bits(_T_134, 31, 24) @[lsu_dccm_ctl.scala 155:196] - node _T_136 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] - node _T_137 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 155:253] - node _T_138 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_139 = mux(_T_138, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_140 = bits(dccm_rdata_corr_m, 31, 24) @[lsu_dccm_ctl.scala 155:313] - node _T_141 = and(_T_139, _T_140) @[lsu_dccm_ctl.scala 155:294] - node _T_142 = mux(_T_136, _T_137, _T_141) @[lsu_dccm_ctl.scala 155:214] - node _T_143 = mux(_T_133, _T_135, _T_142) @[lsu_dccm_ctl.scala 155:78] - node _T_144 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_145 = xor(UInt<8>("h0ff"), _T_144) @[Bitwise.scala 102:21] - node _T_146 = shr(_T_143, 4) @[Bitwise.scala 103:21] - node _T_147 = and(_T_146, _T_145) @[Bitwise.scala 103:31] - node _T_148 = bits(_T_143, 3, 0) @[Bitwise.scala 103:46] - node _T_149 = shl(_T_148, 4) @[Bitwise.scala 103:65] - node _T_150 = not(_T_145) @[Bitwise.scala 103:77] - node _T_151 = and(_T_149, _T_150) @[Bitwise.scala 103:75] - node _T_152 = or(_T_147, _T_151) @[Bitwise.scala 103:39] - node _T_153 = bits(_T_145, 5, 0) @[Bitwise.scala 102:28] - node _T_154 = shl(_T_153, 2) @[Bitwise.scala 102:47] - node _T_155 = xor(_T_145, _T_154) @[Bitwise.scala 102:21] - node _T_156 = shr(_T_152, 2) @[Bitwise.scala 103:21] - node _T_157 = and(_T_156, _T_155) @[Bitwise.scala 103:31] - node _T_158 = bits(_T_152, 5, 0) @[Bitwise.scala 103:46] - node _T_159 = shl(_T_158, 2) @[Bitwise.scala 103:65] - node _T_160 = not(_T_155) @[Bitwise.scala 103:77] - node _T_161 = and(_T_159, _T_160) @[Bitwise.scala 103:75] - node _T_162 = or(_T_157, _T_161) @[Bitwise.scala 103:39] - node _T_163 = bits(_T_155, 6, 0) @[Bitwise.scala 102:28] - node _T_164 = shl(_T_163, 1) @[Bitwise.scala 102:47] - node _T_165 = xor(_T_155, _T_164) @[Bitwise.scala 102:21] - node _T_166 = shr(_T_162, 1) @[Bitwise.scala 103:21] - node _T_167 = and(_T_166, _T_165) @[Bitwise.scala 103:31] - node _T_168 = bits(_T_162, 6, 0) @[Bitwise.scala 103:46] - node _T_169 = shl(_T_168, 1) @[Bitwise.scala 103:65] - node _T_170 = not(_T_165) @[Bitwise.scala 103:77] - node _T_171 = and(_T_169, _T_170) @[Bitwise.scala 103:75] - node _T_172 = or(_T_167, _T_171) @[Bitwise.scala 103:39] - node _T_173 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_174 = bits(_T_173, 4, 4) @[lsu_dccm_ctl.scala 155:134] - node _T_175 = bits(_T_174, 0, 0) @[lsu_dccm_ctl.scala 155:139] - node _T_176 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_177 = bits(_T_176, 39, 32) @[lsu_dccm_ctl.scala 155:196] - node _T_178 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] - node _T_179 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 155:253] - node _T_180 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_181 = mux(_T_180, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_182 = bits(dccm_rdata_corr_m, 39, 32) @[lsu_dccm_ctl.scala 155:313] - node _T_183 = and(_T_181, _T_182) @[lsu_dccm_ctl.scala 155:294] - node _T_184 = mux(_T_178, _T_179, _T_183) @[lsu_dccm_ctl.scala 155:214] - node _T_185 = mux(_T_175, _T_177, _T_184) @[lsu_dccm_ctl.scala 155:78] - node _T_186 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_187 = xor(UInt<8>("h0ff"), _T_186) @[Bitwise.scala 102:21] - node _T_188 = shr(_T_185, 4) @[Bitwise.scala 103:21] - node _T_189 = and(_T_188, _T_187) @[Bitwise.scala 103:31] - node _T_190 = bits(_T_185, 3, 0) @[Bitwise.scala 103:46] - node _T_191 = shl(_T_190, 4) @[Bitwise.scala 103:65] - node _T_192 = not(_T_187) @[Bitwise.scala 103:77] - node _T_193 = and(_T_191, _T_192) @[Bitwise.scala 103:75] - node _T_194 = or(_T_189, _T_193) @[Bitwise.scala 103:39] - node _T_195 = bits(_T_187, 5, 0) @[Bitwise.scala 102:28] - node _T_196 = shl(_T_195, 2) @[Bitwise.scala 102:47] - node _T_197 = xor(_T_187, _T_196) @[Bitwise.scala 102:21] - node _T_198 = shr(_T_194, 2) @[Bitwise.scala 103:21] - node _T_199 = and(_T_198, _T_197) @[Bitwise.scala 103:31] - node _T_200 = bits(_T_194, 5, 0) @[Bitwise.scala 103:46] - node _T_201 = shl(_T_200, 2) @[Bitwise.scala 103:65] - node _T_202 = not(_T_197) @[Bitwise.scala 103:77] - node _T_203 = and(_T_201, _T_202) @[Bitwise.scala 103:75] - node _T_204 = or(_T_199, _T_203) @[Bitwise.scala 103:39] - node _T_205 = bits(_T_197, 6, 0) @[Bitwise.scala 102:28] - node _T_206 = shl(_T_205, 1) @[Bitwise.scala 102:47] - node _T_207 = xor(_T_197, _T_206) @[Bitwise.scala 102:21] - node _T_208 = shr(_T_204, 1) @[Bitwise.scala 103:21] - node _T_209 = and(_T_208, _T_207) @[Bitwise.scala 103:31] - node _T_210 = bits(_T_204, 6, 0) @[Bitwise.scala 103:46] - node _T_211 = shl(_T_210, 1) @[Bitwise.scala 103:65] - node _T_212 = not(_T_207) @[Bitwise.scala 103:77] - node _T_213 = and(_T_211, _T_212) @[Bitwise.scala 103:75] - node _T_214 = or(_T_209, _T_213) @[Bitwise.scala 103:39] - node _T_215 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_216 = bits(_T_215, 5, 5) @[lsu_dccm_ctl.scala 155:134] - node _T_217 = bits(_T_216, 0, 0) @[lsu_dccm_ctl.scala 155:139] - node _T_218 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_219 = bits(_T_218, 47, 40) @[lsu_dccm_ctl.scala 155:196] - node _T_220 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] - node _T_221 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 155:253] - node _T_222 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_223 = mux(_T_222, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_224 = bits(dccm_rdata_corr_m, 47, 40) @[lsu_dccm_ctl.scala 155:313] - node _T_225 = and(_T_223, _T_224) @[lsu_dccm_ctl.scala 155:294] - node _T_226 = mux(_T_220, _T_221, _T_225) @[lsu_dccm_ctl.scala 155:214] - node _T_227 = mux(_T_217, _T_219, _T_226) @[lsu_dccm_ctl.scala 155:78] - node _T_228 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_229 = xor(UInt<8>("h0ff"), _T_228) @[Bitwise.scala 102:21] - node _T_230 = shr(_T_227, 4) @[Bitwise.scala 103:21] - node _T_231 = and(_T_230, _T_229) @[Bitwise.scala 103:31] - node _T_232 = bits(_T_227, 3, 0) @[Bitwise.scala 103:46] - node _T_233 = shl(_T_232, 4) @[Bitwise.scala 103:65] - node _T_234 = not(_T_229) @[Bitwise.scala 103:77] - node _T_235 = and(_T_233, _T_234) @[Bitwise.scala 103:75] - node _T_236 = or(_T_231, _T_235) @[Bitwise.scala 103:39] - node _T_237 = bits(_T_229, 5, 0) @[Bitwise.scala 102:28] - node _T_238 = shl(_T_237, 2) @[Bitwise.scala 102:47] - node _T_239 = xor(_T_229, _T_238) @[Bitwise.scala 102:21] - node _T_240 = shr(_T_236, 2) @[Bitwise.scala 103:21] - node _T_241 = and(_T_240, _T_239) @[Bitwise.scala 103:31] - node _T_242 = bits(_T_236, 5, 0) @[Bitwise.scala 103:46] - node _T_243 = shl(_T_242, 2) @[Bitwise.scala 103:65] - node _T_244 = not(_T_239) @[Bitwise.scala 103:77] - node _T_245 = and(_T_243, _T_244) @[Bitwise.scala 103:75] - node _T_246 = or(_T_241, _T_245) @[Bitwise.scala 103:39] - node _T_247 = bits(_T_239, 6, 0) @[Bitwise.scala 102:28] - node _T_248 = shl(_T_247, 1) @[Bitwise.scala 102:47] - node _T_249 = xor(_T_239, _T_248) @[Bitwise.scala 102:21] - node _T_250 = shr(_T_246, 1) @[Bitwise.scala 103:21] - node _T_251 = and(_T_250, _T_249) @[Bitwise.scala 103:31] - node _T_252 = bits(_T_246, 6, 0) @[Bitwise.scala 103:46] - node _T_253 = shl(_T_252, 1) @[Bitwise.scala 103:65] - node _T_254 = not(_T_249) @[Bitwise.scala 103:77] - node _T_255 = and(_T_253, _T_254) @[Bitwise.scala 103:75] - node _T_256 = or(_T_251, _T_255) @[Bitwise.scala 103:39] - node _T_257 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_258 = bits(_T_257, 6, 6) @[lsu_dccm_ctl.scala 155:134] - node _T_259 = bits(_T_258, 0, 0) @[lsu_dccm_ctl.scala 155:139] - node _T_260 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_261 = bits(_T_260, 55, 48) @[lsu_dccm_ctl.scala 155:196] - node _T_262 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] - node _T_263 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 155:253] - node _T_264 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_265 = mux(_T_264, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_266 = bits(dccm_rdata_corr_m, 55, 48) @[lsu_dccm_ctl.scala 155:313] - node _T_267 = and(_T_265, _T_266) @[lsu_dccm_ctl.scala 155:294] - node _T_268 = mux(_T_262, _T_263, _T_267) @[lsu_dccm_ctl.scala 155:214] - node _T_269 = mux(_T_259, _T_261, _T_268) @[lsu_dccm_ctl.scala 155:78] - node _T_270 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_271 = xor(UInt<8>("h0ff"), _T_270) @[Bitwise.scala 102:21] - node _T_272 = shr(_T_269, 4) @[Bitwise.scala 103:21] - node _T_273 = and(_T_272, _T_271) @[Bitwise.scala 103:31] - node _T_274 = bits(_T_269, 3, 0) @[Bitwise.scala 103:46] - node _T_275 = shl(_T_274, 4) @[Bitwise.scala 103:65] - node _T_276 = not(_T_271) @[Bitwise.scala 103:77] - node _T_277 = and(_T_275, _T_276) @[Bitwise.scala 103:75] - node _T_278 = or(_T_273, _T_277) @[Bitwise.scala 103:39] - node _T_279 = bits(_T_271, 5, 0) @[Bitwise.scala 102:28] - node _T_280 = shl(_T_279, 2) @[Bitwise.scala 102:47] - node _T_281 = xor(_T_271, _T_280) @[Bitwise.scala 102:21] - node _T_282 = shr(_T_278, 2) @[Bitwise.scala 103:21] - node _T_283 = and(_T_282, _T_281) @[Bitwise.scala 103:31] - node _T_284 = bits(_T_278, 5, 0) @[Bitwise.scala 103:46] - node _T_285 = shl(_T_284, 2) @[Bitwise.scala 103:65] - node _T_286 = not(_T_281) @[Bitwise.scala 103:77] - node _T_287 = and(_T_285, _T_286) @[Bitwise.scala 103:75] - node _T_288 = or(_T_283, _T_287) @[Bitwise.scala 103:39] - node _T_289 = bits(_T_281, 6, 0) @[Bitwise.scala 102:28] - node _T_290 = shl(_T_289, 1) @[Bitwise.scala 102:47] - node _T_291 = xor(_T_281, _T_290) @[Bitwise.scala 102:21] - node _T_292 = shr(_T_288, 1) @[Bitwise.scala 103:21] - node _T_293 = and(_T_292, _T_291) @[Bitwise.scala 103:31] - node _T_294 = bits(_T_288, 6, 0) @[Bitwise.scala 103:46] - node _T_295 = shl(_T_294, 1) @[Bitwise.scala 103:65] - node _T_296 = not(_T_291) @[Bitwise.scala 103:77] - node _T_297 = and(_T_295, _T_296) @[Bitwise.scala 103:75] - node _T_298 = or(_T_293, _T_297) @[Bitwise.scala 103:39] - node _T_299 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_300 = bits(_T_299, 7, 7) @[lsu_dccm_ctl.scala 155:134] - node _T_301 = bits(_T_300, 0, 0) @[lsu_dccm_ctl.scala 155:139] - node _T_302 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_303 = bits(_T_302, 63, 56) @[lsu_dccm_ctl.scala 155:196] - node _T_304 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] - node _T_305 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 155:253] - node _T_306 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_307 = mux(_T_306, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_308 = bits(dccm_rdata_corr_m, 63, 56) @[lsu_dccm_ctl.scala 155:313] - node _T_309 = and(_T_307, _T_308) @[lsu_dccm_ctl.scala 155:294] - node _T_310 = mux(_T_304, _T_305, _T_309) @[lsu_dccm_ctl.scala 155:214] - node _T_311 = mux(_T_301, _T_303, _T_310) @[lsu_dccm_ctl.scala 155:78] - node _T_312 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_313 = xor(UInt<8>("h0ff"), _T_312) @[Bitwise.scala 102:21] - node _T_314 = shr(_T_311, 4) @[Bitwise.scala 103:21] - node _T_315 = and(_T_314, _T_313) @[Bitwise.scala 103:31] - node _T_316 = bits(_T_311, 3, 0) @[Bitwise.scala 103:46] - node _T_317 = shl(_T_316, 4) @[Bitwise.scala 103:65] - node _T_318 = not(_T_313) @[Bitwise.scala 103:77] - node _T_319 = and(_T_317, _T_318) @[Bitwise.scala 103:75] - node _T_320 = or(_T_315, _T_319) @[Bitwise.scala 103:39] - node _T_321 = bits(_T_313, 5, 0) @[Bitwise.scala 102:28] - node _T_322 = shl(_T_321, 2) @[Bitwise.scala 102:47] - node _T_323 = xor(_T_313, _T_322) @[Bitwise.scala 102:21] - node _T_324 = shr(_T_320, 2) @[Bitwise.scala 103:21] - node _T_325 = and(_T_324, _T_323) @[Bitwise.scala 103:31] - node _T_326 = bits(_T_320, 5, 0) @[Bitwise.scala 103:46] - node _T_327 = shl(_T_326, 2) @[Bitwise.scala 103:65] - node _T_328 = not(_T_323) @[Bitwise.scala 103:77] - node _T_329 = and(_T_327, _T_328) @[Bitwise.scala 103:75] - node _T_330 = or(_T_325, _T_329) @[Bitwise.scala 103:39] - node _T_331 = bits(_T_323, 6, 0) @[Bitwise.scala 102:28] - node _T_332 = shl(_T_331, 1) @[Bitwise.scala 102:47] - node _T_333 = xor(_T_323, _T_332) @[Bitwise.scala 102:21] - node _T_334 = shr(_T_330, 1) @[Bitwise.scala 103:21] - node _T_335 = and(_T_334, _T_333) @[Bitwise.scala 103:31] - node _T_336 = bits(_T_330, 6, 0) @[Bitwise.scala 103:46] - node _T_337 = shl(_T_336, 1) @[Bitwise.scala 103:65] - node _T_338 = not(_T_333) @[Bitwise.scala 103:77] - node _T_339 = and(_T_337, _T_338) @[Bitwise.scala 103:75] - node _T_340 = or(_T_335, _T_339) @[Bitwise.scala 103:39] - wire _T_341 : UInt<8>[8] @[lsu_dccm_ctl.scala 155:62] - _T_341[0] <= _T_46 @[lsu_dccm_ctl.scala 155:62] - _T_341[1] <= _T_88 @[lsu_dccm_ctl.scala 155:62] - _T_341[2] <= _T_130 @[lsu_dccm_ctl.scala 155:62] - _T_341[3] <= _T_172 @[lsu_dccm_ctl.scala 155:62] - _T_341[4] <= _T_214 @[lsu_dccm_ctl.scala 155:62] - _T_341[5] <= _T_256 @[lsu_dccm_ctl.scala 155:62] - _T_341[6] <= _T_298 @[lsu_dccm_ctl.scala 155:62] - _T_341[7] <= _T_340 @[lsu_dccm_ctl.scala 155:62] - node _T_342 = cat(_T_341[6], _T_341[7]) @[Cat.scala 29:58] - node _T_343 = cat(_T_341[4], _T_341[5]) @[Cat.scala 29:58] - node _T_344 = cat(_T_343, _T_342) @[Cat.scala 29:58] - node _T_345 = cat(_T_341[2], _T_341[3]) @[Cat.scala 29:58] - node _T_346 = cat(_T_341[0], _T_341[1]) @[Cat.scala 29:58] - node _T_347 = cat(_T_346, _T_345) @[Cat.scala 29:58] - node _T_348 = cat(_T_347, _T_344) @[Cat.scala 29:58] - node _T_349 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] - node _T_350 = xor(UInt<64>("h0ffffffffffffffff"), _T_349) @[Bitwise.scala 102:21] - node _T_351 = shr(_T_348, 32) @[Bitwise.scala 103:21] - node _T_352 = and(_T_351, _T_350) @[Bitwise.scala 103:31] - node _T_353 = bits(_T_348, 31, 0) @[Bitwise.scala 103:46] - node _T_354 = shl(_T_353, 32) @[Bitwise.scala 103:65] - node _T_355 = not(_T_350) @[Bitwise.scala 103:77] - node _T_356 = and(_T_354, _T_355) @[Bitwise.scala 103:75] - node _T_357 = or(_T_352, _T_356) @[Bitwise.scala 103:39] - node _T_358 = bits(_T_350, 47, 0) @[Bitwise.scala 102:28] - node _T_359 = shl(_T_358, 16) @[Bitwise.scala 102:47] - node _T_360 = xor(_T_350, _T_359) @[Bitwise.scala 102:21] - node _T_361 = shr(_T_357, 16) @[Bitwise.scala 103:21] - node _T_362 = and(_T_361, _T_360) @[Bitwise.scala 103:31] - node _T_363 = bits(_T_357, 47, 0) @[Bitwise.scala 103:46] - node _T_364 = shl(_T_363, 16) @[Bitwise.scala 103:65] - node _T_365 = not(_T_360) @[Bitwise.scala 103:77] - node _T_366 = and(_T_364, _T_365) @[Bitwise.scala 103:75] - node _T_367 = or(_T_362, _T_366) @[Bitwise.scala 103:39] - node _T_368 = bits(_T_360, 55, 0) @[Bitwise.scala 102:28] - node _T_369 = shl(_T_368, 8) @[Bitwise.scala 102:47] - node _T_370 = xor(_T_360, _T_369) @[Bitwise.scala 102:21] - node _T_371 = shr(_T_367, 8) @[Bitwise.scala 103:21] - node _T_372 = and(_T_371, _T_370) @[Bitwise.scala 103:31] - node _T_373 = bits(_T_367, 55, 0) @[Bitwise.scala 103:46] - node _T_374 = shl(_T_373, 8) @[Bitwise.scala 103:65] - node _T_375 = not(_T_370) @[Bitwise.scala 103:77] - node _T_376 = and(_T_374, _T_375) @[Bitwise.scala 103:75] - node _T_377 = or(_T_372, _T_376) @[Bitwise.scala 103:39] - node _T_378 = bits(_T_370, 59, 0) @[Bitwise.scala 102:28] - node _T_379 = shl(_T_378, 4) @[Bitwise.scala 102:47] - node _T_380 = xor(_T_370, _T_379) @[Bitwise.scala 102:21] - node _T_381 = shr(_T_377, 4) @[Bitwise.scala 103:21] - node _T_382 = and(_T_381, _T_380) @[Bitwise.scala 103:31] - node _T_383 = bits(_T_377, 59, 0) @[Bitwise.scala 103:46] - node _T_384 = shl(_T_383, 4) @[Bitwise.scala 103:65] - node _T_385 = not(_T_380) @[Bitwise.scala 103:77] - node _T_386 = and(_T_384, _T_385) @[Bitwise.scala 103:75] - node _T_387 = or(_T_382, _T_386) @[Bitwise.scala 103:39] - node _T_388 = bits(_T_380, 61, 0) @[Bitwise.scala 102:28] - node _T_389 = shl(_T_388, 2) @[Bitwise.scala 102:47] - node _T_390 = xor(_T_380, _T_389) @[Bitwise.scala 102:21] - node _T_391 = shr(_T_387, 2) @[Bitwise.scala 103:21] - node _T_392 = and(_T_391, _T_390) @[Bitwise.scala 103:31] - node _T_393 = bits(_T_387, 61, 0) @[Bitwise.scala 103:46] - node _T_394 = shl(_T_393, 2) @[Bitwise.scala 103:65] - node _T_395 = not(_T_390) @[Bitwise.scala 103:77] - node _T_396 = and(_T_394, _T_395) @[Bitwise.scala 103:75] - node _T_397 = or(_T_392, _T_396) @[Bitwise.scala 103:39] - node _T_398 = bits(_T_390, 62, 0) @[Bitwise.scala 102:28] - node _T_399 = shl(_T_398, 1) @[Bitwise.scala 102:47] - node _T_400 = xor(_T_390, _T_399) @[Bitwise.scala 102:21] - node _T_401 = shr(_T_397, 1) @[Bitwise.scala 103:21] - node _T_402 = and(_T_401, _T_400) @[Bitwise.scala 103:31] - node _T_403 = bits(_T_397, 62, 0) @[Bitwise.scala 103:46] - node _T_404 = shl(_T_403, 1) @[Bitwise.scala 103:65] - node _T_405 = not(_T_400) @[Bitwise.scala 103:77] - node _T_406 = and(_T_404, _T_405) @[Bitwise.scala 103:75] - node _T_407 = or(_T_402, _T_406) @[Bitwise.scala 103:39] - lsu_rdata_corr_m <= _T_407 @[lsu_dccm_ctl.scala 155:28] - node _T_408 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_409 = bits(_T_408, 0, 0) @[lsu_dccm_ctl.scala 156:134] - node _T_410 = bits(_T_409, 0, 0) @[lsu_dccm_ctl.scala 156:139] - node _T_411 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_412 = bits(_T_411, 7, 0) @[lsu_dccm_ctl.scala 156:196] - node _T_413 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] - node _T_414 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 156:253] - node _T_415 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_416 = mux(_T_415, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_417 = bits(dccm_rdata_m, 7, 0) @[lsu_dccm_ctl.scala 156:308] - node _T_418 = and(_T_416, _T_417) @[lsu_dccm_ctl.scala 156:294] - node _T_419 = mux(_T_413, _T_414, _T_418) @[lsu_dccm_ctl.scala 156:214] - node _T_420 = mux(_T_410, _T_412, _T_419) @[lsu_dccm_ctl.scala 156:78] - node _T_421 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_422 = xor(UInt<8>("h0ff"), _T_421) @[Bitwise.scala 102:21] - node _T_423 = shr(_T_420, 4) @[Bitwise.scala 103:21] - node _T_424 = and(_T_423, _T_422) @[Bitwise.scala 103:31] - node _T_425 = bits(_T_420, 3, 0) @[Bitwise.scala 103:46] - node _T_426 = shl(_T_425, 4) @[Bitwise.scala 103:65] - node _T_427 = not(_T_422) @[Bitwise.scala 103:77] - node _T_428 = and(_T_426, _T_427) @[Bitwise.scala 103:75] - node _T_429 = or(_T_424, _T_428) @[Bitwise.scala 103:39] - node _T_430 = bits(_T_422, 5, 0) @[Bitwise.scala 102:28] - node _T_431 = shl(_T_430, 2) @[Bitwise.scala 102:47] - node _T_432 = xor(_T_422, _T_431) @[Bitwise.scala 102:21] - node _T_433 = shr(_T_429, 2) @[Bitwise.scala 103:21] - node _T_434 = and(_T_433, _T_432) @[Bitwise.scala 103:31] - node _T_435 = bits(_T_429, 5, 0) @[Bitwise.scala 103:46] - node _T_436 = shl(_T_435, 2) @[Bitwise.scala 103:65] - node _T_437 = not(_T_432) @[Bitwise.scala 103:77] - node _T_438 = and(_T_436, _T_437) @[Bitwise.scala 103:75] - node _T_439 = or(_T_434, _T_438) @[Bitwise.scala 103:39] - node _T_440 = bits(_T_432, 6, 0) @[Bitwise.scala 102:28] - node _T_441 = shl(_T_440, 1) @[Bitwise.scala 102:47] - node _T_442 = xor(_T_432, _T_441) @[Bitwise.scala 102:21] - node _T_443 = shr(_T_439, 1) @[Bitwise.scala 103:21] - node _T_444 = and(_T_443, _T_442) @[Bitwise.scala 103:31] - node _T_445 = bits(_T_439, 6, 0) @[Bitwise.scala 103:46] - node _T_446 = shl(_T_445, 1) @[Bitwise.scala 103:65] - node _T_447 = not(_T_442) @[Bitwise.scala 103:77] - node _T_448 = and(_T_446, _T_447) @[Bitwise.scala 103:75] - node _T_449 = or(_T_444, _T_448) @[Bitwise.scala 103:39] - node _T_450 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_451 = bits(_T_450, 1, 1) @[lsu_dccm_ctl.scala 156:134] - node _T_452 = bits(_T_451, 0, 0) @[lsu_dccm_ctl.scala 156:139] - node _T_453 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_454 = bits(_T_453, 15, 8) @[lsu_dccm_ctl.scala 156:196] - node _T_455 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] - node _T_456 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 156:253] - node _T_457 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_458 = mux(_T_457, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_459 = bits(dccm_rdata_m, 15, 8) @[lsu_dccm_ctl.scala 156:308] - node _T_460 = and(_T_458, _T_459) @[lsu_dccm_ctl.scala 156:294] - node _T_461 = mux(_T_455, _T_456, _T_460) @[lsu_dccm_ctl.scala 156:214] - node _T_462 = mux(_T_452, _T_454, _T_461) @[lsu_dccm_ctl.scala 156:78] - node _T_463 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_464 = xor(UInt<8>("h0ff"), _T_463) @[Bitwise.scala 102:21] - node _T_465 = shr(_T_462, 4) @[Bitwise.scala 103:21] - node _T_466 = and(_T_465, _T_464) @[Bitwise.scala 103:31] - node _T_467 = bits(_T_462, 3, 0) @[Bitwise.scala 103:46] - node _T_468 = shl(_T_467, 4) @[Bitwise.scala 103:65] - node _T_469 = not(_T_464) @[Bitwise.scala 103:77] - node _T_470 = and(_T_468, _T_469) @[Bitwise.scala 103:75] - node _T_471 = or(_T_466, _T_470) @[Bitwise.scala 103:39] - node _T_472 = bits(_T_464, 5, 0) @[Bitwise.scala 102:28] - node _T_473 = shl(_T_472, 2) @[Bitwise.scala 102:47] - node _T_474 = xor(_T_464, _T_473) @[Bitwise.scala 102:21] - node _T_475 = shr(_T_471, 2) @[Bitwise.scala 103:21] - node _T_476 = and(_T_475, _T_474) @[Bitwise.scala 103:31] - node _T_477 = bits(_T_471, 5, 0) @[Bitwise.scala 103:46] - node _T_478 = shl(_T_477, 2) @[Bitwise.scala 103:65] - node _T_479 = not(_T_474) @[Bitwise.scala 103:77] - node _T_480 = and(_T_478, _T_479) @[Bitwise.scala 103:75] - node _T_481 = or(_T_476, _T_480) @[Bitwise.scala 103:39] - node _T_482 = bits(_T_474, 6, 0) @[Bitwise.scala 102:28] - node _T_483 = shl(_T_482, 1) @[Bitwise.scala 102:47] - node _T_484 = xor(_T_474, _T_483) @[Bitwise.scala 102:21] - node _T_485 = shr(_T_481, 1) @[Bitwise.scala 103:21] - node _T_486 = and(_T_485, _T_484) @[Bitwise.scala 103:31] - node _T_487 = bits(_T_481, 6, 0) @[Bitwise.scala 103:46] - node _T_488 = shl(_T_487, 1) @[Bitwise.scala 103:65] - node _T_489 = not(_T_484) @[Bitwise.scala 103:77] - node _T_490 = and(_T_488, _T_489) @[Bitwise.scala 103:75] - node _T_491 = or(_T_486, _T_490) @[Bitwise.scala 103:39] - node _T_492 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_493 = bits(_T_492, 2, 2) @[lsu_dccm_ctl.scala 156:134] - node _T_494 = bits(_T_493, 0, 0) @[lsu_dccm_ctl.scala 156:139] - node _T_495 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_496 = bits(_T_495, 23, 16) @[lsu_dccm_ctl.scala 156:196] - node _T_497 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] - node _T_498 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 156:253] - node _T_499 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_500 = mux(_T_499, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_501 = bits(dccm_rdata_m, 23, 16) @[lsu_dccm_ctl.scala 156:308] - node _T_502 = and(_T_500, _T_501) @[lsu_dccm_ctl.scala 156:294] - node _T_503 = mux(_T_497, _T_498, _T_502) @[lsu_dccm_ctl.scala 156:214] - node _T_504 = mux(_T_494, _T_496, _T_503) @[lsu_dccm_ctl.scala 156:78] - node _T_505 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_506 = xor(UInt<8>("h0ff"), _T_505) @[Bitwise.scala 102:21] - node _T_507 = shr(_T_504, 4) @[Bitwise.scala 103:21] - node _T_508 = and(_T_507, _T_506) @[Bitwise.scala 103:31] - node _T_509 = bits(_T_504, 3, 0) @[Bitwise.scala 103:46] - node _T_510 = shl(_T_509, 4) @[Bitwise.scala 103:65] - node _T_511 = not(_T_506) @[Bitwise.scala 103:77] - node _T_512 = and(_T_510, _T_511) @[Bitwise.scala 103:75] - node _T_513 = or(_T_508, _T_512) @[Bitwise.scala 103:39] - node _T_514 = bits(_T_506, 5, 0) @[Bitwise.scala 102:28] - node _T_515 = shl(_T_514, 2) @[Bitwise.scala 102:47] - node _T_516 = xor(_T_506, _T_515) @[Bitwise.scala 102:21] - node _T_517 = shr(_T_513, 2) @[Bitwise.scala 103:21] - node _T_518 = and(_T_517, _T_516) @[Bitwise.scala 103:31] - node _T_519 = bits(_T_513, 5, 0) @[Bitwise.scala 103:46] - node _T_520 = shl(_T_519, 2) @[Bitwise.scala 103:65] - node _T_521 = not(_T_516) @[Bitwise.scala 103:77] - node _T_522 = and(_T_520, _T_521) @[Bitwise.scala 103:75] - node _T_523 = or(_T_518, _T_522) @[Bitwise.scala 103:39] - node _T_524 = bits(_T_516, 6, 0) @[Bitwise.scala 102:28] - node _T_525 = shl(_T_524, 1) @[Bitwise.scala 102:47] - node _T_526 = xor(_T_516, _T_525) @[Bitwise.scala 102:21] - node _T_527 = shr(_T_523, 1) @[Bitwise.scala 103:21] - node _T_528 = and(_T_527, _T_526) @[Bitwise.scala 103:31] - node _T_529 = bits(_T_523, 6, 0) @[Bitwise.scala 103:46] - node _T_530 = shl(_T_529, 1) @[Bitwise.scala 103:65] - node _T_531 = not(_T_526) @[Bitwise.scala 103:77] - node _T_532 = and(_T_530, _T_531) @[Bitwise.scala 103:75] - node _T_533 = or(_T_528, _T_532) @[Bitwise.scala 103:39] - node _T_534 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_535 = bits(_T_534, 3, 3) @[lsu_dccm_ctl.scala 156:134] - node _T_536 = bits(_T_535, 0, 0) @[lsu_dccm_ctl.scala 156:139] - node _T_537 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_538 = bits(_T_537, 31, 24) @[lsu_dccm_ctl.scala 156:196] - node _T_539 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] - node _T_540 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 156:253] - node _T_541 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_542 = mux(_T_541, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_543 = bits(dccm_rdata_m, 31, 24) @[lsu_dccm_ctl.scala 156:308] - node _T_544 = and(_T_542, _T_543) @[lsu_dccm_ctl.scala 156:294] - node _T_545 = mux(_T_539, _T_540, _T_544) @[lsu_dccm_ctl.scala 156:214] - node _T_546 = mux(_T_536, _T_538, _T_545) @[lsu_dccm_ctl.scala 156:78] - node _T_547 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_548 = xor(UInt<8>("h0ff"), _T_547) @[Bitwise.scala 102:21] - node _T_549 = shr(_T_546, 4) @[Bitwise.scala 103:21] - node _T_550 = and(_T_549, _T_548) @[Bitwise.scala 103:31] - node _T_551 = bits(_T_546, 3, 0) @[Bitwise.scala 103:46] - node _T_552 = shl(_T_551, 4) @[Bitwise.scala 103:65] - node _T_553 = not(_T_548) @[Bitwise.scala 103:77] - node _T_554 = and(_T_552, _T_553) @[Bitwise.scala 103:75] - node _T_555 = or(_T_550, _T_554) @[Bitwise.scala 103:39] - node _T_556 = bits(_T_548, 5, 0) @[Bitwise.scala 102:28] - node _T_557 = shl(_T_556, 2) @[Bitwise.scala 102:47] - node _T_558 = xor(_T_548, _T_557) @[Bitwise.scala 102:21] - node _T_559 = shr(_T_555, 2) @[Bitwise.scala 103:21] - node _T_560 = and(_T_559, _T_558) @[Bitwise.scala 103:31] - node _T_561 = bits(_T_555, 5, 0) @[Bitwise.scala 103:46] - node _T_562 = shl(_T_561, 2) @[Bitwise.scala 103:65] - node _T_563 = not(_T_558) @[Bitwise.scala 103:77] - node _T_564 = and(_T_562, _T_563) @[Bitwise.scala 103:75] - node _T_565 = or(_T_560, _T_564) @[Bitwise.scala 103:39] - node _T_566 = bits(_T_558, 6, 0) @[Bitwise.scala 102:28] - node _T_567 = shl(_T_566, 1) @[Bitwise.scala 102:47] - node _T_568 = xor(_T_558, _T_567) @[Bitwise.scala 102:21] - node _T_569 = shr(_T_565, 1) @[Bitwise.scala 103:21] - node _T_570 = and(_T_569, _T_568) @[Bitwise.scala 103:31] - node _T_571 = bits(_T_565, 6, 0) @[Bitwise.scala 103:46] - node _T_572 = shl(_T_571, 1) @[Bitwise.scala 103:65] - node _T_573 = not(_T_568) @[Bitwise.scala 103:77] - node _T_574 = and(_T_572, _T_573) @[Bitwise.scala 103:75] - node _T_575 = or(_T_570, _T_574) @[Bitwise.scala 103:39] - node _T_576 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_577 = bits(_T_576, 4, 4) @[lsu_dccm_ctl.scala 156:134] - node _T_578 = bits(_T_577, 0, 0) @[lsu_dccm_ctl.scala 156:139] - node _T_579 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_580 = bits(_T_579, 39, 32) @[lsu_dccm_ctl.scala 156:196] - node _T_581 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] - node _T_582 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 156:253] - node _T_583 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_584 = mux(_T_583, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_585 = bits(dccm_rdata_m, 39, 32) @[lsu_dccm_ctl.scala 156:308] - node _T_586 = and(_T_584, _T_585) @[lsu_dccm_ctl.scala 156:294] - node _T_587 = mux(_T_581, _T_582, _T_586) @[lsu_dccm_ctl.scala 156:214] - node _T_588 = mux(_T_578, _T_580, _T_587) @[lsu_dccm_ctl.scala 156:78] - node _T_589 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_590 = xor(UInt<8>("h0ff"), _T_589) @[Bitwise.scala 102:21] - node _T_591 = shr(_T_588, 4) @[Bitwise.scala 103:21] - node _T_592 = and(_T_591, _T_590) @[Bitwise.scala 103:31] - node _T_593 = bits(_T_588, 3, 0) @[Bitwise.scala 103:46] - node _T_594 = shl(_T_593, 4) @[Bitwise.scala 103:65] - node _T_595 = not(_T_590) @[Bitwise.scala 103:77] - node _T_596 = and(_T_594, _T_595) @[Bitwise.scala 103:75] - node _T_597 = or(_T_592, _T_596) @[Bitwise.scala 103:39] - node _T_598 = bits(_T_590, 5, 0) @[Bitwise.scala 102:28] - node _T_599 = shl(_T_598, 2) @[Bitwise.scala 102:47] - node _T_600 = xor(_T_590, _T_599) @[Bitwise.scala 102:21] - node _T_601 = shr(_T_597, 2) @[Bitwise.scala 103:21] - node _T_602 = and(_T_601, _T_600) @[Bitwise.scala 103:31] - node _T_603 = bits(_T_597, 5, 0) @[Bitwise.scala 103:46] - node _T_604 = shl(_T_603, 2) @[Bitwise.scala 103:65] - node _T_605 = not(_T_600) @[Bitwise.scala 103:77] - node _T_606 = and(_T_604, _T_605) @[Bitwise.scala 103:75] - node _T_607 = or(_T_602, _T_606) @[Bitwise.scala 103:39] - node _T_608 = bits(_T_600, 6, 0) @[Bitwise.scala 102:28] - node _T_609 = shl(_T_608, 1) @[Bitwise.scala 102:47] - node _T_610 = xor(_T_600, _T_609) @[Bitwise.scala 102:21] - node _T_611 = shr(_T_607, 1) @[Bitwise.scala 103:21] - node _T_612 = and(_T_611, _T_610) @[Bitwise.scala 103:31] - node _T_613 = bits(_T_607, 6, 0) @[Bitwise.scala 103:46] - node _T_614 = shl(_T_613, 1) @[Bitwise.scala 103:65] - node _T_615 = not(_T_610) @[Bitwise.scala 103:77] - node _T_616 = and(_T_614, _T_615) @[Bitwise.scala 103:75] - node _T_617 = or(_T_612, _T_616) @[Bitwise.scala 103:39] - node _T_618 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_619 = bits(_T_618, 5, 5) @[lsu_dccm_ctl.scala 156:134] - node _T_620 = bits(_T_619, 0, 0) @[lsu_dccm_ctl.scala 156:139] - node _T_621 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_622 = bits(_T_621, 47, 40) @[lsu_dccm_ctl.scala 156:196] - node _T_623 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] - node _T_624 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 156:253] - node _T_625 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_626 = mux(_T_625, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_627 = bits(dccm_rdata_m, 47, 40) @[lsu_dccm_ctl.scala 156:308] - node _T_628 = and(_T_626, _T_627) @[lsu_dccm_ctl.scala 156:294] - node _T_629 = mux(_T_623, _T_624, _T_628) @[lsu_dccm_ctl.scala 156:214] - node _T_630 = mux(_T_620, _T_622, _T_629) @[lsu_dccm_ctl.scala 156:78] - node _T_631 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_632 = xor(UInt<8>("h0ff"), _T_631) @[Bitwise.scala 102:21] - node _T_633 = shr(_T_630, 4) @[Bitwise.scala 103:21] - node _T_634 = and(_T_633, _T_632) @[Bitwise.scala 103:31] - node _T_635 = bits(_T_630, 3, 0) @[Bitwise.scala 103:46] - node _T_636 = shl(_T_635, 4) @[Bitwise.scala 103:65] - node _T_637 = not(_T_632) @[Bitwise.scala 103:77] - node _T_638 = and(_T_636, _T_637) @[Bitwise.scala 103:75] - node _T_639 = or(_T_634, _T_638) @[Bitwise.scala 103:39] - node _T_640 = bits(_T_632, 5, 0) @[Bitwise.scala 102:28] - node _T_641 = shl(_T_640, 2) @[Bitwise.scala 102:47] - node _T_642 = xor(_T_632, _T_641) @[Bitwise.scala 102:21] - node _T_643 = shr(_T_639, 2) @[Bitwise.scala 103:21] - node _T_644 = and(_T_643, _T_642) @[Bitwise.scala 103:31] - node _T_645 = bits(_T_639, 5, 0) @[Bitwise.scala 103:46] - node _T_646 = shl(_T_645, 2) @[Bitwise.scala 103:65] - node _T_647 = not(_T_642) @[Bitwise.scala 103:77] - node _T_648 = and(_T_646, _T_647) @[Bitwise.scala 103:75] - node _T_649 = or(_T_644, _T_648) @[Bitwise.scala 103:39] - node _T_650 = bits(_T_642, 6, 0) @[Bitwise.scala 102:28] - node _T_651 = shl(_T_650, 1) @[Bitwise.scala 102:47] - node _T_652 = xor(_T_642, _T_651) @[Bitwise.scala 102:21] - node _T_653 = shr(_T_649, 1) @[Bitwise.scala 103:21] - node _T_654 = and(_T_653, _T_652) @[Bitwise.scala 103:31] - node _T_655 = bits(_T_649, 6, 0) @[Bitwise.scala 103:46] - node _T_656 = shl(_T_655, 1) @[Bitwise.scala 103:65] - node _T_657 = not(_T_652) @[Bitwise.scala 103:77] - node _T_658 = and(_T_656, _T_657) @[Bitwise.scala 103:75] - node _T_659 = or(_T_654, _T_658) @[Bitwise.scala 103:39] - node _T_660 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_661 = bits(_T_660, 6, 6) @[lsu_dccm_ctl.scala 156:134] - node _T_662 = bits(_T_661, 0, 0) @[lsu_dccm_ctl.scala 156:139] - node _T_663 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_664 = bits(_T_663, 55, 48) @[lsu_dccm_ctl.scala 156:196] - node _T_665 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] - node _T_666 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 156:253] - node _T_667 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_668 = mux(_T_667, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_669 = bits(dccm_rdata_m, 55, 48) @[lsu_dccm_ctl.scala 156:308] - node _T_670 = and(_T_668, _T_669) @[lsu_dccm_ctl.scala 156:294] - node _T_671 = mux(_T_665, _T_666, _T_670) @[lsu_dccm_ctl.scala 156:214] - node _T_672 = mux(_T_662, _T_664, _T_671) @[lsu_dccm_ctl.scala 156:78] - node _T_673 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_674 = xor(UInt<8>("h0ff"), _T_673) @[Bitwise.scala 102:21] - node _T_675 = shr(_T_672, 4) @[Bitwise.scala 103:21] - node _T_676 = and(_T_675, _T_674) @[Bitwise.scala 103:31] - node _T_677 = bits(_T_672, 3, 0) @[Bitwise.scala 103:46] - node _T_678 = shl(_T_677, 4) @[Bitwise.scala 103:65] - node _T_679 = not(_T_674) @[Bitwise.scala 103:77] - node _T_680 = and(_T_678, _T_679) @[Bitwise.scala 103:75] - node _T_681 = or(_T_676, _T_680) @[Bitwise.scala 103:39] - node _T_682 = bits(_T_674, 5, 0) @[Bitwise.scala 102:28] - node _T_683 = shl(_T_682, 2) @[Bitwise.scala 102:47] - node _T_684 = xor(_T_674, _T_683) @[Bitwise.scala 102:21] - node _T_685 = shr(_T_681, 2) @[Bitwise.scala 103:21] - node _T_686 = and(_T_685, _T_684) @[Bitwise.scala 103:31] - node _T_687 = bits(_T_681, 5, 0) @[Bitwise.scala 103:46] - node _T_688 = shl(_T_687, 2) @[Bitwise.scala 103:65] - node _T_689 = not(_T_684) @[Bitwise.scala 103:77] - node _T_690 = and(_T_688, _T_689) @[Bitwise.scala 103:75] - node _T_691 = or(_T_686, _T_690) @[Bitwise.scala 103:39] - node _T_692 = bits(_T_684, 6, 0) @[Bitwise.scala 102:28] - node _T_693 = shl(_T_692, 1) @[Bitwise.scala 102:47] - node _T_694 = xor(_T_684, _T_693) @[Bitwise.scala 102:21] - node _T_695 = shr(_T_691, 1) @[Bitwise.scala 103:21] - node _T_696 = and(_T_695, _T_694) @[Bitwise.scala 103:31] - node _T_697 = bits(_T_691, 6, 0) @[Bitwise.scala 103:46] - node _T_698 = shl(_T_697, 1) @[Bitwise.scala 103:65] - node _T_699 = not(_T_694) @[Bitwise.scala 103:77] - node _T_700 = and(_T_698, _T_699) @[Bitwise.scala 103:75] - node _T_701 = or(_T_696, _T_700) @[Bitwise.scala 103:39] - node _T_702 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] - node _T_703 = bits(_T_702, 7, 7) @[lsu_dccm_ctl.scala 156:134] - node _T_704 = bits(_T_703, 0, 0) @[lsu_dccm_ctl.scala 156:139] - node _T_705 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] - node _T_706 = bits(_T_705, 63, 56) @[lsu_dccm_ctl.scala 156:196] - node _T_707 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] - node _T_708 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 156:253] - node _T_709 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] - node _T_710 = mux(_T_709, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_711 = bits(dccm_rdata_m, 63, 56) @[lsu_dccm_ctl.scala 156:308] - node _T_712 = and(_T_710, _T_711) @[lsu_dccm_ctl.scala 156:294] - node _T_713 = mux(_T_707, _T_708, _T_712) @[lsu_dccm_ctl.scala 156:214] - node _T_714 = mux(_T_704, _T_706, _T_713) @[lsu_dccm_ctl.scala 156:78] - node _T_715 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_716 = xor(UInt<8>("h0ff"), _T_715) @[Bitwise.scala 102:21] - node _T_717 = shr(_T_714, 4) @[Bitwise.scala 103:21] - node _T_718 = and(_T_717, _T_716) @[Bitwise.scala 103:31] - node _T_719 = bits(_T_714, 3, 0) @[Bitwise.scala 103:46] - node _T_720 = shl(_T_719, 4) @[Bitwise.scala 103:65] - node _T_721 = not(_T_716) @[Bitwise.scala 103:77] - node _T_722 = and(_T_720, _T_721) @[Bitwise.scala 103:75] - node _T_723 = or(_T_718, _T_722) @[Bitwise.scala 103:39] - node _T_724 = bits(_T_716, 5, 0) @[Bitwise.scala 102:28] - node _T_725 = shl(_T_724, 2) @[Bitwise.scala 102:47] - node _T_726 = xor(_T_716, _T_725) @[Bitwise.scala 102:21] - node _T_727 = shr(_T_723, 2) @[Bitwise.scala 103:21] - node _T_728 = and(_T_727, _T_726) @[Bitwise.scala 103:31] - node _T_729 = bits(_T_723, 5, 0) @[Bitwise.scala 103:46] - node _T_730 = shl(_T_729, 2) @[Bitwise.scala 103:65] - node _T_731 = not(_T_726) @[Bitwise.scala 103:77] - node _T_732 = and(_T_730, _T_731) @[Bitwise.scala 103:75] - node _T_733 = or(_T_728, _T_732) @[Bitwise.scala 103:39] - node _T_734 = bits(_T_726, 6, 0) @[Bitwise.scala 102:28] - node _T_735 = shl(_T_734, 1) @[Bitwise.scala 102:47] - node _T_736 = xor(_T_726, _T_735) @[Bitwise.scala 102:21] - node _T_737 = shr(_T_733, 1) @[Bitwise.scala 103:21] - node _T_738 = and(_T_737, _T_736) @[Bitwise.scala 103:31] - node _T_739 = bits(_T_733, 6, 0) @[Bitwise.scala 103:46] - node _T_740 = shl(_T_739, 1) @[Bitwise.scala 103:65] - node _T_741 = not(_T_736) @[Bitwise.scala 103:77] - node _T_742 = and(_T_740, _T_741) @[Bitwise.scala 103:75] - node _T_743 = or(_T_738, _T_742) @[Bitwise.scala 103:39] - wire _T_744 : UInt<8>[8] @[lsu_dccm_ctl.scala 156:62] - _T_744[0] <= _T_449 @[lsu_dccm_ctl.scala 156:62] - _T_744[1] <= _T_491 @[lsu_dccm_ctl.scala 156:62] - _T_744[2] <= _T_533 @[lsu_dccm_ctl.scala 156:62] - _T_744[3] <= _T_575 @[lsu_dccm_ctl.scala 156:62] - _T_744[4] <= _T_617 @[lsu_dccm_ctl.scala 156:62] - _T_744[5] <= _T_659 @[lsu_dccm_ctl.scala 156:62] - _T_744[6] <= _T_701 @[lsu_dccm_ctl.scala 156:62] - _T_744[7] <= _T_743 @[lsu_dccm_ctl.scala 156:62] - node _T_745 = cat(_T_744[6], _T_744[7]) @[Cat.scala 29:58] - node _T_746 = cat(_T_744[4], _T_744[5]) @[Cat.scala 29:58] - node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] - node _T_748 = cat(_T_744[2], _T_744[3]) @[Cat.scala 29:58] - node _T_749 = cat(_T_744[0], _T_744[1]) @[Cat.scala 29:58] - node _T_750 = cat(_T_749, _T_748) @[Cat.scala 29:58] - node _T_751 = cat(_T_750, _T_747) @[Cat.scala 29:58] - node _T_752 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] - node _T_753 = xor(UInt<64>("h0ffffffffffffffff"), _T_752) @[Bitwise.scala 102:21] - node _T_754 = shr(_T_751, 32) @[Bitwise.scala 103:21] - node _T_755 = and(_T_754, _T_753) @[Bitwise.scala 103:31] - node _T_756 = bits(_T_751, 31, 0) @[Bitwise.scala 103:46] - node _T_757 = shl(_T_756, 32) @[Bitwise.scala 103:65] - node _T_758 = not(_T_753) @[Bitwise.scala 103:77] - node _T_759 = and(_T_757, _T_758) @[Bitwise.scala 103:75] - node _T_760 = or(_T_755, _T_759) @[Bitwise.scala 103:39] - node _T_761 = bits(_T_753, 47, 0) @[Bitwise.scala 102:28] - node _T_762 = shl(_T_761, 16) @[Bitwise.scala 102:47] - node _T_763 = xor(_T_753, _T_762) @[Bitwise.scala 102:21] - node _T_764 = shr(_T_760, 16) @[Bitwise.scala 103:21] - node _T_765 = and(_T_764, _T_763) @[Bitwise.scala 103:31] - node _T_766 = bits(_T_760, 47, 0) @[Bitwise.scala 103:46] - node _T_767 = shl(_T_766, 16) @[Bitwise.scala 103:65] - node _T_768 = not(_T_763) @[Bitwise.scala 103:77] - node _T_769 = and(_T_767, _T_768) @[Bitwise.scala 103:75] - node _T_770 = or(_T_765, _T_769) @[Bitwise.scala 103:39] - node _T_771 = bits(_T_763, 55, 0) @[Bitwise.scala 102:28] - node _T_772 = shl(_T_771, 8) @[Bitwise.scala 102:47] - node _T_773 = xor(_T_763, _T_772) @[Bitwise.scala 102:21] - node _T_774 = shr(_T_770, 8) @[Bitwise.scala 103:21] - node _T_775 = and(_T_774, _T_773) @[Bitwise.scala 103:31] - node _T_776 = bits(_T_770, 55, 0) @[Bitwise.scala 103:46] - node _T_777 = shl(_T_776, 8) @[Bitwise.scala 103:65] - node _T_778 = not(_T_773) @[Bitwise.scala 103:77] - node _T_779 = and(_T_777, _T_778) @[Bitwise.scala 103:75] - node _T_780 = or(_T_775, _T_779) @[Bitwise.scala 103:39] - node _T_781 = bits(_T_773, 59, 0) @[Bitwise.scala 102:28] - node _T_782 = shl(_T_781, 4) @[Bitwise.scala 102:47] - node _T_783 = xor(_T_773, _T_782) @[Bitwise.scala 102:21] - node _T_784 = shr(_T_780, 4) @[Bitwise.scala 103:21] - node _T_785 = and(_T_784, _T_783) @[Bitwise.scala 103:31] - node _T_786 = bits(_T_780, 59, 0) @[Bitwise.scala 103:46] - node _T_787 = shl(_T_786, 4) @[Bitwise.scala 103:65] - node _T_788 = not(_T_783) @[Bitwise.scala 103:77] - node _T_789 = and(_T_787, _T_788) @[Bitwise.scala 103:75] - node _T_790 = or(_T_785, _T_789) @[Bitwise.scala 103:39] - node _T_791 = bits(_T_783, 61, 0) @[Bitwise.scala 102:28] - node _T_792 = shl(_T_791, 2) @[Bitwise.scala 102:47] - node _T_793 = xor(_T_783, _T_792) @[Bitwise.scala 102:21] - node _T_794 = shr(_T_790, 2) @[Bitwise.scala 103:21] - node _T_795 = and(_T_794, _T_793) @[Bitwise.scala 103:31] - node _T_796 = bits(_T_790, 61, 0) @[Bitwise.scala 103:46] - node _T_797 = shl(_T_796, 2) @[Bitwise.scala 103:65] - node _T_798 = not(_T_793) @[Bitwise.scala 103:77] - node _T_799 = and(_T_797, _T_798) @[Bitwise.scala 103:75] - node _T_800 = or(_T_795, _T_799) @[Bitwise.scala 103:39] - node _T_801 = bits(_T_793, 62, 0) @[Bitwise.scala 102:28] - node _T_802 = shl(_T_801, 1) @[Bitwise.scala 102:47] - node _T_803 = xor(_T_793, _T_802) @[Bitwise.scala 102:21] - node _T_804 = shr(_T_800, 1) @[Bitwise.scala 103:21] - node _T_805 = and(_T_804, _T_803) @[Bitwise.scala 103:31] - node _T_806 = bits(_T_800, 62, 0) @[Bitwise.scala 103:46] - node _T_807 = shl(_T_806, 1) @[Bitwise.scala 103:65] - node _T_808 = not(_T_803) @[Bitwise.scala 103:77] - node _T_809 = and(_T_807, _T_808) @[Bitwise.scala 103:75] - node _T_810 = or(_T_805, _T_809) @[Bitwise.scala 103:39] - lsu_rdata_m <= _T_810 @[lsu_dccm_ctl.scala 156:28] - node _T_811 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 157:78] - node _T_812 = or(io.addr_in_pic_m, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 157:123] - node _T_813 = and(_T_811, _T_812) @[lsu_dccm_ctl.scala 157:103] - node _T_814 = or(_T_813, io.clk_override) @[lsu_dccm_ctl.scala 157:145] - node _T_815 = bits(_T_814, 0, 0) @[lib.scala 8:44] - node _T_816 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + node _T_6 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_7 = bits(_T_6, 0, 0) @[lsu_dccm_ctl.scala 155:134] + node _T_8 = bits(_T_7, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_9 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_10 = bits(_T_9, 7, 0) @[lsu_dccm_ctl.scala 155:196] + node _T_11 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_12 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 155:253] + node _T_13 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_14 = mux(_T_13, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_15 = bits(dccm_rdata_corr_m, 7, 0) @[lsu_dccm_ctl.scala 155:313] + node _T_16 = and(_T_14, _T_15) @[lsu_dccm_ctl.scala 155:294] + node _T_17 = mux(_T_11, _T_12, _T_16) @[lsu_dccm_ctl.scala 155:214] + node _T_18 = mux(_T_8, _T_10, _T_17) @[lsu_dccm_ctl.scala 155:78] + node _T_19 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_20 = xor(UInt<8>("h0ff"), _T_19) @[Bitwise.scala 102:21] + node _T_21 = shr(_T_18, 4) @[Bitwise.scala 103:21] + node _T_22 = and(_T_21, _T_20) @[Bitwise.scala 103:31] + node _T_23 = bits(_T_18, 3, 0) @[Bitwise.scala 103:46] + node _T_24 = shl(_T_23, 4) @[Bitwise.scala 103:65] + node _T_25 = not(_T_20) @[Bitwise.scala 103:77] + node _T_26 = and(_T_24, _T_25) @[Bitwise.scala 103:75] + node _T_27 = or(_T_22, _T_26) @[Bitwise.scala 103:39] + node _T_28 = bits(_T_20, 5, 0) @[Bitwise.scala 102:28] + node _T_29 = shl(_T_28, 2) @[Bitwise.scala 102:47] + node _T_30 = xor(_T_20, _T_29) @[Bitwise.scala 102:21] + node _T_31 = shr(_T_27, 2) @[Bitwise.scala 103:21] + node _T_32 = and(_T_31, _T_30) @[Bitwise.scala 103:31] + node _T_33 = bits(_T_27, 5, 0) @[Bitwise.scala 103:46] + node _T_34 = shl(_T_33, 2) @[Bitwise.scala 103:65] + node _T_35 = not(_T_30) @[Bitwise.scala 103:77] + node _T_36 = and(_T_34, _T_35) @[Bitwise.scala 103:75] + node _T_37 = or(_T_32, _T_36) @[Bitwise.scala 103:39] + node _T_38 = bits(_T_30, 6, 0) @[Bitwise.scala 102:28] + node _T_39 = shl(_T_38, 1) @[Bitwise.scala 102:47] + node _T_40 = xor(_T_30, _T_39) @[Bitwise.scala 102:21] + node _T_41 = shr(_T_37, 1) @[Bitwise.scala 103:21] + node _T_42 = and(_T_41, _T_40) @[Bitwise.scala 103:31] + node _T_43 = bits(_T_37, 6, 0) @[Bitwise.scala 103:46] + node _T_44 = shl(_T_43, 1) @[Bitwise.scala 103:65] + node _T_45 = not(_T_40) @[Bitwise.scala 103:77] + node _T_46 = and(_T_44, _T_45) @[Bitwise.scala 103:75] + node _T_47 = or(_T_42, _T_46) @[Bitwise.scala 103:39] + node _T_48 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_49 = bits(_T_48, 1, 1) @[lsu_dccm_ctl.scala 155:134] + node _T_50 = bits(_T_49, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_51 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_52 = bits(_T_51, 15, 8) @[lsu_dccm_ctl.scala 155:196] + node _T_53 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_54 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 155:253] + node _T_55 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_56 = mux(_T_55, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_57 = bits(dccm_rdata_corr_m, 15, 8) @[lsu_dccm_ctl.scala 155:313] + node _T_58 = and(_T_56, _T_57) @[lsu_dccm_ctl.scala 155:294] + node _T_59 = mux(_T_53, _T_54, _T_58) @[lsu_dccm_ctl.scala 155:214] + node _T_60 = mux(_T_50, _T_52, _T_59) @[lsu_dccm_ctl.scala 155:78] + node _T_61 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_62 = xor(UInt<8>("h0ff"), _T_61) @[Bitwise.scala 102:21] + node _T_63 = shr(_T_60, 4) @[Bitwise.scala 103:21] + node _T_64 = and(_T_63, _T_62) @[Bitwise.scala 103:31] + node _T_65 = bits(_T_60, 3, 0) @[Bitwise.scala 103:46] + node _T_66 = shl(_T_65, 4) @[Bitwise.scala 103:65] + node _T_67 = not(_T_62) @[Bitwise.scala 103:77] + node _T_68 = and(_T_66, _T_67) @[Bitwise.scala 103:75] + node _T_69 = or(_T_64, _T_68) @[Bitwise.scala 103:39] + node _T_70 = bits(_T_62, 5, 0) @[Bitwise.scala 102:28] + node _T_71 = shl(_T_70, 2) @[Bitwise.scala 102:47] + node _T_72 = xor(_T_62, _T_71) @[Bitwise.scala 102:21] + node _T_73 = shr(_T_69, 2) @[Bitwise.scala 103:21] + node _T_74 = and(_T_73, _T_72) @[Bitwise.scala 103:31] + node _T_75 = bits(_T_69, 5, 0) @[Bitwise.scala 103:46] + node _T_76 = shl(_T_75, 2) @[Bitwise.scala 103:65] + node _T_77 = not(_T_72) @[Bitwise.scala 103:77] + node _T_78 = and(_T_76, _T_77) @[Bitwise.scala 103:75] + node _T_79 = or(_T_74, _T_78) @[Bitwise.scala 103:39] + node _T_80 = bits(_T_72, 6, 0) @[Bitwise.scala 102:28] + node _T_81 = shl(_T_80, 1) @[Bitwise.scala 102:47] + node _T_82 = xor(_T_72, _T_81) @[Bitwise.scala 102:21] + node _T_83 = shr(_T_79, 1) @[Bitwise.scala 103:21] + node _T_84 = and(_T_83, _T_82) @[Bitwise.scala 103:31] + node _T_85 = bits(_T_79, 6, 0) @[Bitwise.scala 103:46] + node _T_86 = shl(_T_85, 1) @[Bitwise.scala 103:65] + node _T_87 = not(_T_82) @[Bitwise.scala 103:77] + node _T_88 = and(_T_86, _T_87) @[Bitwise.scala 103:75] + node _T_89 = or(_T_84, _T_88) @[Bitwise.scala 103:39] + node _T_90 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_91 = bits(_T_90, 2, 2) @[lsu_dccm_ctl.scala 155:134] + node _T_92 = bits(_T_91, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_93 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_94 = bits(_T_93, 23, 16) @[lsu_dccm_ctl.scala 155:196] + node _T_95 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_96 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 155:253] + node _T_97 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_98 = mux(_T_97, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_99 = bits(dccm_rdata_corr_m, 23, 16) @[lsu_dccm_ctl.scala 155:313] + node _T_100 = and(_T_98, _T_99) @[lsu_dccm_ctl.scala 155:294] + node _T_101 = mux(_T_95, _T_96, _T_100) @[lsu_dccm_ctl.scala 155:214] + node _T_102 = mux(_T_92, _T_94, _T_101) @[lsu_dccm_ctl.scala 155:78] + node _T_103 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_104 = xor(UInt<8>("h0ff"), _T_103) @[Bitwise.scala 102:21] + node _T_105 = shr(_T_102, 4) @[Bitwise.scala 103:21] + node _T_106 = and(_T_105, _T_104) @[Bitwise.scala 103:31] + node _T_107 = bits(_T_102, 3, 0) @[Bitwise.scala 103:46] + node _T_108 = shl(_T_107, 4) @[Bitwise.scala 103:65] + node _T_109 = not(_T_104) @[Bitwise.scala 103:77] + node _T_110 = and(_T_108, _T_109) @[Bitwise.scala 103:75] + node _T_111 = or(_T_106, _T_110) @[Bitwise.scala 103:39] + node _T_112 = bits(_T_104, 5, 0) @[Bitwise.scala 102:28] + node _T_113 = shl(_T_112, 2) @[Bitwise.scala 102:47] + node _T_114 = xor(_T_104, _T_113) @[Bitwise.scala 102:21] + node _T_115 = shr(_T_111, 2) @[Bitwise.scala 103:21] + node _T_116 = and(_T_115, _T_114) @[Bitwise.scala 103:31] + node _T_117 = bits(_T_111, 5, 0) @[Bitwise.scala 103:46] + node _T_118 = shl(_T_117, 2) @[Bitwise.scala 103:65] + node _T_119 = not(_T_114) @[Bitwise.scala 103:77] + node _T_120 = and(_T_118, _T_119) @[Bitwise.scala 103:75] + node _T_121 = or(_T_116, _T_120) @[Bitwise.scala 103:39] + node _T_122 = bits(_T_114, 6, 0) @[Bitwise.scala 102:28] + node _T_123 = shl(_T_122, 1) @[Bitwise.scala 102:47] + node _T_124 = xor(_T_114, _T_123) @[Bitwise.scala 102:21] + node _T_125 = shr(_T_121, 1) @[Bitwise.scala 103:21] + node _T_126 = and(_T_125, _T_124) @[Bitwise.scala 103:31] + node _T_127 = bits(_T_121, 6, 0) @[Bitwise.scala 103:46] + node _T_128 = shl(_T_127, 1) @[Bitwise.scala 103:65] + node _T_129 = not(_T_124) @[Bitwise.scala 103:77] + node _T_130 = and(_T_128, _T_129) @[Bitwise.scala 103:75] + node _T_131 = or(_T_126, _T_130) @[Bitwise.scala 103:39] + node _T_132 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_133 = bits(_T_132, 3, 3) @[lsu_dccm_ctl.scala 155:134] + node _T_134 = bits(_T_133, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_135 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_136 = bits(_T_135, 31, 24) @[lsu_dccm_ctl.scala 155:196] + node _T_137 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_138 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 155:253] + node _T_139 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_140 = mux(_T_139, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_141 = bits(dccm_rdata_corr_m, 31, 24) @[lsu_dccm_ctl.scala 155:313] + node _T_142 = and(_T_140, _T_141) @[lsu_dccm_ctl.scala 155:294] + node _T_143 = mux(_T_137, _T_138, _T_142) @[lsu_dccm_ctl.scala 155:214] + node _T_144 = mux(_T_134, _T_136, _T_143) @[lsu_dccm_ctl.scala 155:78] + node _T_145 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_146 = xor(UInt<8>("h0ff"), _T_145) @[Bitwise.scala 102:21] + node _T_147 = shr(_T_144, 4) @[Bitwise.scala 103:21] + node _T_148 = and(_T_147, _T_146) @[Bitwise.scala 103:31] + node _T_149 = bits(_T_144, 3, 0) @[Bitwise.scala 103:46] + node _T_150 = shl(_T_149, 4) @[Bitwise.scala 103:65] + node _T_151 = not(_T_146) @[Bitwise.scala 103:77] + node _T_152 = and(_T_150, _T_151) @[Bitwise.scala 103:75] + node _T_153 = or(_T_148, _T_152) @[Bitwise.scala 103:39] + node _T_154 = bits(_T_146, 5, 0) @[Bitwise.scala 102:28] + node _T_155 = shl(_T_154, 2) @[Bitwise.scala 102:47] + node _T_156 = xor(_T_146, _T_155) @[Bitwise.scala 102:21] + node _T_157 = shr(_T_153, 2) @[Bitwise.scala 103:21] + node _T_158 = and(_T_157, _T_156) @[Bitwise.scala 103:31] + node _T_159 = bits(_T_153, 5, 0) @[Bitwise.scala 103:46] + node _T_160 = shl(_T_159, 2) @[Bitwise.scala 103:65] + node _T_161 = not(_T_156) @[Bitwise.scala 103:77] + node _T_162 = and(_T_160, _T_161) @[Bitwise.scala 103:75] + node _T_163 = or(_T_158, _T_162) @[Bitwise.scala 103:39] + node _T_164 = bits(_T_156, 6, 0) @[Bitwise.scala 102:28] + node _T_165 = shl(_T_164, 1) @[Bitwise.scala 102:47] + node _T_166 = xor(_T_156, _T_165) @[Bitwise.scala 102:21] + node _T_167 = shr(_T_163, 1) @[Bitwise.scala 103:21] + node _T_168 = and(_T_167, _T_166) @[Bitwise.scala 103:31] + node _T_169 = bits(_T_163, 6, 0) @[Bitwise.scala 103:46] + node _T_170 = shl(_T_169, 1) @[Bitwise.scala 103:65] + node _T_171 = not(_T_166) @[Bitwise.scala 103:77] + node _T_172 = and(_T_170, _T_171) @[Bitwise.scala 103:75] + node _T_173 = or(_T_168, _T_172) @[Bitwise.scala 103:39] + node _T_174 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_175 = bits(_T_174, 4, 4) @[lsu_dccm_ctl.scala 155:134] + node _T_176 = bits(_T_175, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_177 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_178 = bits(_T_177, 39, 32) @[lsu_dccm_ctl.scala 155:196] + node _T_179 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_180 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 155:253] + node _T_181 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_182 = mux(_T_181, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_183 = bits(dccm_rdata_corr_m, 39, 32) @[lsu_dccm_ctl.scala 155:313] + node _T_184 = and(_T_182, _T_183) @[lsu_dccm_ctl.scala 155:294] + node _T_185 = mux(_T_179, _T_180, _T_184) @[lsu_dccm_ctl.scala 155:214] + node _T_186 = mux(_T_176, _T_178, _T_185) @[lsu_dccm_ctl.scala 155:78] + node _T_187 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_188 = xor(UInt<8>("h0ff"), _T_187) @[Bitwise.scala 102:21] + node _T_189 = shr(_T_186, 4) @[Bitwise.scala 103:21] + node _T_190 = and(_T_189, _T_188) @[Bitwise.scala 103:31] + node _T_191 = bits(_T_186, 3, 0) @[Bitwise.scala 103:46] + node _T_192 = shl(_T_191, 4) @[Bitwise.scala 103:65] + node _T_193 = not(_T_188) @[Bitwise.scala 103:77] + node _T_194 = and(_T_192, _T_193) @[Bitwise.scala 103:75] + node _T_195 = or(_T_190, _T_194) @[Bitwise.scala 103:39] + node _T_196 = bits(_T_188, 5, 0) @[Bitwise.scala 102:28] + node _T_197 = shl(_T_196, 2) @[Bitwise.scala 102:47] + node _T_198 = xor(_T_188, _T_197) @[Bitwise.scala 102:21] + node _T_199 = shr(_T_195, 2) @[Bitwise.scala 103:21] + node _T_200 = and(_T_199, _T_198) @[Bitwise.scala 103:31] + node _T_201 = bits(_T_195, 5, 0) @[Bitwise.scala 103:46] + node _T_202 = shl(_T_201, 2) @[Bitwise.scala 103:65] + node _T_203 = not(_T_198) @[Bitwise.scala 103:77] + node _T_204 = and(_T_202, _T_203) @[Bitwise.scala 103:75] + node _T_205 = or(_T_200, _T_204) @[Bitwise.scala 103:39] + node _T_206 = bits(_T_198, 6, 0) @[Bitwise.scala 102:28] + node _T_207 = shl(_T_206, 1) @[Bitwise.scala 102:47] + node _T_208 = xor(_T_198, _T_207) @[Bitwise.scala 102:21] + node _T_209 = shr(_T_205, 1) @[Bitwise.scala 103:21] + node _T_210 = and(_T_209, _T_208) @[Bitwise.scala 103:31] + node _T_211 = bits(_T_205, 6, 0) @[Bitwise.scala 103:46] + node _T_212 = shl(_T_211, 1) @[Bitwise.scala 103:65] + node _T_213 = not(_T_208) @[Bitwise.scala 103:77] + node _T_214 = and(_T_212, _T_213) @[Bitwise.scala 103:75] + node _T_215 = or(_T_210, _T_214) @[Bitwise.scala 103:39] + node _T_216 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_217 = bits(_T_216, 5, 5) @[lsu_dccm_ctl.scala 155:134] + node _T_218 = bits(_T_217, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_219 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_220 = bits(_T_219, 47, 40) @[lsu_dccm_ctl.scala 155:196] + node _T_221 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_222 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 155:253] + node _T_223 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_224 = mux(_T_223, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_225 = bits(dccm_rdata_corr_m, 47, 40) @[lsu_dccm_ctl.scala 155:313] + node _T_226 = and(_T_224, _T_225) @[lsu_dccm_ctl.scala 155:294] + node _T_227 = mux(_T_221, _T_222, _T_226) @[lsu_dccm_ctl.scala 155:214] + node _T_228 = mux(_T_218, _T_220, _T_227) @[lsu_dccm_ctl.scala 155:78] + node _T_229 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_230 = xor(UInt<8>("h0ff"), _T_229) @[Bitwise.scala 102:21] + node _T_231 = shr(_T_228, 4) @[Bitwise.scala 103:21] + node _T_232 = and(_T_231, _T_230) @[Bitwise.scala 103:31] + node _T_233 = bits(_T_228, 3, 0) @[Bitwise.scala 103:46] + node _T_234 = shl(_T_233, 4) @[Bitwise.scala 103:65] + node _T_235 = not(_T_230) @[Bitwise.scala 103:77] + node _T_236 = and(_T_234, _T_235) @[Bitwise.scala 103:75] + node _T_237 = or(_T_232, _T_236) @[Bitwise.scala 103:39] + node _T_238 = bits(_T_230, 5, 0) @[Bitwise.scala 102:28] + node _T_239 = shl(_T_238, 2) @[Bitwise.scala 102:47] + node _T_240 = xor(_T_230, _T_239) @[Bitwise.scala 102:21] + node _T_241 = shr(_T_237, 2) @[Bitwise.scala 103:21] + node _T_242 = and(_T_241, _T_240) @[Bitwise.scala 103:31] + node _T_243 = bits(_T_237, 5, 0) @[Bitwise.scala 103:46] + node _T_244 = shl(_T_243, 2) @[Bitwise.scala 103:65] + node _T_245 = not(_T_240) @[Bitwise.scala 103:77] + node _T_246 = and(_T_244, _T_245) @[Bitwise.scala 103:75] + node _T_247 = or(_T_242, _T_246) @[Bitwise.scala 103:39] + node _T_248 = bits(_T_240, 6, 0) @[Bitwise.scala 102:28] + node _T_249 = shl(_T_248, 1) @[Bitwise.scala 102:47] + node _T_250 = xor(_T_240, _T_249) @[Bitwise.scala 102:21] + node _T_251 = shr(_T_247, 1) @[Bitwise.scala 103:21] + node _T_252 = and(_T_251, _T_250) @[Bitwise.scala 103:31] + node _T_253 = bits(_T_247, 6, 0) @[Bitwise.scala 103:46] + node _T_254 = shl(_T_253, 1) @[Bitwise.scala 103:65] + node _T_255 = not(_T_250) @[Bitwise.scala 103:77] + node _T_256 = and(_T_254, _T_255) @[Bitwise.scala 103:75] + node _T_257 = or(_T_252, _T_256) @[Bitwise.scala 103:39] + node _T_258 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_259 = bits(_T_258, 6, 6) @[lsu_dccm_ctl.scala 155:134] + node _T_260 = bits(_T_259, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_261 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_262 = bits(_T_261, 55, 48) @[lsu_dccm_ctl.scala 155:196] + node _T_263 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_264 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 155:253] + node _T_265 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_266 = mux(_T_265, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_267 = bits(dccm_rdata_corr_m, 55, 48) @[lsu_dccm_ctl.scala 155:313] + node _T_268 = and(_T_266, _T_267) @[lsu_dccm_ctl.scala 155:294] + node _T_269 = mux(_T_263, _T_264, _T_268) @[lsu_dccm_ctl.scala 155:214] + node _T_270 = mux(_T_260, _T_262, _T_269) @[lsu_dccm_ctl.scala 155:78] + node _T_271 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_272 = xor(UInt<8>("h0ff"), _T_271) @[Bitwise.scala 102:21] + node _T_273 = shr(_T_270, 4) @[Bitwise.scala 103:21] + node _T_274 = and(_T_273, _T_272) @[Bitwise.scala 103:31] + node _T_275 = bits(_T_270, 3, 0) @[Bitwise.scala 103:46] + node _T_276 = shl(_T_275, 4) @[Bitwise.scala 103:65] + node _T_277 = not(_T_272) @[Bitwise.scala 103:77] + node _T_278 = and(_T_276, _T_277) @[Bitwise.scala 103:75] + node _T_279 = or(_T_274, _T_278) @[Bitwise.scala 103:39] + node _T_280 = bits(_T_272, 5, 0) @[Bitwise.scala 102:28] + node _T_281 = shl(_T_280, 2) @[Bitwise.scala 102:47] + node _T_282 = xor(_T_272, _T_281) @[Bitwise.scala 102:21] + node _T_283 = shr(_T_279, 2) @[Bitwise.scala 103:21] + node _T_284 = and(_T_283, _T_282) @[Bitwise.scala 103:31] + node _T_285 = bits(_T_279, 5, 0) @[Bitwise.scala 103:46] + node _T_286 = shl(_T_285, 2) @[Bitwise.scala 103:65] + node _T_287 = not(_T_282) @[Bitwise.scala 103:77] + node _T_288 = and(_T_286, _T_287) @[Bitwise.scala 103:75] + node _T_289 = or(_T_284, _T_288) @[Bitwise.scala 103:39] + node _T_290 = bits(_T_282, 6, 0) @[Bitwise.scala 102:28] + node _T_291 = shl(_T_290, 1) @[Bitwise.scala 102:47] + node _T_292 = xor(_T_282, _T_291) @[Bitwise.scala 102:21] + node _T_293 = shr(_T_289, 1) @[Bitwise.scala 103:21] + node _T_294 = and(_T_293, _T_292) @[Bitwise.scala 103:31] + node _T_295 = bits(_T_289, 6, 0) @[Bitwise.scala 103:46] + node _T_296 = shl(_T_295, 1) @[Bitwise.scala 103:65] + node _T_297 = not(_T_292) @[Bitwise.scala 103:77] + node _T_298 = and(_T_296, _T_297) @[Bitwise.scala 103:75] + node _T_299 = or(_T_294, _T_298) @[Bitwise.scala 103:39] + node _T_300 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_301 = bits(_T_300, 7, 7) @[lsu_dccm_ctl.scala 155:134] + node _T_302 = bits(_T_301, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_303 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_304 = bits(_T_303, 63, 56) @[lsu_dccm_ctl.scala 155:196] + node _T_305 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_306 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 155:253] + node _T_307 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_308 = mux(_T_307, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_309 = bits(dccm_rdata_corr_m, 63, 56) @[lsu_dccm_ctl.scala 155:313] + node _T_310 = and(_T_308, _T_309) @[lsu_dccm_ctl.scala 155:294] + node _T_311 = mux(_T_305, _T_306, _T_310) @[lsu_dccm_ctl.scala 155:214] + node _T_312 = mux(_T_302, _T_304, _T_311) @[lsu_dccm_ctl.scala 155:78] + node _T_313 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_314 = xor(UInt<8>("h0ff"), _T_313) @[Bitwise.scala 102:21] + node _T_315 = shr(_T_312, 4) @[Bitwise.scala 103:21] + node _T_316 = and(_T_315, _T_314) @[Bitwise.scala 103:31] + node _T_317 = bits(_T_312, 3, 0) @[Bitwise.scala 103:46] + node _T_318 = shl(_T_317, 4) @[Bitwise.scala 103:65] + node _T_319 = not(_T_314) @[Bitwise.scala 103:77] + node _T_320 = and(_T_318, _T_319) @[Bitwise.scala 103:75] + node _T_321 = or(_T_316, _T_320) @[Bitwise.scala 103:39] + node _T_322 = bits(_T_314, 5, 0) @[Bitwise.scala 102:28] + node _T_323 = shl(_T_322, 2) @[Bitwise.scala 102:47] + node _T_324 = xor(_T_314, _T_323) @[Bitwise.scala 102:21] + node _T_325 = shr(_T_321, 2) @[Bitwise.scala 103:21] + node _T_326 = and(_T_325, _T_324) @[Bitwise.scala 103:31] + node _T_327 = bits(_T_321, 5, 0) @[Bitwise.scala 103:46] + node _T_328 = shl(_T_327, 2) @[Bitwise.scala 103:65] + node _T_329 = not(_T_324) @[Bitwise.scala 103:77] + node _T_330 = and(_T_328, _T_329) @[Bitwise.scala 103:75] + node _T_331 = or(_T_326, _T_330) @[Bitwise.scala 103:39] + node _T_332 = bits(_T_324, 6, 0) @[Bitwise.scala 102:28] + node _T_333 = shl(_T_332, 1) @[Bitwise.scala 102:47] + node _T_334 = xor(_T_324, _T_333) @[Bitwise.scala 102:21] + node _T_335 = shr(_T_331, 1) @[Bitwise.scala 103:21] + node _T_336 = and(_T_335, _T_334) @[Bitwise.scala 103:31] + node _T_337 = bits(_T_331, 6, 0) @[Bitwise.scala 103:46] + node _T_338 = shl(_T_337, 1) @[Bitwise.scala 103:65] + node _T_339 = not(_T_334) @[Bitwise.scala 103:77] + node _T_340 = and(_T_338, _T_339) @[Bitwise.scala 103:75] + node _T_341 = or(_T_336, _T_340) @[Bitwise.scala 103:39] + wire _T_342 : UInt<8>[8] @[lsu_dccm_ctl.scala 155:62] + _T_342[0] <= _T_47 @[lsu_dccm_ctl.scala 155:62] + _T_342[1] <= _T_89 @[lsu_dccm_ctl.scala 155:62] + _T_342[2] <= _T_131 @[lsu_dccm_ctl.scala 155:62] + _T_342[3] <= _T_173 @[lsu_dccm_ctl.scala 155:62] + _T_342[4] <= _T_215 @[lsu_dccm_ctl.scala 155:62] + _T_342[5] <= _T_257 @[lsu_dccm_ctl.scala 155:62] + _T_342[6] <= _T_299 @[lsu_dccm_ctl.scala 155:62] + _T_342[7] <= _T_341 @[lsu_dccm_ctl.scala 155:62] + node _T_343 = cat(_T_342[6], _T_342[7]) @[Cat.scala 29:58] + node _T_344 = cat(_T_342[4], _T_342[5]) @[Cat.scala 29:58] + node _T_345 = cat(_T_344, _T_343) @[Cat.scala 29:58] + node _T_346 = cat(_T_342[2], _T_342[3]) @[Cat.scala 29:58] + node _T_347 = cat(_T_342[0], _T_342[1]) @[Cat.scala 29:58] + node _T_348 = cat(_T_347, _T_346) @[Cat.scala 29:58] + node _T_349 = cat(_T_348, _T_345) @[Cat.scala 29:58] + node _T_350 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_351 = xor(UInt<64>("h0ffffffffffffffff"), _T_350) @[Bitwise.scala 102:21] + node _T_352 = shr(_T_349, 32) @[Bitwise.scala 103:21] + node _T_353 = and(_T_352, _T_351) @[Bitwise.scala 103:31] + node _T_354 = bits(_T_349, 31, 0) @[Bitwise.scala 103:46] + node _T_355 = shl(_T_354, 32) @[Bitwise.scala 103:65] + node _T_356 = not(_T_351) @[Bitwise.scala 103:77] + node _T_357 = and(_T_355, _T_356) @[Bitwise.scala 103:75] + node _T_358 = or(_T_353, _T_357) @[Bitwise.scala 103:39] + node _T_359 = bits(_T_351, 47, 0) @[Bitwise.scala 102:28] + node _T_360 = shl(_T_359, 16) @[Bitwise.scala 102:47] + node _T_361 = xor(_T_351, _T_360) @[Bitwise.scala 102:21] + node _T_362 = shr(_T_358, 16) @[Bitwise.scala 103:21] + node _T_363 = and(_T_362, _T_361) @[Bitwise.scala 103:31] + node _T_364 = bits(_T_358, 47, 0) @[Bitwise.scala 103:46] + node _T_365 = shl(_T_364, 16) @[Bitwise.scala 103:65] + node _T_366 = not(_T_361) @[Bitwise.scala 103:77] + node _T_367 = and(_T_365, _T_366) @[Bitwise.scala 103:75] + node _T_368 = or(_T_363, _T_367) @[Bitwise.scala 103:39] + node _T_369 = bits(_T_361, 55, 0) @[Bitwise.scala 102:28] + node _T_370 = shl(_T_369, 8) @[Bitwise.scala 102:47] + node _T_371 = xor(_T_361, _T_370) @[Bitwise.scala 102:21] + node _T_372 = shr(_T_368, 8) @[Bitwise.scala 103:21] + node _T_373 = and(_T_372, _T_371) @[Bitwise.scala 103:31] + node _T_374 = bits(_T_368, 55, 0) @[Bitwise.scala 103:46] + node _T_375 = shl(_T_374, 8) @[Bitwise.scala 103:65] + node _T_376 = not(_T_371) @[Bitwise.scala 103:77] + node _T_377 = and(_T_375, _T_376) @[Bitwise.scala 103:75] + node _T_378 = or(_T_373, _T_377) @[Bitwise.scala 103:39] + node _T_379 = bits(_T_371, 59, 0) @[Bitwise.scala 102:28] + node _T_380 = shl(_T_379, 4) @[Bitwise.scala 102:47] + node _T_381 = xor(_T_371, _T_380) @[Bitwise.scala 102:21] + node _T_382 = shr(_T_378, 4) @[Bitwise.scala 103:21] + node _T_383 = and(_T_382, _T_381) @[Bitwise.scala 103:31] + node _T_384 = bits(_T_378, 59, 0) @[Bitwise.scala 103:46] + node _T_385 = shl(_T_384, 4) @[Bitwise.scala 103:65] + node _T_386 = not(_T_381) @[Bitwise.scala 103:77] + node _T_387 = and(_T_385, _T_386) @[Bitwise.scala 103:75] + node _T_388 = or(_T_383, _T_387) @[Bitwise.scala 103:39] + node _T_389 = bits(_T_381, 61, 0) @[Bitwise.scala 102:28] + node _T_390 = shl(_T_389, 2) @[Bitwise.scala 102:47] + node _T_391 = xor(_T_381, _T_390) @[Bitwise.scala 102:21] + node _T_392 = shr(_T_388, 2) @[Bitwise.scala 103:21] + node _T_393 = and(_T_392, _T_391) @[Bitwise.scala 103:31] + node _T_394 = bits(_T_388, 61, 0) @[Bitwise.scala 103:46] + node _T_395 = shl(_T_394, 2) @[Bitwise.scala 103:65] + node _T_396 = not(_T_391) @[Bitwise.scala 103:77] + node _T_397 = and(_T_395, _T_396) @[Bitwise.scala 103:75] + node _T_398 = or(_T_393, _T_397) @[Bitwise.scala 103:39] + node _T_399 = bits(_T_391, 62, 0) @[Bitwise.scala 102:28] + node _T_400 = shl(_T_399, 1) @[Bitwise.scala 102:47] + node _T_401 = xor(_T_391, _T_400) @[Bitwise.scala 102:21] + node _T_402 = shr(_T_398, 1) @[Bitwise.scala 103:21] + node _T_403 = and(_T_402, _T_401) @[Bitwise.scala 103:31] + node _T_404 = bits(_T_398, 62, 0) @[Bitwise.scala 103:46] + node _T_405 = shl(_T_404, 1) @[Bitwise.scala 103:65] + node _T_406 = not(_T_401) @[Bitwise.scala 103:77] + node _T_407 = and(_T_405, _T_406) @[Bitwise.scala 103:75] + node _T_408 = or(_T_403, _T_407) @[Bitwise.scala 103:39] + lsu_rdata_corr_m <= _T_408 @[lsu_dccm_ctl.scala 155:28] + node _T_409 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_410 = bits(_T_409, 0, 0) @[lsu_dccm_ctl.scala 156:134] + node _T_411 = bits(_T_410, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_412 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_413 = bits(_T_412, 7, 0) @[lsu_dccm_ctl.scala 156:196] + node _T_414 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_415 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 156:253] + node _T_416 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_417 = mux(_T_416, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_418 = bits(dccm_rdata_m, 7, 0) @[lsu_dccm_ctl.scala 156:308] + node _T_419 = and(_T_417, _T_418) @[lsu_dccm_ctl.scala 156:294] + node _T_420 = mux(_T_414, _T_415, _T_419) @[lsu_dccm_ctl.scala 156:214] + node _T_421 = mux(_T_411, _T_413, _T_420) @[lsu_dccm_ctl.scala 156:78] + node _T_422 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_423 = xor(UInt<8>("h0ff"), _T_422) @[Bitwise.scala 102:21] + node _T_424 = shr(_T_421, 4) @[Bitwise.scala 103:21] + node _T_425 = and(_T_424, _T_423) @[Bitwise.scala 103:31] + node _T_426 = bits(_T_421, 3, 0) @[Bitwise.scala 103:46] + node _T_427 = shl(_T_426, 4) @[Bitwise.scala 103:65] + node _T_428 = not(_T_423) @[Bitwise.scala 103:77] + node _T_429 = and(_T_427, _T_428) @[Bitwise.scala 103:75] + node _T_430 = or(_T_425, _T_429) @[Bitwise.scala 103:39] + node _T_431 = bits(_T_423, 5, 0) @[Bitwise.scala 102:28] + node _T_432 = shl(_T_431, 2) @[Bitwise.scala 102:47] + node _T_433 = xor(_T_423, _T_432) @[Bitwise.scala 102:21] + node _T_434 = shr(_T_430, 2) @[Bitwise.scala 103:21] + node _T_435 = and(_T_434, _T_433) @[Bitwise.scala 103:31] + node _T_436 = bits(_T_430, 5, 0) @[Bitwise.scala 103:46] + node _T_437 = shl(_T_436, 2) @[Bitwise.scala 103:65] + node _T_438 = not(_T_433) @[Bitwise.scala 103:77] + node _T_439 = and(_T_437, _T_438) @[Bitwise.scala 103:75] + node _T_440 = or(_T_435, _T_439) @[Bitwise.scala 103:39] + node _T_441 = bits(_T_433, 6, 0) @[Bitwise.scala 102:28] + node _T_442 = shl(_T_441, 1) @[Bitwise.scala 102:47] + node _T_443 = xor(_T_433, _T_442) @[Bitwise.scala 102:21] + node _T_444 = shr(_T_440, 1) @[Bitwise.scala 103:21] + node _T_445 = and(_T_444, _T_443) @[Bitwise.scala 103:31] + node _T_446 = bits(_T_440, 6, 0) @[Bitwise.scala 103:46] + node _T_447 = shl(_T_446, 1) @[Bitwise.scala 103:65] + node _T_448 = not(_T_443) @[Bitwise.scala 103:77] + node _T_449 = and(_T_447, _T_448) @[Bitwise.scala 103:75] + node _T_450 = or(_T_445, _T_449) @[Bitwise.scala 103:39] + node _T_451 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_452 = bits(_T_451, 1, 1) @[lsu_dccm_ctl.scala 156:134] + node _T_453 = bits(_T_452, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_454 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_455 = bits(_T_454, 15, 8) @[lsu_dccm_ctl.scala 156:196] + node _T_456 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_457 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 156:253] + node _T_458 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_459 = mux(_T_458, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_460 = bits(dccm_rdata_m, 15, 8) @[lsu_dccm_ctl.scala 156:308] + node _T_461 = and(_T_459, _T_460) @[lsu_dccm_ctl.scala 156:294] + node _T_462 = mux(_T_456, _T_457, _T_461) @[lsu_dccm_ctl.scala 156:214] + node _T_463 = mux(_T_453, _T_455, _T_462) @[lsu_dccm_ctl.scala 156:78] + node _T_464 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_465 = xor(UInt<8>("h0ff"), _T_464) @[Bitwise.scala 102:21] + node _T_466 = shr(_T_463, 4) @[Bitwise.scala 103:21] + node _T_467 = and(_T_466, _T_465) @[Bitwise.scala 103:31] + node _T_468 = bits(_T_463, 3, 0) @[Bitwise.scala 103:46] + node _T_469 = shl(_T_468, 4) @[Bitwise.scala 103:65] + node _T_470 = not(_T_465) @[Bitwise.scala 103:77] + node _T_471 = and(_T_469, _T_470) @[Bitwise.scala 103:75] + node _T_472 = or(_T_467, _T_471) @[Bitwise.scala 103:39] + node _T_473 = bits(_T_465, 5, 0) @[Bitwise.scala 102:28] + node _T_474 = shl(_T_473, 2) @[Bitwise.scala 102:47] + node _T_475 = xor(_T_465, _T_474) @[Bitwise.scala 102:21] + node _T_476 = shr(_T_472, 2) @[Bitwise.scala 103:21] + node _T_477 = and(_T_476, _T_475) @[Bitwise.scala 103:31] + node _T_478 = bits(_T_472, 5, 0) @[Bitwise.scala 103:46] + node _T_479 = shl(_T_478, 2) @[Bitwise.scala 103:65] + node _T_480 = not(_T_475) @[Bitwise.scala 103:77] + node _T_481 = and(_T_479, _T_480) @[Bitwise.scala 103:75] + node _T_482 = or(_T_477, _T_481) @[Bitwise.scala 103:39] + node _T_483 = bits(_T_475, 6, 0) @[Bitwise.scala 102:28] + node _T_484 = shl(_T_483, 1) @[Bitwise.scala 102:47] + node _T_485 = xor(_T_475, _T_484) @[Bitwise.scala 102:21] + node _T_486 = shr(_T_482, 1) @[Bitwise.scala 103:21] + node _T_487 = and(_T_486, _T_485) @[Bitwise.scala 103:31] + node _T_488 = bits(_T_482, 6, 0) @[Bitwise.scala 103:46] + node _T_489 = shl(_T_488, 1) @[Bitwise.scala 103:65] + node _T_490 = not(_T_485) @[Bitwise.scala 103:77] + node _T_491 = and(_T_489, _T_490) @[Bitwise.scala 103:75] + node _T_492 = or(_T_487, _T_491) @[Bitwise.scala 103:39] + node _T_493 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_494 = bits(_T_493, 2, 2) @[lsu_dccm_ctl.scala 156:134] + node _T_495 = bits(_T_494, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_496 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_497 = bits(_T_496, 23, 16) @[lsu_dccm_ctl.scala 156:196] + node _T_498 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_499 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 156:253] + node _T_500 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_501 = mux(_T_500, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_502 = bits(dccm_rdata_m, 23, 16) @[lsu_dccm_ctl.scala 156:308] + node _T_503 = and(_T_501, _T_502) @[lsu_dccm_ctl.scala 156:294] + node _T_504 = mux(_T_498, _T_499, _T_503) @[lsu_dccm_ctl.scala 156:214] + node _T_505 = mux(_T_495, _T_497, _T_504) @[lsu_dccm_ctl.scala 156:78] + node _T_506 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_507 = xor(UInt<8>("h0ff"), _T_506) @[Bitwise.scala 102:21] + node _T_508 = shr(_T_505, 4) @[Bitwise.scala 103:21] + node _T_509 = and(_T_508, _T_507) @[Bitwise.scala 103:31] + node _T_510 = bits(_T_505, 3, 0) @[Bitwise.scala 103:46] + node _T_511 = shl(_T_510, 4) @[Bitwise.scala 103:65] + node _T_512 = not(_T_507) @[Bitwise.scala 103:77] + node _T_513 = and(_T_511, _T_512) @[Bitwise.scala 103:75] + node _T_514 = or(_T_509, _T_513) @[Bitwise.scala 103:39] + node _T_515 = bits(_T_507, 5, 0) @[Bitwise.scala 102:28] + node _T_516 = shl(_T_515, 2) @[Bitwise.scala 102:47] + node _T_517 = xor(_T_507, _T_516) @[Bitwise.scala 102:21] + node _T_518 = shr(_T_514, 2) @[Bitwise.scala 103:21] + node _T_519 = and(_T_518, _T_517) @[Bitwise.scala 103:31] + node _T_520 = bits(_T_514, 5, 0) @[Bitwise.scala 103:46] + node _T_521 = shl(_T_520, 2) @[Bitwise.scala 103:65] + node _T_522 = not(_T_517) @[Bitwise.scala 103:77] + node _T_523 = and(_T_521, _T_522) @[Bitwise.scala 103:75] + node _T_524 = or(_T_519, _T_523) @[Bitwise.scala 103:39] + node _T_525 = bits(_T_517, 6, 0) @[Bitwise.scala 102:28] + node _T_526 = shl(_T_525, 1) @[Bitwise.scala 102:47] + node _T_527 = xor(_T_517, _T_526) @[Bitwise.scala 102:21] + node _T_528 = shr(_T_524, 1) @[Bitwise.scala 103:21] + node _T_529 = and(_T_528, _T_527) @[Bitwise.scala 103:31] + node _T_530 = bits(_T_524, 6, 0) @[Bitwise.scala 103:46] + node _T_531 = shl(_T_530, 1) @[Bitwise.scala 103:65] + node _T_532 = not(_T_527) @[Bitwise.scala 103:77] + node _T_533 = and(_T_531, _T_532) @[Bitwise.scala 103:75] + node _T_534 = or(_T_529, _T_533) @[Bitwise.scala 103:39] + node _T_535 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_536 = bits(_T_535, 3, 3) @[lsu_dccm_ctl.scala 156:134] + node _T_537 = bits(_T_536, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_538 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_539 = bits(_T_538, 31, 24) @[lsu_dccm_ctl.scala 156:196] + node _T_540 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_541 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 156:253] + node _T_542 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_543 = mux(_T_542, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_544 = bits(dccm_rdata_m, 31, 24) @[lsu_dccm_ctl.scala 156:308] + node _T_545 = and(_T_543, _T_544) @[lsu_dccm_ctl.scala 156:294] + node _T_546 = mux(_T_540, _T_541, _T_545) @[lsu_dccm_ctl.scala 156:214] + node _T_547 = mux(_T_537, _T_539, _T_546) @[lsu_dccm_ctl.scala 156:78] + node _T_548 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_549 = xor(UInt<8>("h0ff"), _T_548) @[Bitwise.scala 102:21] + node _T_550 = shr(_T_547, 4) @[Bitwise.scala 103:21] + node _T_551 = and(_T_550, _T_549) @[Bitwise.scala 103:31] + node _T_552 = bits(_T_547, 3, 0) @[Bitwise.scala 103:46] + node _T_553 = shl(_T_552, 4) @[Bitwise.scala 103:65] + node _T_554 = not(_T_549) @[Bitwise.scala 103:77] + node _T_555 = and(_T_553, _T_554) @[Bitwise.scala 103:75] + node _T_556 = or(_T_551, _T_555) @[Bitwise.scala 103:39] + node _T_557 = bits(_T_549, 5, 0) @[Bitwise.scala 102:28] + node _T_558 = shl(_T_557, 2) @[Bitwise.scala 102:47] + node _T_559 = xor(_T_549, _T_558) @[Bitwise.scala 102:21] + node _T_560 = shr(_T_556, 2) @[Bitwise.scala 103:21] + node _T_561 = and(_T_560, _T_559) @[Bitwise.scala 103:31] + node _T_562 = bits(_T_556, 5, 0) @[Bitwise.scala 103:46] + node _T_563 = shl(_T_562, 2) @[Bitwise.scala 103:65] + node _T_564 = not(_T_559) @[Bitwise.scala 103:77] + node _T_565 = and(_T_563, _T_564) @[Bitwise.scala 103:75] + node _T_566 = or(_T_561, _T_565) @[Bitwise.scala 103:39] + node _T_567 = bits(_T_559, 6, 0) @[Bitwise.scala 102:28] + node _T_568 = shl(_T_567, 1) @[Bitwise.scala 102:47] + node _T_569 = xor(_T_559, _T_568) @[Bitwise.scala 102:21] + node _T_570 = shr(_T_566, 1) @[Bitwise.scala 103:21] + node _T_571 = and(_T_570, _T_569) @[Bitwise.scala 103:31] + node _T_572 = bits(_T_566, 6, 0) @[Bitwise.scala 103:46] + node _T_573 = shl(_T_572, 1) @[Bitwise.scala 103:65] + node _T_574 = not(_T_569) @[Bitwise.scala 103:77] + node _T_575 = and(_T_573, _T_574) @[Bitwise.scala 103:75] + node _T_576 = or(_T_571, _T_575) @[Bitwise.scala 103:39] + node _T_577 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_578 = bits(_T_577, 4, 4) @[lsu_dccm_ctl.scala 156:134] + node _T_579 = bits(_T_578, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_580 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_581 = bits(_T_580, 39, 32) @[lsu_dccm_ctl.scala 156:196] + node _T_582 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_583 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 156:253] + node _T_584 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_585 = mux(_T_584, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_586 = bits(dccm_rdata_m, 39, 32) @[lsu_dccm_ctl.scala 156:308] + node _T_587 = and(_T_585, _T_586) @[lsu_dccm_ctl.scala 156:294] + node _T_588 = mux(_T_582, _T_583, _T_587) @[lsu_dccm_ctl.scala 156:214] + node _T_589 = mux(_T_579, _T_581, _T_588) @[lsu_dccm_ctl.scala 156:78] + node _T_590 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_591 = xor(UInt<8>("h0ff"), _T_590) @[Bitwise.scala 102:21] + node _T_592 = shr(_T_589, 4) @[Bitwise.scala 103:21] + node _T_593 = and(_T_592, _T_591) @[Bitwise.scala 103:31] + node _T_594 = bits(_T_589, 3, 0) @[Bitwise.scala 103:46] + node _T_595 = shl(_T_594, 4) @[Bitwise.scala 103:65] + node _T_596 = not(_T_591) @[Bitwise.scala 103:77] + node _T_597 = and(_T_595, _T_596) @[Bitwise.scala 103:75] + node _T_598 = or(_T_593, _T_597) @[Bitwise.scala 103:39] + node _T_599 = bits(_T_591, 5, 0) @[Bitwise.scala 102:28] + node _T_600 = shl(_T_599, 2) @[Bitwise.scala 102:47] + node _T_601 = xor(_T_591, _T_600) @[Bitwise.scala 102:21] + node _T_602 = shr(_T_598, 2) @[Bitwise.scala 103:21] + node _T_603 = and(_T_602, _T_601) @[Bitwise.scala 103:31] + node _T_604 = bits(_T_598, 5, 0) @[Bitwise.scala 103:46] + node _T_605 = shl(_T_604, 2) @[Bitwise.scala 103:65] + node _T_606 = not(_T_601) @[Bitwise.scala 103:77] + node _T_607 = and(_T_605, _T_606) @[Bitwise.scala 103:75] + node _T_608 = or(_T_603, _T_607) @[Bitwise.scala 103:39] + node _T_609 = bits(_T_601, 6, 0) @[Bitwise.scala 102:28] + node _T_610 = shl(_T_609, 1) @[Bitwise.scala 102:47] + node _T_611 = xor(_T_601, _T_610) @[Bitwise.scala 102:21] + node _T_612 = shr(_T_608, 1) @[Bitwise.scala 103:21] + node _T_613 = and(_T_612, _T_611) @[Bitwise.scala 103:31] + node _T_614 = bits(_T_608, 6, 0) @[Bitwise.scala 103:46] + node _T_615 = shl(_T_614, 1) @[Bitwise.scala 103:65] + node _T_616 = not(_T_611) @[Bitwise.scala 103:77] + node _T_617 = and(_T_615, _T_616) @[Bitwise.scala 103:75] + node _T_618 = or(_T_613, _T_617) @[Bitwise.scala 103:39] + node _T_619 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_620 = bits(_T_619, 5, 5) @[lsu_dccm_ctl.scala 156:134] + node _T_621 = bits(_T_620, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_622 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_623 = bits(_T_622, 47, 40) @[lsu_dccm_ctl.scala 156:196] + node _T_624 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_625 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 156:253] + node _T_626 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_628 = bits(dccm_rdata_m, 47, 40) @[lsu_dccm_ctl.scala 156:308] + node _T_629 = and(_T_627, _T_628) @[lsu_dccm_ctl.scala 156:294] + node _T_630 = mux(_T_624, _T_625, _T_629) @[lsu_dccm_ctl.scala 156:214] + node _T_631 = mux(_T_621, _T_623, _T_630) @[lsu_dccm_ctl.scala 156:78] + node _T_632 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_633 = xor(UInt<8>("h0ff"), _T_632) @[Bitwise.scala 102:21] + node _T_634 = shr(_T_631, 4) @[Bitwise.scala 103:21] + node _T_635 = and(_T_634, _T_633) @[Bitwise.scala 103:31] + node _T_636 = bits(_T_631, 3, 0) @[Bitwise.scala 103:46] + node _T_637 = shl(_T_636, 4) @[Bitwise.scala 103:65] + node _T_638 = not(_T_633) @[Bitwise.scala 103:77] + node _T_639 = and(_T_637, _T_638) @[Bitwise.scala 103:75] + node _T_640 = or(_T_635, _T_639) @[Bitwise.scala 103:39] + node _T_641 = bits(_T_633, 5, 0) @[Bitwise.scala 102:28] + node _T_642 = shl(_T_641, 2) @[Bitwise.scala 102:47] + node _T_643 = xor(_T_633, _T_642) @[Bitwise.scala 102:21] + node _T_644 = shr(_T_640, 2) @[Bitwise.scala 103:21] + node _T_645 = and(_T_644, _T_643) @[Bitwise.scala 103:31] + node _T_646 = bits(_T_640, 5, 0) @[Bitwise.scala 103:46] + node _T_647 = shl(_T_646, 2) @[Bitwise.scala 103:65] + node _T_648 = not(_T_643) @[Bitwise.scala 103:77] + node _T_649 = and(_T_647, _T_648) @[Bitwise.scala 103:75] + node _T_650 = or(_T_645, _T_649) @[Bitwise.scala 103:39] + node _T_651 = bits(_T_643, 6, 0) @[Bitwise.scala 102:28] + node _T_652 = shl(_T_651, 1) @[Bitwise.scala 102:47] + node _T_653 = xor(_T_643, _T_652) @[Bitwise.scala 102:21] + node _T_654 = shr(_T_650, 1) @[Bitwise.scala 103:21] + node _T_655 = and(_T_654, _T_653) @[Bitwise.scala 103:31] + node _T_656 = bits(_T_650, 6, 0) @[Bitwise.scala 103:46] + node _T_657 = shl(_T_656, 1) @[Bitwise.scala 103:65] + node _T_658 = not(_T_653) @[Bitwise.scala 103:77] + node _T_659 = and(_T_657, _T_658) @[Bitwise.scala 103:75] + node _T_660 = or(_T_655, _T_659) @[Bitwise.scala 103:39] + node _T_661 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_662 = bits(_T_661, 6, 6) @[lsu_dccm_ctl.scala 156:134] + node _T_663 = bits(_T_662, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_664 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_665 = bits(_T_664, 55, 48) @[lsu_dccm_ctl.scala 156:196] + node _T_666 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_667 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 156:253] + node _T_668 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_669 = mux(_T_668, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_670 = bits(dccm_rdata_m, 55, 48) @[lsu_dccm_ctl.scala 156:308] + node _T_671 = and(_T_669, _T_670) @[lsu_dccm_ctl.scala 156:294] + node _T_672 = mux(_T_666, _T_667, _T_671) @[lsu_dccm_ctl.scala 156:214] + node _T_673 = mux(_T_663, _T_665, _T_672) @[lsu_dccm_ctl.scala 156:78] + node _T_674 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_675 = xor(UInt<8>("h0ff"), _T_674) @[Bitwise.scala 102:21] + node _T_676 = shr(_T_673, 4) @[Bitwise.scala 103:21] + node _T_677 = and(_T_676, _T_675) @[Bitwise.scala 103:31] + node _T_678 = bits(_T_673, 3, 0) @[Bitwise.scala 103:46] + node _T_679 = shl(_T_678, 4) @[Bitwise.scala 103:65] + node _T_680 = not(_T_675) @[Bitwise.scala 103:77] + node _T_681 = and(_T_679, _T_680) @[Bitwise.scala 103:75] + node _T_682 = or(_T_677, _T_681) @[Bitwise.scala 103:39] + node _T_683 = bits(_T_675, 5, 0) @[Bitwise.scala 102:28] + node _T_684 = shl(_T_683, 2) @[Bitwise.scala 102:47] + node _T_685 = xor(_T_675, _T_684) @[Bitwise.scala 102:21] + node _T_686 = shr(_T_682, 2) @[Bitwise.scala 103:21] + node _T_687 = and(_T_686, _T_685) @[Bitwise.scala 103:31] + node _T_688 = bits(_T_682, 5, 0) @[Bitwise.scala 103:46] + node _T_689 = shl(_T_688, 2) @[Bitwise.scala 103:65] + node _T_690 = not(_T_685) @[Bitwise.scala 103:77] + node _T_691 = and(_T_689, _T_690) @[Bitwise.scala 103:75] + node _T_692 = or(_T_687, _T_691) @[Bitwise.scala 103:39] + node _T_693 = bits(_T_685, 6, 0) @[Bitwise.scala 102:28] + node _T_694 = shl(_T_693, 1) @[Bitwise.scala 102:47] + node _T_695 = xor(_T_685, _T_694) @[Bitwise.scala 102:21] + node _T_696 = shr(_T_692, 1) @[Bitwise.scala 103:21] + node _T_697 = and(_T_696, _T_695) @[Bitwise.scala 103:31] + node _T_698 = bits(_T_692, 6, 0) @[Bitwise.scala 103:46] + node _T_699 = shl(_T_698, 1) @[Bitwise.scala 103:65] + node _T_700 = not(_T_695) @[Bitwise.scala 103:77] + node _T_701 = and(_T_699, _T_700) @[Bitwise.scala 103:75] + node _T_702 = or(_T_697, _T_701) @[Bitwise.scala 103:39] + node _T_703 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_704 = bits(_T_703, 7, 7) @[lsu_dccm_ctl.scala 156:134] + node _T_705 = bits(_T_704, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_706 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_707 = bits(_T_706, 63, 56) @[lsu_dccm_ctl.scala 156:196] + node _T_708 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_709 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 156:253] + node _T_710 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_711 = mux(_T_710, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_712 = bits(dccm_rdata_m, 63, 56) @[lsu_dccm_ctl.scala 156:308] + node _T_713 = and(_T_711, _T_712) @[lsu_dccm_ctl.scala 156:294] + node _T_714 = mux(_T_708, _T_709, _T_713) @[lsu_dccm_ctl.scala 156:214] + node _T_715 = mux(_T_705, _T_707, _T_714) @[lsu_dccm_ctl.scala 156:78] + node _T_716 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_717 = xor(UInt<8>("h0ff"), _T_716) @[Bitwise.scala 102:21] + node _T_718 = shr(_T_715, 4) @[Bitwise.scala 103:21] + node _T_719 = and(_T_718, _T_717) @[Bitwise.scala 103:31] + node _T_720 = bits(_T_715, 3, 0) @[Bitwise.scala 103:46] + node _T_721 = shl(_T_720, 4) @[Bitwise.scala 103:65] + node _T_722 = not(_T_717) @[Bitwise.scala 103:77] + node _T_723 = and(_T_721, _T_722) @[Bitwise.scala 103:75] + node _T_724 = or(_T_719, _T_723) @[Bitwise.scala 103:39] + node _T_725 = bits(_T_717, 5, 0) @[Bitwise.scala 102:28] + node _T_726 = shl(_T_725, 2) @[Bitwise.scala 102:47] + node _T_727 = xor(_T_717, _T_726) @[Bitwise.scala 102:21] + node _T_728 = shr(_T_724, 2) @[Bitwise.scala 103:21] + node _T_729 = and(_T_728, _T_727) @[Bitwise.scala 103:31] + node _T_730 = bits(_T_724, 5, 0) @[Bitwise.scala 103:46] + node _T_731 = shl(_T_730, 2) @[Bitwise.scala 103:65] + node _T_732 = not(_T_727) @[Bitwise.scala 103:77] + node _T_733 = and(_T_731, _T_732) @[Bitwise.scala 103:75] + node _T_734 = or(_T_729, _T_733) @[Bitwise.scala 103:39] + node _T_735 = bits(_T_727, 6, 0) @[Bitwise.scala 102:28] + node _T_736 = shl(_T_735, 1) @[Bitwise.scala 102:47] + node _T_737 = xor(_T_727, _T_736) @[Bitwise.scala 102:21] + node _T_738 = shr(_T_734, 1) @[Bitwise.scala 103:21] + node _T_739 = and(_T_738, _T_737) @[Bitwise.scala 103:31] + node _T_740 = bits(_T_734, 6, 0) @[Bitwise.scala 103:46] + node _T_741 = shl(_T_740, 1) @[Bitwise.scala 103:65] + node _T_742 = not(_T_737) @[Bitwise.scala 103:77] + node _T_743 = and(_T_741, _T_742) @[Bitwise.scala 103:75] + node _T_744 = or(_T_739, _T_743) @[Bitwise.scala 103:39] + wire _T_745 : UInt<8>[8] @[lsu_dccm_ctl.scala 156:62] + _T_745[0] <= _T_450 @[lsu_dccm_ctl.scala 156:62] + _T_745[1] <= _T_492 @[lsu_dccm_ctl.scala 156:62] + _T_745[2] <= _T_534 @[lsu_dccm_ctl.scala 156:62] + _T_745[3] <= _T_576 @[lsu_dccm_ctl.scala 156:62] + _T_745[4] <= _T_618 @[lsu_dccm_ctl.scala 156:62] + _T_745[5] <= _T_660 @[lsu_dccm_ctl.scala 156:62] + _T_745[6] <= _T_702 @[lsu_dccm_ctl.scala 156:62] + _T_745[7] <= _T_744 @[lsu_dccm_ctl.scala 156:62] + node _T_746 = cat(_T_745[6], _T_745[7]) @[Cat.scala 29:58] + node _T_747 = cat(_T_745[4], _T_745[5]) @[Cat.scala 29:58] + node _T_748 = cat(_T_747, _T_746) @[Cat.scala 29:58] + node _T_749 = cat(_T_745[2], _T_745[3]) @[Cat.scala 29:58] + node _T_750 = cat(_T_745[0], _T_745[1]) @[Cat.scala 29:58] + node _T_751 = cat(_T_750, _T_749) @[Cat.scala 29:58] + node _T_752 = cat(_T_751, _T_748) @[Cat.scala 29:58] + node _T_753 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_754 = xor(UInt<64>("h0ffffffffffffffff"), _T_753) @[Bitwise.scala 102:21] + node _T_755 = shr(_T_752, 32) @[Bitwise.scala 103:21] + node _T_756 = and(_T_755, _T_754) @[Bitwise.scala 103:31] + node _T_757 = bits(_T_752, 31, 0) @[Bitwise.scala 103:46] + node _T_758 = shl(_T_757, 32) @[Bitwise.scala 103:65] + node _T_759 = not(_T_754) @[Bitwise.scala 103:77] + node _T_760 = and(_T_758, _T_759) @[Bitwise.scala 103:75] + node _T_761 = or(_T_756, _T_760) @[Bitwise.scala 103:39] + node _T_762 = bits(_T_754, 47, 0) @[Bitwise.scala 102:28] + node _T_763 = shl(_T_762, 16) @[Bitwise.scala 102:47] + node _T_764 = xor(_T_754, _T_763) @[Bitwise.scala 102:21] + node _T_765 = shr(_T_761, 16) @[Bitwise.scala 103:21] + node _T_766 = and(_T_765, _T_764) @[Bitwise.scala 103:31] + node _T_767 = bits(_T_761, 47, 0) @[Bitwise.scala 103:46] + node _T_768 = shl(_T_767, 16) @[Bitwise.scala 103:65] + node _T_769 = not(_T_764) @[Bitwise.scala 103:77] + node _T_770 = and(_T_768, _T_769) @[Bitwise.scala 103:75] + node _T_771 = or(_T_766, _T_770) @[Bitwise.scala 103:39] + node _T_772 = bits(_T_764, 55, 0) @[Bitwise.scala 102:28] + node _T_773 = shl(_T_772, 8) @[Bitwise.scala 102:47] + node _T_774 = xor(_T_764, _T_773) @[Bitwise.scala 102:21] + node _T_775 = shr(_T_771, 8) @[Bitwise.scala 103:21] + node _T_776 = and(_T_775, _T_774) @[Bitwise.scala 103:31] + node _T_777 = bits(_T_771, 55, 0) @[Bitwise.scala 103:46] + node _T_778 = shl(_T_777, 8) @[Bitwise.scala 103:65] + node _T_779 = not(_T_774) @[Bitwise.scala 103:77] + node _T_780 = and(_T_778, _T_779) @[Bitwise.scala 103:75] + node _T_781 = or(_T_776, _T_780) @[Bitwise.scala 103:39] + node _T_782 = bits(_T_774, 59, 0) @[Bitwise.scala 102:28] + node _T_783 = shl(_T_782, 4) @[Bitwise.scala 102:47] + node _T_784 = xor(_T_774, _T_783) @[Bitwise.scala 102:21] + node _T_785 = shr(_T_781, 4) @[Bitwise.scala 103:21] + node _T_786 = and(_T_785, _T_784) @[Bitwise.scala 103:31] + node _T_787 = bits(_T_781, 59, 0) @[Bitwise.scala 103:46] + node _T_788 = shl(_T_787, 4) @[Bitwise.scala 103:65] + node _T_789 = not(_T_784) @[Bitwise.scala 103:77] + node _T_790 = and(_T_788, _T_789) @[Bitwise.scala 103:75] + node _T_791 = or(_T_786, _T_790) @[Bitwise.scala 103:39] + node _T_792 = bits(_T_784, 61, 0) @[Bitwise.scala 102:28] + node _T_793 = shl(_T_792, 2) @[Bitwise.scala 102:47] + node _T_794 = xor(_T_784, _T_793) @[Bitwise.scala 102:21] + node _T_795 = shr(_T_791, 2) @[Bitwise.scala 103:21] + node _T_796 = and(_T_795, _T_794) @[Bitwise.scala 103:31] + node _T_797 = bits(_T_791, 61, 0) @[Bitwise.scala 103:46] + node _T_798 = shl(_T_797, 2) @[Bitwise.scala 103:65] + node _T_799 = not(_T_794) @[Bitwise.scala 103:77] + node _T_800 = and(_T_798, _T_799) @[Bitwise.scala 103:75] + node _T_801 = or(_T_796, _T_800) @[Bitwise.scala 103:39] + node _T_802 = bits(_T_794, 62, 0) @[Bitwise.scala 102:28] + node _T_803 = shl(_T_802, 1) @[Bitwise.scala 102:47] + node _T_804 = xor(_T_794, _T_803) @[Bitwise.scala 102:21] + node _T_805 = shr(_T_801, 1) @[Bitwise.scala 103:21] + node _T_806 = and(_T_805, _T_804) @[Bitwise.scala 103:31] + node _T_807 = bits(_T_801, 62, 0) @[Bitwise.scala 103:46] + node _T_808 = shl(_T_807, 1) @[Bitwise.scala 103:65] + node _T_809 = not(_T_804) @[Bitwise.scala 103:77] + node _T_810 = and(_T_808, _T_809) @[Bitwise.scala 103:75] + node _T_811 = or(_T_806, _T_810) @[Bitwise.scala 103:39] + lsu_rdata_m <= _T_811 @[lsu_dccm_ctl.scala 156:28] + node _T_812 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 157:78] + node _T_813 = or(io.addr_in_pic_m, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 157:123] + node _T_814 = and(_T_812, _T_813) @[lsu_dccm_ctl.scala 157:103] + node _T_815 = or(_T_814, io.clk_override) @[lsu_dccm_ctl.scala 157:145] + node _T_816 = bits(_T_815, 0, 0) @[lib.scala 8:44] + node _T_817 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr of rvclkhdr @[lib.scala 377:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 379:18] - rvclkhdr.io.en <= _T_815 @[lib.scala 380:17] + rvclkhdr.io.en <= _T_816 @[lib.scala 380:17] rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 381:24] - reg _T_817 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] - _T_817 <= lsu_ld_data_corr_m @[lib.scala 383:16] - io.lsu_ld_data_corr_r <= _T_817 @[lsu_dccm_ctl.scala 157:28] - node _T_818 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 158:63] - node _T_819 = mul(UInt<4>("h08"), _T_818) @[lsu_dccm_ctl.scala 158:49] - node _T_820 = dshr(lsu_rdata_m, _T_819) @[lsu_dccm_ctl.scala 158:43] - io.lsu_ld_data_m <= _T_820 @[lsu_dccm_ctl.scala 158:28] - node _T_821 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 159:68] - node _T_822 = mul(UInt<4>("h08"), _T_821) @[lsu_dccm_ctl.scala 159:54] - node _T_823 = dshr(lsu_rdata_corr_m, _T_822) @[lsu_dccm_ctl.scala 159:48] - lsu_ld_data_corr_m <= _T_823 @[lsu_dccm_ctl.scala 159:28] - node _T_824 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:44] - node _T_825 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:77] - node _T_826 = eq(_T_824, _T_825) @[lsu_dccm_ctl.scala 163:60] - node _T_827 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:117] - node _T_828 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:150] - node _T_829 = eq(_T_827, _T_828) @[lsu_dccm_ctl.scala 163:133] - node _T_830 = or(_T_826, _T_829) @[lsu_dccm_ctl.scala 163:101] - node _T_831 = and(_T_830, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 163:175] - node _T_832 = and(_T_831, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 163:196] - node _T_833 = and(_T_832, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 163:222] - node _T_834 = and(_T_833, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 163:246] - node _T_835 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:21] - node _T_836 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:54] - node _T_837 = eq(_T_835, _T_836) @[lsu_dccm_ctl.scala 164:37] - node _T_838 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:94] - node _T_839 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:127] - node _T_840 = eq(_T_838, _T_839) @[lsu_dccm_ctl.scala 164:110] - node _T_841 = or(_T_837, _T_840) @[lsu_dccm_ctl.scala 164:78] - node _T_842 = and(_T_841, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 164:152] - node _T_843 = and(_T_842, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 164:173] - node _T_844 = and(_T_843, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 164:199] - node _T_845 = and(_T_844, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 164:223] - node kill_ecc_corr_lo_r = or(_T_834, _T_845) @[lsu_dccm_ctl.scala 163:267] - node _T_846 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:44] - node _T_847 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:77] - node _T_848 = eq(_T_846, _T_847) @[lsu_dccm_ctl.scala 166:60] - node _T_849 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:117] - node _T_850 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:150] - node _T_851 = eq(_T_849, _T_850) @[lsu_dccm_ctl.scala 166:133] - node _T_852 = or(_T_848, _T_851) @[lsu_dccm_ctl.scala 166:101] - node _T_853 = and(_T_852, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 166:175] - node _T_854 = and(_T_853, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 166:196] - node _T_855 = and(_T_854, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 166:222] - node _T_856 = and(_T_855, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 166:246] - node _T_857 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:21] - node _T_858 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:54] - node _T_859 = eq(_T_857, _T_858) @[lsu_dccm_ctl.scala 167:37] - node _T_860 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:94] - node _T_861 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:127] - node _T_862 = eq(_T_860, _T_861) @[lsu_dccm_ctl.scala 167:110] - node _T_863 = or(_T_859, _T_862) @[lsu_dccm_ctl.scala 167:78] - node _T_864 = and(_T_863, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 167:152] - node _T_865 = and(_T_864, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 167:173] - node _T_866 = and(_T_865, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 167:199] - node _T_867 = and(_T_866, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 167:223] - node kill_ecc_corr_hi_r = or(_T_856, _T_867) @[lsu_dccm_ctl.scala 166:267] - node _T_868 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 169:60] - node _T_869 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 169:89] - node ld_single_ecc_error_lo_r = and(_T_868, _T_869) @[lsu_dccm_ctl.scala 169:87] - node _T_870 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 170:60] - node _T_871 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 170:89] - node ld_single_ecc_error_hi_r = and(_T_870, _T_871) @[lsu_dccm_ctl.scala 170:87] - node _T_872 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 171:63] - node _T_873 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 171:93] - node _T_874 = and(_T_872, _T_873) @[lsu_dccm_ctl.scala 171:91] - io.ld_single_ecc_error_r <= _T_874 @[lsu_dccm_ctl.scala 171:34] - node _T_875 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 172:81] - node _T_876 = and(ld_single_ecc_error_lo_r, _T_875) @[lsu_dccm_ctl.scala 172:62] - node _T_877 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 172:108] - node ld_single_ecc_error_lo_r_ns = and(_T_876, _T_877) @[lsu_dccm_ctl.scala 172:106] - node _T_878 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 173:81] - node _T_879 = and(ld_single_ecc_error_hi_r, _T_878) @[lsu_dccm_ctl.scala 173:62] - node _T_880 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:108] - node ld_single_ecc_error_hi_r_ns = and(_T_879, _T_880) @[lsu_dccm_ctl.scala 173:106] - node _T_881 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 175:125] - node _T_882 = eq(_T_881, UInt<1>("h00")) @[lsu_dccm_ctl.scala 175:100] - node _T_883 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 175:168] - node _T_884 = neq(_T_883, UInt<2>("h00")) @[lsu_dccm_ctl.scala 175:174] - node _T_885 = or(_T_882, _T_884) @[lsu_dccm_ctl.scala 175:152] - node _T_886 = and(io.lsu_pkt_d.bits.store, _T_885) @[lsu_dccm_ctl.scala 175:97] - node _T_887 = or(io.lsu_pkt_d.bits.load, _T_886) @[lsu_dccm_ctl.scala 175:70] - node _T_888 = and(io.lsu_pkt_d.valid, _T_887) @[lsu_dccm_ctl.scala 175:44] - node lsu_dccm_rden_d = and(_T_888, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 175:191] - node _T_889 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 178:63] - node _T_890 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:96] - node _T_891 = and(_T_889, _T_890) @[lsu_dccm_ctl.scala 178:94] - io.ld_single_ecc_error_r_ff <= _T_891 @[lsu_dccm_ctl.scala 178:31] - node _T_892 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 179:75] - node _T_893 = or(_T_892, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 179:93] - node _T_894 = eq(_T_893, UInt<1>("h00")) @[lsu_dccm_ctl.scala 179:57] - node _T_895 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 180:44] - node _T_896 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 180:112] - node _T_897 = eq(_T_895, _T_896) @[lsu_dccm_ctl.scala 180:95] - node _T_898 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 181:25] - node _T_899 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 181:93] - node _T_900 = eq(_T_898, _T_899) @[lsu_dccm_ctl.scala 181:76] - node _T_901 = or(_T_897, _T_900) @[lsu_dccm_ctl.scala 180:171] - node _T_902 = eq(_T_901, UInt<1>("h00")) @[lsu_dccm_ctl.scala 180:24] - node _T_903 = and(lsu_dccm_rden_d, _T_902) @[lsu_dccm_ctl.scala 180:22] - node _T_904 = or(_T_894, _T_903) @[lsu_dccm_ctl.scala 179:124] - node _T_905 = and(io.stbuf_reqvld_any, _T_904) @[lsu_dccm_ctl.scala 179:54] - io.lsu_stbuf_commit_any <= _T_905 @[lsu_dccm_ctl.scala 179:31] - node _T_906 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 185:41] - node _T_907 = or(_T_906, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 185:67] - io.dccm.wren <= _T_907 @[lsu_dccm_ctl.scala 185:22] - node _T_908 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 186:41] - io.dccm.rden <= _T_908 @[lsu_dccm_ctl.scala 186:22] - node _T_909 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 188:57] - node _T_910 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 189:36] - node _T_911 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:62] - node _T_912 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:97] - node _T_913 = mux(_T_910, _T_911, _T_912) @[lsu_dccm_ctl.scala 189:8] - node _T_914 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 190:25] - node _T_915 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 190:45] - node _T_916 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 190:78] - node _T_917 = mux(_T_914, _T_915, _T_916) @[lsu_dccm_ctl.scala 190:8] - node _T_918 = mux(_T_909, _T_913, _T_917) @[lsu_dccm_ctl.scala 188:28] - io.dccm.wr_addr_lo <= _T_918 @[lsu_dccm_ctl.scala 188:22] - node _T_919 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 192:57] - node _T_920 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 193:36] - node _T_921 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:63] - node _T_922 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:99] - node _T_923 = mux(_T_920, _T_921, _T_922) @[lsu_dccm_ctl.scala 193:8] - node _T_924 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 194:25] - node _T_925 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:46] - node _T_926 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 194:79] - node _T_927 = mux(_T_924, _T_925, _T_926) @[lsu_dccm_ctl.scala 194:8] - node _T_928 = mux(_T_919, _T_923, _T_927) @[lsu_dccm_ctl.scala 192:28] - io.dccm.wr_addr_hi <= _T_928 @[lsu_dccm_ctl.scala 192:22] - node _T_929 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 196:38] - io.dccm.rd_addr_lo <= _T_929 @[lsu_dccm_ctl.scala 196:22] - node _T_930 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 197:38] - io.dccm.rd_addr_hi <= _T_930 @[lsu_dccm_ctl.scala 197:22] - node _T_931 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 199:57] - node _T_932 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 200:36] - node _T_933 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 200:70] - node _T_934 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 200:110] - node _T_935 = cat(_T_933, _T_934) @[Cat.scala 29:58] - node _T_936 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 201:34] - node _T_937 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 201:74] - node _T_938 = cat(_T_936, _T_937) @[Cat.scala 29:58] - node _T_939 = mux(_T_932, _T_935, _T_938) @[lsu_dccm_ctl.scala 200:8] - node _T_940 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 202:25] - node _T_941 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 202:60] - node _T_942 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 202:101] - node _T_943 = cat(_T_941, _T_942) @[Cat.scala 29:58] - node _T_944 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 203:27] - node _T_945 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 203:65] - node _T_946 = cat(_T_944, _T_945) @[Cat.scala 29:58] - node _T_947 = mux(_T_940, _T_943, _T_946) @[lsu_dccm_ctl.scala 202:8] - node _T_948 = mux(_T_931, _T_939, _T_947) @[lsu_dccm_ctl.scala 199:28] - io.dccm.wr_data_lo <= _T_948 @[lsu_dccm_ctl.scala 199:22] - node _T_949 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 205:57] - node _T_950 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 206:36] - node _T_951 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 206:71] - node _T_952 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 206:111] - node _T_953 = cat(_T_951, _T_952) @[Cat.scala 29:58] - node _T_954 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 207:34] - node _T_955 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 207:74] - node _T_956 = cat(_T_954, _T_955) @[Cat.scala 29:58] - node _T_957 = mux(_T_950, _T_953, _T_956) @[lsu_dccm_ctl.scala 206:8] - node _T_958 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 208:25] - node _T_959 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 208:61] - node _T_960 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 208:102] - node _T_961 = cat(_T_959, _T_960) @[Cat.scala 29:58] - node _T_962 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 209:27] - node _T_963 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 209:65] - node _T_964 = cat(_T_962, _T_963) @[Cat.scala 29:58] - node _T_965 = mux(_T_958, _T_961, _T_964) @[lsu_dccm_ctl.scala 208:8] - node _T_966 = mux(_T_949, _T_957, _T_965) @[lsu_dccm_ctl.scala 205:28] - io.dccm.wr_data_hi <= _T_966 @[lsu_dccm_ctl.scala 205:22] - node _T_967 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15] - node _T_968 = mux(_T_967, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_969 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15] - node _T_970 = mux(_T_969, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_971 = and(_T_970, UInt<4>("h01")) @[lsu_dccm_ctl.scala 212:94] - node _T_972 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15] - node _T_973 = mux(_T_972, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_974 = and(_T_973, UInt<4>("h03")) @[lsu_dccm_ctl.scala 213:38] - node _T_975 = or(_T_971, _T_974) @[lsu_dccm_ctl.scala 212:107] - node _T_976 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] - node _T_977 = mux(_T_976, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_978 = and(_T_977, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 214:38] - node _T_979 = or(_T_975, _T_978) @[lsu_dccm_ctl.scala 213:51] - node store_byteen_m = and(_T_968, _T_979) @[lsu_dccm_ctl.scala 212:58] - node _T_980 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] - node _T_981 = mux(_T_980, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_982 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15] - node _T_983 = mux(_T_982, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_984 = and(_T_983, UInt<4>("h01")) @[lsu_dccm_ctl.scala 216:94] - node _T_985 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15] - node _T_986 = mux(_T_985, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_987 = and(_T_986, UInt<4>("h03")) @[lsu_dccm_ctl.scala 217:38] - node _T_988 = or(_T_984, _T_987) @[lsu_dccm_ctl.scala 216:107] - node _T_989 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] - node _T_990 = mux(_T_989, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_991 = and(_T_990, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 218:38] - node _T_992 = or(_T_988, _T_991) @[lsu_dccm_ctl.scala 217:51] - node store_byteen_r = and(_T_981, _T_992) @[lsu_dccm_ctl.scala 216:58] + reg _T_818 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] + _T_818 <= lsu_ld_data_corr_m @[lib.scala 383:16] + io.lsu_ld_data_corr_r <= _T_818 @[lsu_dccm_ctl.scala 157:28] + node _T_819 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 158:63] + node _T_820 = mul(UInt<4>("h08"), _T_819) @[lsu_dccm_ctl.scala 158:49] + node _T_821 = dshr(lsu_rdata_m, _T_820) @[lsu_dccm_ctl.scala 158:43] + io.lsu_ld_data_m <= _T_821 @[lsu_dccm_ctl.scala 158:28] + node _T_822 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 159:68] + node _T_823 = mul(UInt<4>("h08"), _T_822) @[lsu_dccm_ctl.scala 159:54] + node _T_824 = dshr(lsu_rdata_corr_m, _T_823) @[lsu_dccm_ctl.scala 159:48] + lsu_ld_data_corr_m <= _T_824 @[lsu_dccm_ctl.scala 159:28] + node _T_825 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:44] + node _T_826 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:77] + node _T_827 = eq(_T_825, _T_826) @[lsu_dccm_ctl.scala 163:60] + node _T_828 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:117] + node _T_829 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:150] + node _T_830 = eq(_T_828, _T_829) @[lsu_dccm_ctl.scala 163:133] + node _T_831 = or(_T_827, _T_830) @[lsu_dccm_ctl.scala 163:101] + node _T_832 = and(_T_831, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 163:175] + node _T_833 = and(_T_832, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 163:196] + node _T_834 = and(_T_833, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 163:222] + node _T_835 = and(_T_834, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 163:246] + node _T_836 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:21] + node _T_837 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:54] + node _T_838 = eq(_T_836, _T_837) @[lsu_dccm_ctl.scala 164:37] + node _T_839 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:94] + node _T_840 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:127] + node _T_841 = eq(_T_839, _T_840) @[lsu_dccm_ctl.scala 164:110] + node _T_842 = or(_T_838, _T_841) @[lsu_dccm_ctl.scala 164:78] + node _T_843 = and(_T_842, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 164:152] + node _T_844 = and(_T_843, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 164:173] + node _T_845 = and(_T_844, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 164:199] + node _T_846 = and(_T_845, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 164:223] + node kill_ecc_corr_lo_r = or(_T_835, _T_846) @[lsu_dccm_ctl.scala 163:267] + node _T_847 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:44] + node _T_848 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:77] + node _T_849 = eq(_T_847, _T_848) @[lsu_dccm_ctl.scala 166:60] + node _T_850 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:117] + node _T_851 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:150] + node _T_852 = eq(_T_850, _T_851) @[lsu_dccm_ctl.scala 166:133] + node _T_853 = or(_T_849, _T_852) @[lsu_dccm_ctl.scala 166:101] + node _T_854 = and(_T_853, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 166:175] + node _T_855 = and(_T_854, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 166:196] + node _T_856 = and(_T_855, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 166:222] + node _T_857 = and(_T_856, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 166:246] + node _T_858 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:21] + node _T_859 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:54] + node _T_860 = eq(_T_858, _T_859) @[lsu_dccm_ctl.scala 167:37] + node _T_861 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:94] + node _T_862 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:127] + node _T_863 = eq(_T_861, _T_862) @[lsu_dccm_ctl.scala 167:110] + node _T_864 = or(_T_860, _T_863) @[lsu_dccm_ctl.scala 167:78] + node _T_865 = and(_T_864, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 167:152] + node _T_866 = and(_T_865, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 167:173] + node _T_867 = and(_T_866, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 167:199] + node _T_868 = and(_T_867, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 167:223] + node kill_ecc_corr_hi_r = or(_T_857, _T_868) @[lsu_dccm_ctl.scala 166:267] + node _T_869 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 169:60] + node _T_870 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 169:89] + node ld_single_ecc_error_lo_r = and(_T_869, _T_870) @[lsu_dccm_ctl.scala 169:87] + node _T_871 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 170:60] + node _T_872 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 170:89] + node ld_single_ecc_error_hi_r = and(_T_871, _T_872) @[lsu_dccm_ctl.scala 170:87] + node _T_873 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 171:63] + node _T_874 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 171:93] + node _T_875 = and(_T_873, _T_874) @[lsu_dccm_ctl.scala 171:91] + io.ld_single_ecc_error_r <= _T_875 @[lsu_dccm_ctl.scala 171:34] + node _T_876 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 172:81] + node _T_877 = and(ld_single_ecc_error_lo_r, _T_876) @[lsu_dccm_ctl.scala 172:62] + node _T_878 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 172:108] + node ld_single_ecc_error_lo_r_ns = and(_T_877, _T_878) @[lsu_dccm_ctl.scala 172:106] + node _T_879 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 173:81] + node _T_880 = and(ld_single_ecc_error_hi_r, _T_879) @[lsu_dccm_ctl.scala 173:62] + node _T_881 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:108] + node ld_single_ecc_error_hi_r_ns = and(_T_880, _T_881) @[lsu_dccm_ctl.scala 173:106] + node _T_882 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 175:125] + node _T_883 = eq(_T_882, UInt<1>("h00")) @[lsu_dccm_ctl.scala 175:100] + node _T_884 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 175:168] + node _T_885 = neq(_T_884, UInt<2>("h00")) @[lsu_dccm_ctl.scala 175:174] + node _T_886 = or(_T_883, _T_885) @[lsu_dccm_ctl.scala 175:152] + node _T_887 = and(io.lsu_pkt_d.bits.store, _T_886) @[lsu_dccm_ctl.scala 175:97] + node _T_888 = or(io.lsu_pkt_d.bits.load, _T_887) @[lsu_dccm_ctl.scala 175:70] + node _T_889 = and(io.lsu_pkt_d.valid, _T_888) @[lsu_dccm_ctl.scala 175:44] + node lsu_dccm_rden_d = and(_T_889, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 175:191] + node _T_890 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 178:63] + node _T_891 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:96] + node _T_892 = and(_T_890, _T_891) @[lsu_dccm_ctl.scala 178:94] + io.ld_single_ecc_error_r_ff <= _T_892 @[lsu_dccm_ctl.scala 178:31] + node _T_893 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 179:75] + node _T_894 = or(_T_893, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 179:93] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[lsu_dccm_ctl.scala 179:57] + node _T_896 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 180:44] + node _T_897 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 180:112] + node _T_898 = eq(_T_896, _T_897) @[lsu_dccm_ctl.scala 180:95] + node _T_899 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 181:25] + node _T_900 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 181:93] + node _T_901 = eq(_T_899, _T_900) @[lsu_dccm_ctl.scala 181:76] + node _T_902 = or(_T_898, _T_901) @[lsu_dccm_ctl.scala 180:171] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[lsu_dccm_ctl.scala 180:24] + node _T_904 = and(lsu_dccm_rden_d, _T_903) @[lsu_dccm_ctl.scala 180:22] + node _T_905 = or(_T_895, _T_904) @[lsu_dccm_ctl.scala 179:124] + node _T_906 = and(io.stbuf_reqvld_any, _T_905) @[lsu_dccm_ctl.scala 179:54] + io.lsu_stbuf_commit_any <= _T_906 @[lsu_dccm_ctl.scala 179:31] + node _T_907 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 185:41] + node _T_908 = or(_T_907, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 185:67] + io.dccm.wren <= _T_908 @[lsu_dccm_ctl.scala 185:22] + node _T_909 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 186:41] + io.dccm.rden <= _T_909 @[lsu_dccm_ctl.scala 186:22] + node _T_910 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 188:57] + node _T_911 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 189:36] + node _T_912 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:62] + node _T_913 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:97] + node _T_914 = mux(_T_911, _T_912, _T_913) @[lsu_dccm_ctl.scala 189:8] + node _T_915 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 190:25] + node _T_916 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 190:45] + node _T_917 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 190:78] + node _T_918 = mux(_T_915, _T_916, _T_917) @[lsu_dccm_ctl.scala 190:8] + node _T_919 = mux(_T_910, _T_914, _T_918) @[lsu_dccm_ctl.scala 188:28] + io.dccm.wr_addr_lo <= _T_919 @[lsu_dccm_ctl.scala 188:22] + node _T_920 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 192:57] + node _T_921 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 193:36] + node _T_922 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:63] + node _T_923 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:99] + node _T_924 = mux(_T_921, _T_922, _T_923) @[lsu_dccm_ctl.scala 193:8] + node _T_925 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 194:25] + node _T_926 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:46] + node _T_927 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 194:79] + node _T_928 = mux(_T_925, _T_926, _T_927) @[lsu_dccm_ctl.scala 194:8] + node _T_929 = mux(_T_920, _T_924, _T_928) @[lsu_dccm_ctl.scala 192:28] + io.dccm.wr_addr_hi <= _T_929 @[lsu_dccm_ctl.scala 192:22] + node _T_930 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 196:38] + io.dccm.rd_addr_lo <= _T_930 @[lsu_dccm_ctl.scala 196:22] + node _T_931 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 197:38] + io.dccm.rd_addr_hi <= _T_931 @[lsu_dccm_ctl.scala 197:22] + node _T_932 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 199:57] + node _T_933 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 200:36] + node _T_934 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 200:70] + node _T_935 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 200:110] + node _T_936 = cat(_T_934, _T_935) @[Cat.scala 29:58] + node _T_937 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 201:34] + node _T_938 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 201:74] + node _T_939 = cat(_T_937, _T_938) @[Cat.scala 29:58] + node _T_940 = mux(_T_933, _T_936, _T_939) @[lsu_dccm_ctl.scala 200:8] + node _T_941 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 202:25] + node _T_942 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 202:60] + node _T_943 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 202:101] + node _T_944 = cat(_T_942, _T_943) @[Cat.scala 29:58] + node _T_945 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 203:27] + node _T_946 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 203:65] + node _T_947 = cat(_T_945, _T_946) @[Cat.scala 29:58] + node _T_948 = mux(_T_941, _T_944, _T_947) @[lsu_dccm_ctl.scala 202:8] + node _T_949 = mux(_T_932, _T_940, _T_948) @[lsu_dccm_ctl.scala 199:28] + io.dccm.wr_data_lo <= _T_949 @[lsu_dccm_ctl.scala 199:22] + node _T_950 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 205:57] + node _T_951 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 206:36] + node _T_952 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 206:71] + node _T_953 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 206:111] + node _T_954 = cat(_T_952, _T_953) @[Cat.scala 29:58] + node _T_955 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 207:34] + node _T_956 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 207:74] + node _T_957 = cat(_T_955, _T_956) @[Cat.scala 29:58] + node _T_958 = mux(_T_951, _T_954, _T_957) @[lsu_dccm_ctl.scala 206:8] + node _T_959 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 208:25] + node _T_960 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 208:61] + node _T_961 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 208:102] + node _T_962 = cat(_T_960, _T_961) @[Cat.scala 29:58] + node _T_963 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 209:27] + node _T_964 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 209:65] + node _T_965 = cat(_T_963, _T_964) @[Cat.scala 29:58] + node _T_966 = mux(_T_959, _T_962, _T_965) @[lsu_dccm_ctl.scala 208:8] + node _T_967 = mux(_T_950, _T_958, _T_966) @[lsu_dccm_ctl.scala 205:28] + io.dccm.wr_data_hi <= _T_967 @[lsu_dccm_ctl.scala 205:22] + node _T_968 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_969 = mux(_T_968, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_970 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_971 = mux(_T_970, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_972 = and(_T_971, UInt<4>("h01")) @[lsu_dccm_ctl.scala 212:94] + node _T_973 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_974 = mux(_T_973, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_975 = and(_T_974, UInt<4>("h03")) @[lsu_dccm_ctl.scala 213:38] + node _T_976 = or(_T_972, _T_975) @[lsu_dccm_ctl.scala 212:107] + node _T_977 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_978 = mux(_T_977, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_979 = and(_T_978, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 214:38] + node _T_980 = or(_T_976, _T_979) @[lsu_dccm_ctl.scala 213:51] + node store_byteen_m = and(_T_969, _T_980) @[lsu_dccm_ctl.scala 212:58] + node _T_981 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_982 = mux(_T_981, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_983 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_984 = mux(_T_983, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_985 = and(_T_984, UInt<4>("h01")) @[lsu_dccm_ctl.scala 216:94] + node _T_986 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_987 = mux(_T_986, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_988 = and(_T_987, UInt<4>("h03")) @[lsu_dccm_ctl.scala 217:38] + node _T_989 = or(_T_985, _T_988) @[lsu_dccm_ctl.scala 216:107] + node _T_990 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_991 = mux(_T_990, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_992 = and(_T_991, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 218:38] + node _T_993 = or(_T_989, _T_992) @[lsu_dccm_ctl.scala 217:51] + node store_byteen_r = and(_T_982, _T_993) @[lsu_dccm_ctl.scala 216:58] wire store_byteen_ext_m : UInt<8> store_byteen_ext_m <= UInt<1>("h00") - node _T_993 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 220:39] - node _T_994 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 220:61] - node _T_995 = dshl(_T_993, _T_994) @[lsu_dccm_ctl.scala 220:45] - store_byteen_ext_m <= _T_995 @[lsu_dccm_ctl.scala 220:22] + node _T_994 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 220:39] + node _T_995 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 220:61] + node _T_996 = dshl(_T_994, _T_995) @[lsu_dccm_ctl.scala 220:45] + store_byteen_ext_m <= _T_996 @[lsu_dccm_ctl.scala 220:22] wire store_byteen_ext_r : UInt<8> store_byteen_ext_r <= UInt<1>("h00") - node _T_996 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 222:39] - node _T_997 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 222:61] - node _T_998 = dshl(_T_996, _T_997) @[lsu_dccm_ctl.scala 222:45] - store_byteen_ext_r <= _T_998 @[lsu_dccm_ctl.scala 222:22] - node _T_999 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 225:51] - node _T_1000 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 225:84] - node _T_1001 = eq(_T_999, _T_1000) @[lsu_dccm_ctl.scala 225:67] - node dccm_wr_bypass_d_m_lo = and(_T_1001, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 225:101] - node _T_1002 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51] - node _T_1003 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 226:84] - node _T_1004 = eq(_T_1002, _T_1003) @[lsu_dccm_ctl.scala 226:67] - node dccm_wr_bypass_d_m_hi = and(_T_1004, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 226:101] - node _T_1005 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 228:51] - node _T_1006 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 228:84] - node _T_1007 = eq(_T_1005, _T_1006) @[lsu_dccm_ctl.scala 228:67] - node dccm_wr_bypass_d_r_lo = and(_T_1007, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 228:101] - node _T_1008 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 229:51] - node _T_1009 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 229:84] - node _T_1010 = eq(_T_1008, _T_1009) @[lsu_dccm_ctl.scala 229:67] - node dccm_wr_bypass_d_r_hi = and(_T_1010, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 229:101] + node _T_997 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 222:39] + node _T_998 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 222:61] + node _T_999 = dshl(_T_997, _T_998) @[lsu_dccm_ctl.scala 222:45] + store_byteen_ext_r <= _T_999 @[lsu_dccm_ctl.scala 222:22] + node _T_1000 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 225:51] + node _T_1001 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 225:84] + node _T_1002 = eq(_T_1000, _T_1001) @[lsu_dccm_ctl.scala 225:67] + node dccm_wr_bypass_d_m_lo = and(_T_1002, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 225:101] + node _T_1003 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51] + node _T_1004 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 226:84] + node _T_1005 = eq(_T_1003, _T_1004) @[lsu_dccm_ctl.scala 226:67] + node dccm_wr_bypass_d_m_hi = and(_T_1005, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 226:101] + node _T_1006 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 228:51] + node _T_1007 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 228:84] + node _T_1008 = eq(_T_1006, _T_1007) @[lsu_dccm_ctl.scala 228:67] + node dccm_wr_bypass_d_r_lo = and(_T_1008, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 228:101] + node _T_1009 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 229:51] + node _T_1010 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 229:84] + node _T_1011 = eq(_T_1009, _T_1010) @[lsu_dccm_ctl.scala 229:67] + node dccm_wr_bypass_d_r_hi = and(_T_1011, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 229:101] wire dccm_wr_bypass_d_m_hi_Q : UInt<1> dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00") wire dccm_wr_bypass_d_m_lo_Q : UInt<1> @@ -1234,1019 +1235,1019 @@ circuit lsu_dccm_ctl : store_data_hi_m <= UInt<32>("h00") wire store_data_lo_m : UInt<32> store_data_lo_m <= UInt<32>("h00") - node _T_1011 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_1012 = bits(io.store_data_m, 31, 0) @[lsu_dccm_ctl.scala 258:64] - node _T_1013 = cat(_T_1011, _T_1012) @[Cat.scala 29:58] - node _T_1014 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 258:92] - node _T_1015 = mul(UInt<4>("h08"), _T_1014) @[lsu_dccm_ctl.scala 258:78] - node _T_1016 = dshl(_T_1013, _T_1015) @[lsu_dccm_ctl.scala 258:72] - store_data_pre_m <= _T_1016 @[lsu_dccm_ctl.scala 258:29] - node _T_1017 = bits(store_data_pre_m, 63, 32) @[lsu_dccm_ctl.scala 259:48] - store_data_hi_m <= _T_1017 @[lsu_dccm_ctl.scala 259:29] - node _T_1018 = bits(store_data_pre_m, 31, 0) @[lsu_dccm_ctl.scala 260:48] - store_data_lo_m <= _T_1018 @[lsu_dccm_ctl.scala 260:29] - node _T_1019 = bits(store_byteen_ext_m, 0, 0) @[lsu_dccm_ctl.scala 261:139] - node _T_1020 = bits(_T_1019, 0, 0) @[lsu_dccm_ctl.scala 261:143] - node _T_1021 = bits(store_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:167] - node _T_1022 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] - node _T_1023 = bits(_T_1022, 0, 0) @[lsu_dccm_ctl.scala 261:237] - node _T_1024 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 261:262] - node _T_1025 = bits(io.sec_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:292] - node _T_1026 = mux(_T_1023, _T_1024, _T_1025) @[lsu_dccm_ctl.scala 261:185] - node _T_1027 = mux(_T_1020, _T_1021, _T_1026) @[lsu_dccm_ctl.scala 261:120] - node _T_1028 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1029 = xor(UInt<8>("h0ff"), _T_1028) @[Bitwise.scala 102:21] - node _T_1030 = shr(_T_1027, 4) @[Bitwise.scala 103:21] - node _T_1031 = and(_T_1030, _T_1029) @[Bitwise.scala 103:31] - node _T_1032 = bits(_T_1027, 3, 0) @[Bitwise.scala 103:46] - node _T_1033 = shl(_T_1032, 4) @[Bitwise.scala 103:65] - node _T_1034 = not(_T_1029) @[Bitwise.scala 103:77] - node _T_1035 = and(_T_1033, _T_1034) @[Bitwise.scala 103:75] - node _T_1036 = or(_T_1031, _T_1035) @[Bitwise.scala 103:39] - node _T_1037 = bits(_T_1029, 5, 0) @[Bitwise.scala 102:28] - node _T_1038 = shl(_T_1037, 2) @[Bitwise.scala 102:47] - node _T_1039 = xor(_T_1029, _T_1038) @[Bitwise.scala 102:21] - node _T_1040 = shr(_T_1036, 2) @[Bitwise.scala 103:21] - node _T_1041 = and(_T_1040, _T_1039) @[Bitwise.scala 103:31] - node _T_1042 = bits(_T_1036, 5, 0) @[Bitwise.scala 103:46] - node _T_1043 = shl(_T_1042, 2) @[Bitwise.scala 103:65] - node _T_1044 = not(_T_1039) @[Bitwise.scala 103:77] - node _T_1045 = and(_T_1043, _T_1044) @[Bitwise.scala 103:75] - node _T_1046 = or(_T_1041, _T_1045) @[Bitwise.scala 103:39] - node _T_1047 = bits(_T_1039, 6, 0) @[Bitwise.scala 102:28] - node _T_1048 = shl(_T_1047, 1) @[Bitwise.scala 102:47] - node _T_1049 = xor(_T_1039, _T_1048) @[Bitwise.scala 102:21] - node _T_1050 = shr(_T_1046, 1) @[Bitwise.scala 103:21] - node _T_1051 = and(_T_1050, _T_1049) @[Bitwise.scala 103:31] - node _T_1052 = bits(_T_1046, 6, 0) @[Bitwise.scala 103:46] - node _T_1053 = shl(_T_1052, 1) @[Bitwise.scala 103:65] - node _T_1054 = not(_T_1049) @[Bitwise.scala 103:77] - node _T_1055 = and(_T_1053, _T_1054) @[Bitwise.scala 103:75] - node _T_1056 = or(_T_1051, _T_1055) @[Bitwise.scala 103:39] - node _T_1057 = bits(store_byteen_ext_m, 1, 1) @[lsu_dccm_ctl.scala 261:139] - node _T_1058 = bits(_T_1057, 0, 0) @[lsu_dccm_ctl.scala 261:143] - node _T_1059 = bits(store_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:167] - node _T_1060 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] - node _T_1061 = bits(_T_1060, 0, 0) @[lsu_dccm_ctl.scala 261:237] - node _T_1062 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 261:262] - node _T_1063 = bits(io.sec_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:292] - node _T_1064 = mux(_T_1061, _T_1062, _T_1063) @[lsu_dccm_ctl.scala 261:185] - node _T_1065 = mux(_T_1058, _T_1059, _T_1064) @[lsu_dccm_ctl.scala 261:120] - node _T_1066 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1067 = xor(UInt<8>("h0ff"), _T_1066) @[Bitwise.scala 102:21] - node _T_1068 = shr(_T_1065, 4) @[Bitwise.scala 103:21] - node _T_1069 = and(_T_1068, _T_1067) @[Bitwise.scala 103:31] - node _T_1070 = bits(_T_1065, 3, 0) @[Bitwise.scala 103:46] - node _T_1071 = shl(_T_1070, 4) @[Bitwise.scala 103:65] - node _T_1072 = not(_T_1067) @[Bitwise.scala 103:77] - node _T_1073 = and(_T_1071, _T_1072) @[Bitwise.scala 103:75] - node _T_1074 = or(_T_1069, _T_1073) @[Bitwise.scala 103:39] - node _T_1075 = bits(_T_1067, 5, 0) @[Bitwise.scala 102:28] - node _T_1076 = shl(_T_1075, 2) @[Bitwise.scala 102:47] - node _T_1077 = xor(_T_1067, _T_1076) @[Bitwise.scala 102:21] - node _T_1078 = shr(_T_1074, 2) @[Bitwise.scala 103:21] - node _T_1079 = and(_T_1078, _T_1077) @[Bitwise.scala 103:31] - node _T_1080 = bits(_T_1074, 5, 0) @[Bitwise.scala 103:46] - node _T_1081 = shl(_T_1080, 2) @[Bitwise.scala 103:65] - node _T_1082 = not(_T_1077) @[Bitwise.scala 103:77] - node _T_1083 = and(_T_1081, _T_1082) @[Bitwise.scala 103:75] - node _T_1084 = or(_T_1079, _T_1083) @[Bitwise.scala 103:39] - node _T_1085 = bits(_T_1077, 6, 0) @[Bitwise.scala 102:28] - node _T_1086 = shl(_T_1085, 1) @[Bitwise.scala 102:47] - node _T_1087 = xor(_T_1077, _T_1086) @[Bitwise.scala 102:21] - node _T_1088 = shr(_T_1084, 1) @[Bitwise.scala 103:21] - node _T_1089 = and(_T_1088, _T_1087) @[Bitwise.scala 103:31] - node _T_1090 = bits(_T_1084, 6, 0) @[Bitwise.scala 103:46] - node _T_1091 = shl(_T_1090, 1) @[Bitwise.scala 103:65] - node _T_1092 = not(_T_1087) @[Bitwise.scala 103:77] - node _T_1093 = and(_T_1091, _T_1092) @[Bitwise.scala 103:75] - node _T_1094 = or(_T_1089, _T_1093) @[Bitwise.scala 103:39] - node _T_1095 = bits(store_byteen_ext_m, 2, 2) @[lsu_dccm_ctl.scala 261:139] - node _T_1096 = bits(_T_1095, 0, 0) @[lsu_dccm_ctl.scala 261:143] - node _T_1097 = bits(store_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:167] - node _T_1098 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] - node _T_1099 = bits(_T_1098, 0, 0) @[lsu_dccm_ctl.scala 261:237] - node _T_1100 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 261:262] - node _T_1101 = bits(io.sec_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:292] - node _T_1102 = mux(_T_1099, _T_1100, _T_1101) @[lsu_dccm_ctl.scala 261:185] - node _T_1103 = mux(_T_1096, _T_1097, _T_1102) @[lsu_dccm_ctl.scala 261:120] - node _T_1104 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1105 = xor(UInt<8>("h0ff"), _T_1104) @[Bitwise.scala 102:21] - node _T_1106 = shr(_T_1103, 4) @[Bitwise.scala 103:21] - node _T_1107 = and(_T_1106, _T_1105) @[Bitwise.scala 103:31] - node _T_1108 = bits(_T_1103, 3, 0) @[Bitwise.scala 103:46] - node _T_1109 = shl(_T_1108, 4) @[Bitwise.scala 103:65] - node _T_1110 = not(_T_1105) @[Bitwise.scala 103:77] - node _T_1111 = and(_T_1109, _T_1110) @[Bitwise.scala 103:75] - node _T_1112 = or(_T_1107, _T_1111) @[Bitwise.scala 103:39] - node _T_1113 = bits(_T_1105, 5, 0) @[Bitwise.scala 102:28] - node _T_1114 = shl(_T_1113, 2) @[Bitwise.scala 102:47] - node _T_1115 = xor(_T_1105, _T_1114) @[Bitwise.scala 102:21] - node _T_1116 = shr(_T_1112, 2) @[Bitwise.scala 103:21] - node _T_1117 = and(_T_1116, _T_1115) @[Bitwise.scala 103:31] - node _T_1118 = bits(_T_1112, 5, 0) @[Bitwise.scala 103:46] - node _T_1119 = shl(_T_1118, 2) @[Bitwise.scala 103:65] - node _T_1120 = not(_T_1115) @[Bitwise.scala 103:77] - node _T_1121 = and(_T_1119, _T_1120) @[Bitwise.scala 103:75] - node _T_1122 = or(_T_1117, _T_1121) @[Bitwise.scala 103:39] - node _T_1123 = bits(_T_1115, 6, 0) @[Bitwise.scala 102:28] - node _T_1124 = shl(_T_1123, 1) @[Bitwise.scala 102:47] - node _T_1125 = xor(_T_1115, _T_1124) @[Bitwise.scala 102:21] - node _T_1126 = shr(_T_1122, 1) @[Bitwise.scala 103:21] - node _T_1127 = and(_T_1126, _T_1125) @[Bitwise.scala 103:31] - node _T_1128 = bits(_T_1122, 6, 0) @[Bitwise.scala 103:46] - node _T_1129 = shl(_T_1128, 1) @[Bitwise.scala 103:65] - node _T_1130 = not(_T_1125) @[Bitwise.scala 103:77] - node _T_1131 = and(_T_1129, _T_1130) @[Bitwise.scala 103:75] - node _T_1132 = or(_T_1127, _T_1131) @[Bitwise.scala 103:39] - node _T_1133 = bits(store_byteen_ext_m, 3, 3) @[lsu_dccm_ctl.scala 261:139] - node _T_1134 = bits(_T_1133, 0, 0) @[lsu_dccm_ctl.scala 261:143] - node _T_1135 = bits(store_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:167] - node _T_1136 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] - node _T_1137 = bits(_T_1136, 0, 0) @[lsu_dccm_ctl.scala 261:237] - node _T_1138 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 261:262] - node _T_1139 = bits(io.sec_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:292] - node _T_1140 = mux(_T_1137, _T_1138, _T_1139) @[lsu_dccm_ctl.scala 261:185] - node _T_1141 = mux(_T_1134, _T_1135, _T_1140) @[lsu_dccm_ctl.scala 261:120] - node _T_1142 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1143 = xor(UInt<8>("h0ff"), _T_1142) @[Bitwise.scala 102:21] - node _T_1144 = shr(_T_1141, 4) @[Bitwise.scala 103:21] - node _T_1145 = and(_T_1144, _T_1143) @[Bitwise.scala 103:31] - node _T_1146 = bits(_T_1141, 3, 0) @[Bitwise.scala 103:46] - node _T_1147 = shl(_T_1146, 4) @[Bitwise.scala 103:65] - node _T_1148 = not(_T_1143) @[Bitwise.scala 103:77] - node _T_1149 = and(_T_1147, _T_1148) @[Bitwise.scala 103:75] - node _T_1150 = or(_T_1145, _T_1149) @[Bitwise.scala 103:39] - node _T_1151 = bits(_T_1143, 5, 0) @[Bitwise.scala 102:28] - node _T_1152 = shl(_T_1151, 2) @[Bitwise.scala 102:47] - node _T_1153 = xor(_T_1143, _T_1152) @[Bitwise.scala 102:21] - node _T_1154 = shr(_T_1150, 2) @[Bitwise.scala 103:21] - node _T_1155 = and(_T_1154, _T_1153) @[Bitwise.scala 103:31] - node _T_1156 = bits(_T_1150, 5, 0) @[Bitwise.scala 103:46] - node _T_1157 = shl(_T_1156, 2) @[Bitwise.scala 103:65] - node _T_1158 = not(_T_1153) @[Bitwise.scala 103:77] - node _T_1159 = and(_T_1157, _T_1158) @[Bitwise.scala 103:75] - node _T_1160 = or(_T_1155, _T_1159) @[Bitwise.scala 103:39] - node _T_1161 = bits(_T_1153, 6, 0) @[Bitwise.scala 102:28] - node _T_1162 = shl(_T_1161, 1) @[Bitwise.scala 102:47] - node _T_1163 = xor(_T_1153, _T_1162) @[Bitwise.scala 102:21] - node _T_1164 = shr(_T_1160, 1) @[Bitwise.scala 103:21] - node _T_1165 = and(_T_1164, _T_1163) @[Bitwise.scala 103:31] - node _T_1166 = bits(_T_1160, 6, 0) @[Bitwise.scala 103:46] - node _T_1167 = shl(_T_1166, 1) @[Bitwise.scala 103:65] - node _T_1168 = not(_T_1163) @[Bitwise.scala 103:77] - node _T_1169 = and(_T_1167, _T_1168) @[Bitwise.scala 103:75] - node _T_1170 = or(_T_1165, _T_1169) @[Bitwise.scala 103:39] - wire _T_1171 : UInt<8>[4] @[lsu_dccm_ctl.scala 261:104] - _T_1171[0] <= _T_1056 @[lsu_dccm_ctl.scala 261:104] - _T_1171[1] <= _T_1094 @[lsu_dccm_ctl.scala 261:104] - _T_1171[2] <= _T_1132 @[lsu_dccm_ctl.scala 261:104] - _T_1171[3] <= _T_1170 @[lsu_dccm_ctl.scala 261:104] - node _T_1172 = cat(_T_1171[2], _T_1171[3]) @[Cat.scala 29:58] - node _T_1173 = cat(_T_1171[0], _T_1171[1]) @[Cat.scala 29:58] - node _T_1174 = cat(_T_1173, _T_1172) @[Cat.scala 29:58] - node _T_1175 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] - node _T_1176 = xor(UInt<32>("h0ffffffff"), _T_1175) @[Bitwise.scala 102:21] - node _T_1177 = shr(_T_1174, 16) @[Bitwise.scala 103:21] - node _T_1178 = and(_T_1177, _T_1176) @[Bitwise.scala 103:31] - node _T_1179 = bits(_T_1174, 15, 0) @[Bitwise.scala 103:46] - node _T_1180 = shl(_T_1179, 16) @[Bitwise.scala 103:65] - node _T_1181 = not(_T_1176) @[Bitwise.scala 103:77] - node _T_1182 = and(_T_1180, _T_1181) @[Bitwise.scala 103:75] - node _T_1183 = or(_T_1178, _T_1182) @[Bitwise.scala 103:39] - node _T_1184 = bits(_T_1176, 23, 0) @[Bitwise.scala 102:28] - node _T_1185 = shl(_T_1184, 8) @[Bitwise.scala 102:47] - node _T_1186 = xor(_T_1176, _T_1185) @[Bitwise.scala 102:21] - node _T_1187 = shr(_T_1183, 8) @[Bitwise.scala 103:21] - node _T_1188 = and(_T_1187, _T_1186) @[Bitwise.scala 103:31] - node _T_1189 = bits(_T_1183, 23, 0) @[Bitwise.scala 103:46] - node _T_1190 = shl(_T_1189, 8) @[Bitwise.scala 103:65] - node _T_1191 = not(_T_1186) @[Bitwise.scala 103:77] - node _T_1192 = and(_T_1190, _T_1191) @[Bitwise.scala 103:75] - node _T_1193 = or(_T_1188, _T_1192) @[Bitwise.scala 103:39] - node _T_1194 = bits(_T_1186, 27, 0) @[Bitwise.scala 102:28] - node _T_1195 = shl(_T_1194, 4) @[Bitwise.scala 102:47] - node _T_1196 = xor(_T_1186, _T_1195) @[Bitwise.scala 102:21] - node _T_1197 = shr(_T_1193, 4) @[Bitwise.scala 103:21] - node _T_1198 = and(_T_1197, _T_1196) @[Bitwise.scala 103:31] - node _T_1199 = bits(_T_1193, 27, 0) @[Bitwise.scala 103:46] - node _T_1200 = shl(_T_1199, 4) @[Bitwise.scala 103:65] - node _T_1201 = not(_T_1196) @[Bitwise.scala 103:77] - node _T_1202 = and(_T_1200, _T_1201) @[Bitwise.scala 103:75] - node _T_1203 = or(_T_1198, _T_1202) @[Bitwise.scala 103:39] - node _T_1204 = bits(_T_1196, 29, 0) @[Bitwise.scala 102:28] - node _T_1205 = shl(_T_1204, 2) @[Bitwise.scala 102:47] - node _T_1206 = xor(_T_1196, _T_1205) @[Bitwise.scala 102:21] - node _T_1207 = shr(_T_1203, 2) @[Bitwise.scala 103:21] - node _T_1208 = and(_T_1207, _T_1206) @[Bitwise.scala 103:31] - node _T_1209 = bits(_T_1203, 29, 0) @[Bitwise.scala 103:46] - node _T_1210 = shl(_T_1209, 2) @[Bitwise.scala 103:65] - node _T_1211 = not(_T_1206) @[Bitwise.scala 103:77] - node _T_1212 = and(_T_1210, _T_1211) @[Bitwise.scala 103:75] - node _T_1213 = or(_T_1208, _T_1212) @[Bitwise.scala 103:39] - node _T_1214 = bits(_T_1206, 30, 0) @[Bitwise.scala 102:28] - node _T_1215 = shl(_T_1214, 1) @[Bitwise.scala 102:47] - node _T_1216 = xor(_T_1206, _T_1215) @[Bitwise.scala 102:21] - node _T_1217 = shr(_T_1213, 1) @[Bitwise.scala 103:21] - node _T_1218 = and(_T_1217, _T_1216) @[Bitwise.scala 103:31] - node _T_1219 = bits(_T_1213, 30, 0) @[Bitwise.scala 103:46] - node _T_1220 = shl(_T_1219, 1) @[Bitwise.scala 103:65] - node _T_1221 = not(_T_1216) @[Bitwise.scala 103:77] - node _T_1222 = and(_T_1220, _T_1221) @[Bitwise.scala 103:75] - node _T_1223 = or(_T_1218, _T_1222) @[Bitwise.scala 103:39] - reg _T_1224 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 261:72] - _T_1224 <= _T_1223 @[lsu_dccm_ctl.scala 261:72] - io.store_data_lo_r <= _T_1224 @[lsu_dccm_ctl.scala 261:29] - node _T_1225 = bits(store_byteen_ext_m, 4, 4) @[lsu_dccm_ctl.scala 262:105] - node _T_1226 = bits(_T_1225, 0, 0) @[lsu_dccm_ctl.scala 262:111] - node _T_1227 = bits(store_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:133] - node _T_1228 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] - node _T_1229 = bits(_T_1228, 0, 0) @[lsu_dccm_ctl.scala 262:203] - node _T_1230 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 262:228] - node _T_1231 = bits(io.sec_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:258] - node _T_1232 = mux(_T_1229, _T_1230, _T_1231) @[lsu_dccm_ctl.scala 262:151] - node _T_1233 = mux(_T_1226, _T_1227, _T_1232) @[lsu_dccm_ctl.scala 262:86] - node _T_1234 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1235 = xor(UInt<8>("h0ff"), _T_1234) @[Bitwise.scala 102:21] - node _T_1236 = shr(_T_1233, 4) @[Bitwise.scala 103:21] - node _T_1237 = and(_T_1236, _T_1235) @[Bitwise.scala 103:31] - node _T_1238 = bits(_T_1233, 3, 0) @[Bitwise.scala 103:46] - node _T_1239 = shl(_T_1238, 4) @[Bitwise.scala 103:65] - node _T_1240 = not(_T_1235) @[Bitwise.scala 103:77] - node _T_1241 = and(_T_1239, _T_1240) @[Bitwise.scala 103:75] - node _T_1242 = or(_T_1237, _T_1241) @[Bitwise.scala 103:39] - node _T_1243 = bits(_T_1235, 5, 0) @[Bitwise.scala 102:28] - node _T_1244 = shl(_T_1243, 2) @[Bitwise.scala 102:47] - node _T_1245 = xor(_T_1235, _T_1244) @[Bitwise.scala 102:21] - node _T_1246 = shr(_T_1242, 2) @[Bitwise.scala 103:21] - node _T_1247 = and(_T_1246, _T_1245) @[Bitwise.scala 103:31] - node _T_1248 = bits(_T_1242, 5, 0) @[Bitwise.scala 103:46] - node _T_1249 = shl(_T_1248, 2) @[Bitwise.scala 103:65] - node _T_1250 = not(_T_1245) @[Bitwise.scala 103:77] - node _T_1251 = and(_T_1249, _T_1250) @[Bitwise.scala 103:75] - node _T_1252 = or(_T_1247, _T_1251) @[Bitwise.scala 103:39] - node _T_1253 = bits(_T_1245, 6, 0) @[Bitwise.scala 102:28] - node _T_1254 = shl(_T_1253, 1) @[Bitwise.scala 102:47] - node _T_1255 = xor(_T_1245, _T_1254) @[Bitwise.scala 102:21] - node _T_1256 = shr(_T_1252, 1) @[Bitwise.scala 103:21] - node _T_1257 = and(_T_1256, _T_1255) @[Bitwise.scala 103:31] - node _T_1258 = bits(_T_1252, 6, 0) @[Bitwise.scala 103:46] - node _T_1259 = shl(_T_1258, 1) @[Bitwise.scala 103:65] - node _T_1260 = not(_T_1255) @[Bitwise.scala 103:77] - node _T_1261 = and(_T_1259, _T_1260) @[Bitwise.scala 103:75] - node _T_1262 = or(_T_1257, _T_1261) @[Bitwise.scala 103:39] - node _T_1263 = bits(store_byteen_ext_m, 5, 5) @[lsu_dccm_ctl.scala 262:105] - node _T_1264 = bits(_T_1263, 0, 0) @[lsu_dccm_ctl.scala 262:111] - node _T_1265 = bits(store_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:133] - node _T_1266 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] - node _T_1267 = bits(_T_1266, 0, 0) @[lsu_dccm_ctl.scala 262:203] - node _T_1268 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 262:228] - node _T_1269 = bits(io.sec_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:258] - node _T_1270 = mux(_T_1267, _T_1268, _T_1269) @[lsu_dccm_ctl.scala 262:151] - node _T_1271 = mux(_T_1264, _T_1265, _T_1270) @[lsu_dccm_ctl.scala 262:86] - node _T_1272 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1273 = xor(UInt<8>("h0ff"), _T_1272) @[Bitwise.scala 102:21] - node _T_1274 = shr(_T_1271, 4) @[Bitwise.scala 103:21] - node _T_1275 = and(_T_1274, _T_1273) @[Bitwise.scala 103:31] - node _T_1276 = bits(_T_1271, 3, 0) @[Bitwise.scala 103:46] - node _T_1277 = shl(_T_1276, 4) @[Bitwise.scala 103:65] - node _T_1278 = not(_T_1273) @[Bitwise.scala 103:77] - node _T_1279 = and(_T_1277, _T_1278) @[Bitwise.scala 103:75] - node _T_1280 = or(_T_1275, _T_1279) @[Bitwise.scala 103:39] - node _T_1281 = bits(_T_1273, 5, 0) @[Bitwise.scala 102:28] - node _T_1282 = shl(_T_1281, 2) @[Bitwise.scala 102:47] - node _T_1283 = xor(_T_1273, _T_1282) @[Bitwise.scala 102:21] - node _T_1284 = shr(_T_1280, 2) @[Bitwise.scala 103:21] - node _T_1285 = and(_T_1284, _T_1283) @[Bitwise.scala 103:31] - node _T_1286 = bits(_T_1280, 5, 0) @[Bitwise.scala 103:46] - node _T_1287 = shl(_T_1286, 2) @[Bitwise.scala 103:65] - node _T_1288 = not(_T_1283) @[Bitwise.scala 103:77] - node _T_1289 = and(_T_1287, _T_1288) @[Bitwise.scala 103:75] - node _T_1290 = or(_T_1285, _T_1289) @[Bitwise.scala 103:39] - node _T_1291 = bits(_T_1283, 6, 0) @[Bitwise.scala 102:28] - node _T_1292 = shl(_T_1291, 1) @[Bitwise.scala 102:47] - node _T_1293 = xor(_T_1283, _T_1292) @[Bitwise.scala 102:21] - node _T_1294 = shr(_T_1290, 1) @[Bitwise.scala 103:21] - node _T_1295 = and(_T_1294, _T_1293) @[Bitwise.scala 103:31] - node _T_1296 = bits(_T_1290, 6, 0) @[Bitwise.scala 103:46] - node _T_1297 = shl(_T_1296, 1) @[Bitwise.scala 103:65] - node _T_1298 = not(_T_1293) @[Bitwise.scala 103:77] - node _T_1299 = and(_T_1297, _T_1298) @[Bitwise.scala 103:75] - node _T_1300 = or(_T_1295, _T_1299) @[Bitwise.scala 103:39] - node _T_1301 = bits(store_byteen_ext_m, 6, 6) @[lsu_dccm_ctl.scala 262:105] - node _T_1302 = bits(_T_1301, 0, 0) @[lsu_dccm_ctl.scala 262:111] - node _T_1303 = bits(store_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:133] - node _T_1304 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] - node _T_1305 = bits(_T_1304, 0, 0) @[lsu_dccm_ctl.scala 262:203] - node _T_1306 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 262:228] - node _T_1307 = bits(io.sec_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:258] - node _T_1308 = mux(_T_1305, _T_1306, _T_1307) @[lsu_dccm_ctl.scala 262:151] - node _T_1309 = mux(_T_1302, _T_1303, _T_1308) @[lsu_dccm_ctl.scala 262:86] - node _T_1310 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1311 = xor(UInt<8>("h0ff"), _T_1310) @[Bitwise.scala 102:21] - node _T_1312 = shr(_T_1309, 4) @[Bitwise.scala 103:21] - node _T_1313 = and(_T_1312, _T_1311) @[Bitwise.scala 103:31] - node _T_1314 = bits(_T_1309, 3, 0) @[Bitwise.scala 103:46] - node _T_1315 = shl(_T_1314, 4) @[Bitwise.scala 103:65] - node _T_1316 = not(_T_1311) @[Bitwise.scala 103:77] - node _T_1317 = and(_T_1315, _T_1316) @[Bitwise.scala 103:75] - node _T_1318 = or(_T_1313, _T_1317) @[Bitwise.scala 103:39] - node _T_1319 = bits(_T_1311, 5, 0) @[Bitwise.scala 102:28] - node _T_1320 = shl(_T_1319, 2) @[Bitwise.scala 102:47] - node _T_1321 = xor(_T_1311, _T_1320) @[Bitwise.scala 102:21] - node _T_1322 = shr(_T_1318, 2) @[Bitwise.scala 103:21] - node _T_1323 = and(_T_1322, _T_1321) @[Bitwise.scala 103:31] - node _T_1324 = bits(_T_1318, 5, 0) @[Bitwise.scala 103:46] - node _T_1325 = shl(_T_1324, 2) @[Bitwise.scala 103:65] - node _T_1326 = not(_T_1321) @[Bitwise.scala 103:77] - node _T_1327 = and(_T_1325, _T_1326) @[Bitwise.scala 103:75] - node _T_1328 = or(_T_1323, _T_1327) @[Bitwise.scala 103:39] - node _T_1329 = bits(_T_1321, 6, 0) @[Bitwise.scala 102:28] - node _T_1330 = shl(_T_1329, 1) @[Bitwise.scala 102:47] - node _T_1331 = xor(_T_1321, _T_1330) @[Bitwise.scala 102:21] - node _T_1332 = shr(_T_1328, 1) @[Bitwise.scala 103:21] - node _T_1333 = and(_T_1332, _T_1331) @[Bitwise.scala 103:31] - node _T_1334 = bits(_T_1328, 6, 0) @[Bitwise.scala 103:46] - node _T_1335 = shl(_T_1334, 1) @[Bitwise.scala 103:65] - node _T_1336 = not(_T_1331) @[Bitwise.scala 103:77] - node _T_1337 = and(_T_1335, _T_1336) @[Bitwise.scala 103:75] - node _T_1338 = or(_T_1333, _T_1337) @[Bitwise.scala 103:39] - node _T_1339 = bits(store_byteen_ext_m, 7, 7) @[lsu_dccm_ctl.scala 262:105] - node _T_1340 = bits(_T_1339, 0, 0) @[lsu_dccm_ctl.scala 262:111] - node _T_1341 = bits(store_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:133] - node _T_1342 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] - node _T_1343 = bits(_T_1342, 0, 0) @[lsu_dccm_ctl.scala 262:203] - node _T_1344 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 262:228] - node _T_1345 = bits(io.sec_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:258] - node _T_1346 = mux(_T_1343, _T_1344, _T_1345) @[lsu_dccm_ctl.scala 262:151] - node _T_1347 = mux(_T_1340, _T_1341, _T_1346) @[lsu_dccm_ctl.scala 262:86] - node _T_1348 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1349 = xor(UInt<8>("h0ff"), _T_1348) @[Bitwise.scala 102:21] - node _T_1350 = shr(_T_1347, 4) @[Bitwise.scala 103:21] - node _T_1351 = and(_T_1350, _T_1349) @[Bitwise.scala 103:31] - node _T_1352 = bits(_T_1347, 3, 0) @[Bitwise.scala 103:46] - node _T_1353 = shl(_T_1352, 4) @[Bitwise.scala 103:65] - node _T_1354 = not(_T_1349) @[Bitwise.scala 103:77] - node _T_1355 = and(_T_1353, _T_1354) @[Bitwise.scala 103:75] - node _T_1356 = or(_T_1351, _T_1355) @[Bitwise.scala 103:39] - node _T_1357 = bits(_T_1349, 5, 0) @[Bitwise.scala 102:28] - node _T_1358 = shl(_T_1357, 2) @[Bitwise.scala 102:47] - node _T_1359 = xor(_T_1349, _T_1358) @[Bitwise.scala 102:21] - node _T_1360 = shr(_T_1356, 2) @[Bitwise.scala 103:21] - node _T_1361 = and(_T_1360, _T_1359) @[Bitwise.scala 103:31] - node _T_1362 = bits(_T_1356, 5, 0) @[Bitwise.scala 103:46] - node _T_1363 = shl(_T_1362, 2) @[Bitwise.scala 103:65] - node _T_1364 = not(_T_1359) @[Bitwise.scala 103:77] - node _T_1365 = and(_T_1363, _T_1364) @[Bitwise.scala 103:75] - node _T_1366 = or(_T_1361, _T_1365) @[Bitwise.scala 103:39] - node _T_1367 = bits(_T_1359, 6, 0) @[Bitwise.scala 102:28] - node _T_1368 = shl(_T_1367, 1) @[Bitwise.scala 102:47] - node _T_1369 = xor(_T_1359, _T_1368) @[Bitwise.scala 102:21] - node _T_1370 = shr(_T_1366, 1) @[Bitwise.scala 103:21] - node _T_1371 = and(_T_1370, _T_1369) @[Bitwise.scala 103:31] - node _T_1372 = bits(_T_1366, 6, 0) @[Bitwise.scala 103:46] - node _T_1373 = shl(_T_1372, 1) @[Bitwise.scala 103:65] - node _T_1374 = not(_T_1369) @[Bitwise.scala 103:77] - node _T_1375 = and(_T_1373, _T_1374) @[Bitwise.scala 103:75] - node _T_1376 = or(_T_1371, _T_1375) @[Bitwise.scala 103:39] - wire _T_1377 : UInt<8>[4] @[lsu_dccm_ctl.scala 262:70] - _T_1377[0] <= _T_1262 @[lsu_dccm_ctl.scala 262:70] - _T_1377[1] <= _T_1300 @[lsu_dccm_ctl.scala 262:70] - _T_1377[2] <= _T_1338 @[lsu_dccm_ctl.scala 262:70] - _T_1377[3] <= _T_1376 @[lsu_dccm_ctl.scala 262:70] - node _T_1378 = cat(_T_1377[2], _T_1377[3]) @[Cat.scala 29:58] - node _T_1379 = cat(_T_1377[0], _T_1377[1]) @[Cat.scala 29:58] - node _T_1380 = cat(_T_1379, _T_1378) @[Cat.scala 29:58] - node _T_1381 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] - node _T_1382 = xor(UInt<32>("h0ffffffff"), _T_1381) @[Bitwise.scala 102:21] - node _T_1383 = shr(_T_1380, 16) @[Bitwise.scala 103:21] - node _T_1384 = and(_T_1383, _T_1382) @[Bitwise.scala 103:31] - node _T_1385 = bits(_T_1380, 15, 0) @[Bitwise.scala 103:46] - node _T_1386 = shl(_T_1385, 16) @[Bitwise.scala 103:65] - node _T_1387 = not(_T_1382) @[Bitwise.scala 103:77] - node _T_1388 = and(_T_1386, _T_1387) @[Bitwise.scala 103:75] - node _T_1389 = or(_T_1384, _T_1388) @[Bitwise.scala 103:39] - node _T_1390 = bits(_T_1382, 23, 0) @[Bitwise.scala 102:28] - node _T_1391 = shl(_T_1390, 8) @[Bitwise.scala 102:47] - node _T_1392 = xor(_T_1382, _T_1391) @[Bitwise.scala 102:21] - node _T_1393 = shr(_T_1389, 8) @[Bitwise.scala 103:21] - node _T_1394 = and(_T_1393, _T_1392) @[Bitwise.scala 103:31] - node _T_1395 = bits(_T_1389, 23, 0) @[Bitwise.scala 103:46] - node _T_1396 = shl(_T_1395, 8) @[Bitwise.scala 103:65] - node _T_1397 = not(_T_1392) @[Bitwise.scala 103:77] - node _T_1398 = and(_T_1396, _T_1397) @[Bitwise.scala 103:75] - node _T_1399 = or(_T_1394, _T_1398) @[Bitwise.scala 103:39] - node _T_1400 = bits(_T_1392, 27, 0) @[Bitwise.scala 102:28] - node _T_1401 = shl(_T_1400, 4) @[Bitwise.scala 102:47] - node _T_1402 = xor(_T_1392, _T_1401) @[Bitwise.scala 102:21] - node _T_1403 = shr(_T_1399, 4) @[Bitwise.scala 103:21] - node _T_1404 = and(_T_1403, _T_1402) @[Bitwise.scala 103:31] - node _T_1405 = bits(_T_1399, 27, 0) @[Bitwise.scala 103:46] - node _T_1406 = shl(_T_1405, 4) @[Bitwise.scala 103:65] - node _T_1407 = not(_T_1402) @[Bitwise.scala 103:77] - node _T_1408 = and(_T_1406, _T_1407) @[Bitwise.scala 103:75] - node _T_1409 = or(_T_1404, _T_1408) @[Bitwise.scala 103:39] - node _T_1410 = bits(_T_1402, 29, 0) @[Bitwise.scala 102:28] - node _T_1411 = shl(_T_1410, 2) @[Bitwise.scala 102:47] - node _T_1412 = xor(_T_1402, _T_1411) @[Bitwise.scala 102:21] - node _T_1413 = shr(_T_1409, 2) @[Bitwise.scala 103:21] - node _T_1414 = and(_T_1413, _T_1412) @[Bitwise.scala 103:31] - node _T_1415 = bits(_T_1409, 29, 0) @[Bitwise.scala 103:46] - node _T_1416 = shl(_T_1415, 2) @[Bitwise.scala 103:65] - node _T_1417 = not(_T_1412) @[Bitwise.scala 103:77] - node _T_1418 = and(_T_1416, _T_1417) @[Bitwise.scala 103:75] - node _T_1419 = or(_T_1414, _T_1418) @[Bitwise.scala 103:39] - node _T_1420 = bits(_T_1412, 30, 0) @[Bitwise.scala 102:28] - node _T_1421 = shl(_T_1420, 1) @[Bitwise.scala 102:47] - node _T_1422 = xor(_T_1412, _T_1421) @[Bitwise.scala 102:21] - node _T_1423 = shr(_T_1419, 1) @[Bitwise.scala 103:21] - node _T_1424 = and(_T_1423, _T_1422) @[Bitwise.scala 103:31] - node _T_1425 = bits(_T_1419, 30, 0) @[Bitwise.scala 103:46] - node _T_1426 = shl(_T_1425, 1) @[Bitwise.scala 103:65] - node _T_1427 = not(_T_1422) @[Bitwise.scala 103:77] - node _T_1428 = and(_T_1426, _T_1427) @[Bitwise.scala 103:75] - node _T_1429 = or(_T_1424, _T_1428) @[Bitwise.scala 103:39] - node _T_1430 = and(io.ldst_dual_m, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 262:295] - node _T_1431 = and(_T_1430, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 262:316] - node _T_1432 = or(_T_1431, io.clk_override) @[lsu_dccm_ctl.scala 262:343] - node _T_1433 = bits(_T_1432, 0, 0) @[lib.scala 8:44] - node _T_1434 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + node _T_1012 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1013 = bits(io.store_data_m, 31, 0) @[lsu_dccm_ctl.scala 258:64] + node _T_1014 = cat(_T_1012, _T_1013) @[Cat.scala 29:58] + node _T_1015 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 258:92] + node _T_1016 = mul(UInt<4>("h08"), _T_1015) @[lsu_dccm_ctl.scala 258:78] + node _T_1017 = dshl(_T_1014, _T_1016) @[lsu_dccm_ctl.scala 258:72] + store_data_pre_m <= _T_1017 @[lsu_dccm_ctl.scala 258:29] + node _T_1018 = bits(store_data_pre_m, 63, 32) @[lsu_dccm_ctl.scala 259:48] + store_data_hi_m <= _T_1018 @[lsu_dccm_ctl.scala 259:29] + node _T_1019 = bits(store_data_pre_m, 31, 0) @[lsu_dccm_ctl.scala 260:48] + store_data_lo_m <= _T_1019 @[lsu_dccm_ctl.scala 260:29] + node _T_1020 = bits(store_byteen_ext_m, 0, 0) @[lsu_dccm_ctl.scala 261:139] + node _T_1021 = bits(_T_1020, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1022 = bits(store_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:167] + node _T_1023 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1024 = bits(_T_1023, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1025 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 261:262] + node _T_1026 = bits(io.sec_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:292] + node _T_1027 = mux(_T_1024, _T_1025, _T_1026) @[lsu_dccm_ctl.scala 261:185] + node _T_1028 = mux(_T_1021, _T_1022, _T_1027) @[lsu_dccm_ctl.scala 261:120] + node _T_1029 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1030 = xor(UInt<8>("h0ff"), _T_1029) @[Bitwise.scala 102:21] + node _T_1031 = shr(_T_1028, 4) @[Bitwise.scala 103:21] + node _T_1032 = and(_T_1031, _T_1030) @[Bitwise.scala 103:31] + node _T_1033 = bits(_T_1028, 3, 0) @[Bitwise.scala 103:46] + node _T_1034 = shl(_T_1033, 4) @[Bitwise.scala 103:65] + node _T_1035 = not(_T_1030) @[Bitwise.scala 103:77] + node _T_1036 = and(_T_1034, _T_1035) @[Bitwise.scala 103:75] + node _T_1037 = or(_T_1032, _T_1036) @[Bitwise.scala 103:39] + node _T_1038 = bits(_T_1030, 5, 0) @[Bitwise.scala 102:28] + node _T_1039 = shl(_T_1038, 2) @[Bitwise.scala 102:47] + node _T_1040 = xor(_T_1030, _T_1039) @[Bitwise.scala 102:21] + node _T_1041 = shr(_T_1037, 2) @[Bitwise.scala 103:21] + node _T_1042 = and(_T_1041, _T_1040) @[Bitwise.scala 103:31] + node _T_1043 = bits(_T_1037, 5, 0) @[Bitwise.scala 103:46] + node _T_1044 = shl(_T_1043, 2) @[Bitwise.scala 103:65] + node _T_1045 = not(_T_1040) @[Bitwise.scala 103:77] + node _T_1046 = and(_T_1044, _T_1045) @[Bitwise.scala 103:75] + node _T_1047 = or(_T_1042, _T_1046) @[Bitwise.scala 103:39] + node _T_1048 = bits(_T_1040, 6, 0) @[Bitwise.scala 102:28] + node _T_1049 = shl(_T_1048, 1) @[Bitwise.scala 102:47] + node _T_1050 = xor(_T_1040, _T_1049) @[Bitwise.scala 102:21] + node _T_1051 = shr(_T_1047, 1) @[Bitwise.scala 103:21] + node _T_1052 = and(_T_1051, _T_1050) @[Bitwise.scala 103:31] + node _T_1053 = bits(_T_1047, 6, 0) @[Bitwise.scala 103:46] + node _T_1054 = shl(_T_1053, 1) @[Bitwise.scala 103:65] + node _T_1055 = not(_T_1050) @[Bitwise.scala 103:77] + node _T_1056 = and(_T_1054, _T_1055) @[Bitwise.scala 103:75] + node _T_1057 = or(_T_1052, _T_1056) @[Bitwise.scala 103:39] + node _T_1058 = bits(store_byteen_ext_m, 1, 1) @[lsu_dccm_ctl.scala 261:139] + node _T_1059 = bits(_T_1058, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1060 = bits(store_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:167] + node _T_1061 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1062 = bits(_T_1061, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1063 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 261:262] + node _T_1064 = bits(io.sec_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:292] + node _T_1065 = mux(_T_1062, _T_1063, _T_1064) @[lsu_dccm_ctl.scala 261:185] + node _T_1066 = mux(_T_1059, _T_1060, _T_1065) @[lsu_dccm_ctl.scala 261:120] + node _T_1067 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1068 = xor(UInt<8>("h0ff"), _T_1067) @[Bitwise.scala 102:21] + node _T_1069 = shr(_T_1066, 4) @[Bitwise.scala 103:21] + node _T_1070 = and(_T_1069, _T_1068) @[Bitwise.scala 103:31] + node _T_1071 = bits(_T_1066, 3, 0) @[Bitwise.scala 103:46] + node _T_1072 = shl(_T_1071, 4) @[Bitwise.scala 103:65] + node _T_1073 = not(_T_1068) @[Bitwise.scala 103:77] + node _T_1074 = and(_T_1072, _T_1073) @[Bitwise.scala 103:75] + node _T_1075 = or(_T_1070, _T_1074) @[Bitwise.scala 103:39] + node _T_1076 = bits(_T_1068, 5, 0) @[Bitwise.scala 102:28] + node _T_1077 = shl(_T_1076, 2) @[Bitwise.scala 102:47] + node _T_1078 = xor(_T_1068, _T_1077) @[Bitwise.scala 102:21] + node _T_1079 = shr(_T_1075, 2) @[Bitwise.scala 103:21] + node _T_1080 = and(_T_1079, _T_1078) @[Bitwise.scala 103:31] + node _T_1081 = bits(_T_1075, 5, 0) @[Bitwise.scala 103:46] + node _T_1082 = shl(_T_1081, 2) @[Bitwise.scala 103:65] + node _T_1083 = not(_T_1078) @[Bitwise.scala 103:77] + node _T_1084 = and(_T_1082, _T_1083) @[Bitwise.scala 103:75] + node _T_1085 = or(_T_1080, _T_1084) @[Bitwise.scala 103:39] + node _T_1086 = bits(_T_1078, 6, 0) @[Bitwise.scala 102:28] + node _T_1087 = shl(_T_1086, 1) @[Bitwise.scala 102:47] + node _T_1088 = xor(_T_1078, _T_1087) @[Bitwise.scala 102:21] + node _T_1089 = shr(_T_1085, 1) @[Bitwise.scala 103:21] + node _T_1090 = and(_T_1089, _T_1088) @[Bitwise.scala 103:31] + node _T_1091 = bits(_T_1085, 6, 0) @[Bitwise.scala 103:46] + node _T_1092 = shl(_T_1091, 1) @[Bitwise.scala 103:65] + node _T_1093 = not(_T_1088) @[Bitwise.scala 103:77] + node _T_1094 = and(_T_1092, _T_1093) @[Bitwise.scala 103:75] + node _T_1095 = or(_T_1090, _T_1094) @[Bitwise.scala 103:39] + node _T_1096 = bits(store_byteen_ext_m, 2, 2) @[lsu_dccm_ctl.scala 261:139] + node _T_1097 = bits(_T_1096, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1098 = bits(store_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:167] + node _T_1099 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1100 = bits(_T_1099, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1101 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 261:262] + node _T_1102 = bits(io.sec_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:292] + node _T_1103 = mux(_T_1100, _T_1101, _T_1102) @[lsu_dccm_ctl.scala 261:185] + node _T_1104 = mux(_T_1097, _T_1098, _T_1103) @[lsu_dccm_ctl.scala 261:120] + node _T_1105 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1106 = xor(UInt<8>("h0ff"), _T_1105) @[Bitwise.scala 102:21] + node _T_1107 = shr(_T_1104, 4) @[Bitwise.scala 103:21] + node _T_1108 = and(_T_1107, _T_1106) @[Bitwise.scala 103:31] + node _T_1109 = bits(_T_1104, 3, 0) @[Bitwise.scala 103:46] + node _T_1110 = shl(_T_1109, 4) @[Bitwise.scala 103:65] + node _T_1111 = not(_T_1106) @[Bitwise.scala 103:77] + node _T_1112 = and(_T_1110, _T_1111) @[Bitwise.scala 103:75] + node _T_1113 = or(_T_1108, _T_1112) @[Bitwise.scala 103:39] + node _T_1114 = bits(_T_1106, 5, 0) @[Bitwise.scala 102:28] + node _T_1115 = shl(_T_1114, 2) @[Bitwise.scala 102:47] + node _T_1116 = xor(_T_1106, _T_1115) @[Bitwise.scala 102:21] + node _T_1117 = shr(_T_1113, 2) @[Bitwise.scala 103:21] + node _T_1118 = and(_T_1117, _T_1116) @[Bitwise.scala 103:31] + node _T_1119 = bits(_T_1113, 5, 0) @[Bitwise.scala 103:46] + node _T_1120 = shl(_T_1119, 2) @[Bitwise.scala 103:65] + node _T_1121 = not(_T_1116) @[Bitwise.scala 103:77] + node _T_1122 = and(_T_1120, _T_1121) @[Bitwise.scala 103:75] + node _T_1123 = or(_T_1118, _T_1122) @[Bitwise.scala 103:39] + node _T_1124 = bits(_T_1116, 6, 0) @[Bitwise.scala 102:28] + node _T_1125 = shl(_T_1124, 1) @[Bitwise.scala 102:47] + node _T_1126 = xor(_T_1116, _T_1125) @[Bitwise.scala 102:21] + node _T_1127 = shr(_T_1123, 1) @[Bitwise.scala 103:21] + node _T_1128 = and(_T_1127, _T_1126) @[Bitwise.scala 103:31] + node _T_1129 = bits(_T_1123, 6, 0) @[Bitwise.scala 103:46] + node _T_1130 = shl(_T_1129, 1) @[Bitwise.scala 103:65] + node _T_1131 = not(_T_1126) @[Bitwise.scala 103:77] + node _T_1132 = and(_T_1130, _T_1131) @[Bitwise.scala 103:75] + node _T_1133 = or(_T_1128, _T_1132) @[Bitwise.scala 103:39] + node _T_1134 = bits(store_byteen_ext_m, 3, 3) @[lsu_dccm_ctl.scala 261:139] + node _T_1135 = bits(_T_1134, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1136 = bits(store_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:167] + node _T_1137 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1138 = bits(_T_1137, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1139 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 261:262] + node _T_1140 = bits(io.sec_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:292] + node _T_1141 = mux(_T_1138, _T_1139, _T_1140) @[lsu_dccm_ctl.scala 261:185] + node _T_1142 = mux(_T_1135, _T_1136, _T_1141) @[lsu_dccm_ctl.scala 261:120] + node _T_1143 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1144 = xor(UInt<8>("h0ff"), _T_1143) @[Bitwise.scala 102:21] + node _T_1145 = shr(_T_1142, 4) @[Bitwise.scala 103:21] + node _T_1146 = and(_T_1145, _T_1144) @[Bitwise.scala 103:31] + node _T_1147 = bits(_T_1142, 3, 0) @[Bitwise.scala 103:46] + node _T_1148 = shl(_T_1147, 4) @[Bitwise.scala 103:65] + node _T_1149 = not(_T_1144) @[Bitwise.scala 103:77] + node _T_1150 = and(_T_1148, _T_1149) @[Bitwise.scala 103:75] + node _T_1151 = or(_T_1146, _T_1150) @[Bitwise.scala 103:39] + node _T_1152 = bits(_T_1144, 5, 0) @[Bitwise.scala 102:28] + node _T_1153 = shl(_T_1152, 2) @[Bitwise.scala 102:47] + node _T_1154 = xor(_T_1144, _T_1153) @[Bitwise.scala 102:21] + node _T_1155 = shr(_T_1151, 2) @[Bitwise.scala 103:21] + node _T_1156 = and(_T_1155, _T_1154) @[Bitwise.scala 103:31] + node _T_1157 = bits(_T_1151, 5, 0) @[Bitwise.scala 103:46] + node _T_1158 = shl(_T_1157, 2) @[Bitwise.scala 103:65] + node _T_1159 = not(_T_1154) @[Bitwise.scala 103:77] + node _T_1160 = and(_T_1158, _T_1159) @[Bitwise.scala 103:75] + node _T_1161 = or(_T_1156, _T_1160) @[Bitwise.scala 103:39] + node _T_1162 = bits(_T_1154, 6, 0) @[Bitwise.scala 102:28] + node _T_1163 = shl(_T_1162, 1) @[Bitwise.scala 102:47] + node _T_1164 = xor(_T_1154, _T_1163) @[Bitwise.scala 102:21] + node _T_1165 = shr(_T_1161, 1) @[Bitwise.scala 103:21] + node _T_1166 = and(_T_1165, _T_1164) @[Bitwise.scala 103:31] + node _T_1167 = bits(_T_1161, 6, 0) @[Bitwise.scala 103:46] + node _T_1168 = shl(_T_1167, 1) @[Bitwise.scala 103:65] + node _T_1169 = not(_T_1164) @[Bitwise.scala 103:77] + node _T_1170 = and(_T_1168, _T_1169) @[Bitwise.scala 103:75] + node _T_1171 = or(_T_1166, _T_1170) @[Bitwise.scala 103:39] + wire _T_1172 : UInt<8>[4] @[lsu_dccm_ctl.scala 261:104] + _T_1172[0] <= _T_1057 @[lsu_dccm_ctl.scala 261:104] + _T_1172[1] <= _T_1095 @[lsu_dccm_ctl.scala 261:104] + _T_1172[2] <= _T_1133 @[lsu_dccm_ctl.scala 261:104] + _T_1172[3] <= _T_1171 @[lsu_dccm_ctl.scala 261:104] + node _T_1173 = cat(_T_1172[2], _T_1172[3]) @[Cat.scala 29:58] + node _T_1174 = cat(_T_1172[0], _T_1172[1]) @[Cat.scala 29:58] + node _T_1175 = cat(_T_1174, _T_1173) @[Cat.scala 29:58] + node _T_1176 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1177 = xor(UInt<32>("h0ffffffff"), _T_1176) @[Bitwise.scala 102:21] + node _T_1178 = shr(_T_1175, 16) @[Bitwise.scala 103:21] + node _T_1179 = and(_T_1178, _T_1177) @[Bitwise.scala 103:31] + node _T_1180 = bits(_T_1175, 15, 0) @[Bitwise.scala 103:46] + node _T_1181 = shl(_T_1180, 16) @[Bitwise.scala 103:65] + node _T_1182 = not(_T_1177) @[Bitwise.scala 103:77] + node _T_1183 = and(_T_1181, _T_1182) @[Bitwise.scala 103:75] + node _T_1184 = or(_T_1179, _T_1183) @[Bitwise.scala 103:39] + node _T_1185 = bits(_T_1177, 23, 0) @[Bitwise.scala 102:28] + node _T_1186 = shl(_T_1185, 8) @[Bitwise.scala 102:47] + node _T_1187 = xor(_T_1177, _T_1186) @[Bitwise.scala 102:21] + node _T_1188 = shr(_T_1184, 8) @[Bitwise.scala 103:21] + node _T_1189 = and(_T_1188, _T_1187) @[Bitwise.scala 103:31] + node _T_1190 = bits(_T_1184, 23, 0) @[Bitwise.scala 103:46] + node _T_1191 = shl(_T_1190, 8) @[Bitwise.scala 103:65] + node _T_1192 = not(_T_1187) @[Bitwise.scala 103:77] + node _T_1193 = and(_T_1191, _T_1192) @[Bitwise.scala 103:75] + node _T_1194 = or(_T_1189, _T_1193) @[Bitwise.scala 103:39] + node _T_1195 = bits(_T_1187, 27, 0) @[Bitwise.scala 102:28] + node _T_1196 = shl(_T_1195, 4) @[Bitwise.scala 102:47] + node _T_1197 = xor(_T_1187, _T_1196) @[Bitwise.scala 102:21] + node _T_1198 = shr(_T_1194, 4) @[Bitwise.scala 103:21] + node _T_1199 = and(_T_1198, _T_1197) @[Bitwise.scala 103:31] + node _T_1200 = bits(_T_1194, 27, 0) @[Bitwise.scala 103:46] + node _T_1201 = shl(_T_1200, 4) @[Bitwise.scala 103:65] + node _T_1202 = not(_T_1197) @[Bitwise.scala 103:77] + node _T_1203 = and(_T_1201, _T_1202) @[Bitwise.scala 103:75] + node _T_1204 = or(_T_1199, _T_1203) @[Bitwise.scala 103:39] + node _T_1205 = bits(_T_1197, 29, 0) @[Bitwise.scala 102:28] + node _T_1206 = shl(_T_1205, 2) @[Bitwise.scala 102:47] + node _T_1207 = xor(_T_1197, _T_1206) @[Bitwise.scala 102:21] + node _T_1208 = shr(_T_1204, 2) @[Bitwise.scala 103:21] + node _T_1209 = and(_T_1208, _T_1207) @[Bitwise.scala 103:31] + node _T_1210 = bits(_T_1204, 29, 0) @[Bitwise.scala 103:46] + node _T_1211 = shl(_T_1210, 2) @[Bitwise.scala 103:65] + node _T_1212 = not(_T_1207) @[Bitwise.scala 103:77] + node _T_1213 = and(_T_1211, _T_1212) @[Bitwise.scala 103:75] + node _T_1214 = or(_T_1209, _T_1213) @[Bitwise.scala 103:39] + node _T_1215 = bits(_T_1207, 30, 0) @[Bitwise.scala 102:28] + node _T_1216 = shl(_T_1215, 1) @[Bitwise.scala 102:47] + node _T_1217 = xor(_T_1207, _T_1216) @[Bitwise.scala 102:21] + node _T_1218 = shr(_T_1214, 1) @[Bitwise.scala 103:21] + node _T_1219 = and(_T_1218, _T_1217) @[Bitwise.scala 103:31] + node _T_1220 = bits(_T_1214, 30, 0) @[Bitwise.scala 103:46] + node _T_1221 = shl(_T_1220, 1) @[Bitwise.scala 103:65] + node _T_1222 = not(_T_1217) @[Bitwise.scala 103:77] + node _T_1223 = and(_T_1221, _T_1222) @[Bitwise.scala 103:75] + node _T_1224 = or(_T_1219, _T_1223) @[Bitwise.scala 103:39] + reg _T_1225 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 261:72] + _T_1225 <= _T_1224 @[lsu_dccm_ctl.scala 261:72] + io.store_data_lo_r <= _T_1225 @[lsu_dccm_ctl.scala 261:29] + node _T_1226 = bits(store_byteen_ext_m, 4, 4) @[lsu_dccm_ctl.scala 262:105] + node _T_1227 = bits(_T_1226, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1228 = bits(store_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:133] + node _T_1229 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1230 = bits(_T_1229, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1231 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 262:228] + node _T_1232 = bits(io.sec_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:258] + node _T_1233 = mux(_T_1230, _T_1231, _T_1232) @[lsu_dccm_ctl.scala 262:151] + node _T_1234 = mux(_T_1227, _T_1228, _T_1233) @[lsu_dccm_ctl.scala 262:86] + node _T_1235 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1236 = xor(UInt<8>("h0ff"), _T_1235) @[Bitwise.scala 102:21] + node _T_1237 = shr(_T_1234, 4) @[Bitwise.scala 103:21] + node _T_1238 = and(_T_1237, _T_1236) @[Bitwise.scala 103:31] + node _T_1239 = bits(_T_1234, 3, 0) @[Bitwise.scala 103:46] + node _T_1240 = shl(_T_1239, 4) @[Bitwise.scala 103:65] + node _T_1241 = not(_T_1236) @[Bitwise.scala 103:77] + node _T_1242 = and(_T_1240, _T_1241) @[Bitwise.scala 103:75] + node _T_1243 = or(_T_1238, _T_1242) @[Bitwise.scala 103:39] + node _T_1244 = bits(_T_1236, 5, 0) @[Bitwise.scala 102:28] + node _T_1245 = shl(_T_1244, 2) @[Bitwise.scala 102:47] + node _T_1246 = xor(_T_1236, _T_1245) @[Bitwise.scala 102:21] + node _T_1247 = shr(_T_1243, 2) @[Bitwise.scala 103:21] + node _T_1248 = and(_T_1247, _T_1246) @[Bitwise.scala 103:31] + node _T_1249 = bits(_T_1243, 5, 0) @[Bitwise.scala 103:46] + node _T_1250 = shl(_T_1249, 2) @[Bitwise.scala 103:65] + node _T_1251 = not(_T_1246) @[Bitwise.scala 103:77] + node _T_1252 = and(_T_1250, _T_1251) @[Bitwise.scala 103:75] + node _T_1253 = or(_T_1248, _T_1252) @[Bitwise.scala 103:39] + node _T_1254 = bits(_T_1246, 6, 0) @[Bitwise.scala 102:28] + node _T_1255 = shl(_T_1254, 1) @[Bitwise.scala 102:47] + node _T_1256 = xor(_T_1246, _T_1255) @[Bitwise.scala 102:21] + node _T_1257 = shr(_T_1253, 1) @[Bitwise.scala 103:21] + node _T_1258 = and(_T_1257, _T_1256) @[Bitwise.scala 103:31] + node _T_1259 = bits(_T_1253, 6, 0) @[Bitwise.scala 103:46] + node _T_1260 = shl(_T_1259, 1) @[Bitwise.scala 103:65] + node _T_1261 = not(_T_1256) @[Bitwise.scala 103:77] + node _T_1262 = and(_T_1260, _T_1261) @[Bitwise.scala 103:75] + node _T_1263 = or(_T_1258, _T_1262) @[Bitwise.scala 103:39] + node _T_1264 = bits(store_byteen_ext_m, 5, 5) @[lsu_dccm_ctl.scala 262:105] + node _T_1265 = bits(_T_1264, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1266 = bits(store_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:133] + node _T_1267 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1268 = bits(_T_1267, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1269 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 262:228] + node _T_1270 = bits(io.sec_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:258] + node _T_1271 = mux(_T_1268, _T_1269, _T_1270) @[lsu_dccm_ctl.scala 262:151] + node _T_1272 = mux(_T_1265, _T_1266, _T_1271) @[lsu_dccm_ctl.scala 262:86] + node _T_1273 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1274 = xor(UInt<8>("h0ff"), _T_1273) @[Bitwise.scala 102:21] + node _T_1275 = shr(_T_1272, 4) @[Bitwise.scala 103:21] + node _T_1276 = and(_T_1275, _T_1274) @[Bitwise.scala 103:31] + node _T_1277 = bits(_T_1272, 3, 0) @[Bitwise.scala 103:46] + node _T_1278 = shl(_T_1277, 4) @[Bitwise.scala 103:65] + node _T_1279 = not(_T_1274) @[Bitwise.scala 103:77] + node _T_1280 = and(_T_1278, _T_1279) @[Bitwise.scala 103:75] + node _T_1281 = or(_T_1276, _T_1280) @[Bitwise.scala 103:39] + node _T_1282 = bits(_T_1274, 5, 0) @[Bitwise.scala 102:28] + node _T_1283 = shl(_T_1282, 2) @[Bitwise.scala 102:47] + node _T_1284 = xor(_T_1274, _T_1283) @[Bitwise.scala 102:21] + node _T_1285 = shr(_T_1281, 2) @[Bitwise.scala 103:21] + node _T_1286 = and(_T_1285, _T_1284) @[Bitwise.scala 103:31] + node _T_1287 = bits(_T_1281, 5, 0) @[Bitwise.scala 103:46] + node _T_1288 = shl(_T_1287, 2) @[Bitwise.scala 103:65] + node _T_1289 = not(_T_1284) @[Bitwise.scala 103:77] + node _T_1290 = and(_T_1288, _T_1289) @[Bitwise.scala 103:75] + node _T_1291 = or(_T_1286, _T_1290) @[Bitwise.scala 103:39] + node _T_1292 = bits(_T_1284, 6, 0) @[Bitwise.scala 102:28] + node _T_1293 = shl(_T_1292, 1) @[Bitwise.scala 102:47] + node _T_1294 = xor(_T_1284, _T_1293) @[Bitwise.scala 102:21] + node _T_1295 = shr(_T_1291, 1) @[Bitwise.scala 103:21] + node _T_1296 = and(_T_1295, _T_1294) @[Bitwise.scala 103:31] + node _T_1297 = bits(_T_1291, 6, 0) @[Bitwise.scala 103:46] + node _T_1298 = shl(_T_1297, 1) @[Bitwise.scala 103:65] + node _T_1299 = not(_T_1294) @[Bitwise.scala 103:77] + node _T_1300 = and(_T_1298, _T_1299) @[Bitwise.scala 103:75] + node _T_1301 = or(_T_1296, _T_1300) @[Bitwise.scala 103:39] + node _T_1302 = bits(store_byteen_ext_m, 6, 6) @[lsu_dccm_ctl.scala 262:105] + node _T_1303 = bits(_T_1302, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1304 = bits(store_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:133] + node _T_1305 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1306 = bits(_T_1305, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1307 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 262:228] + node _T_1308 = bits(io.sec_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:258] + node _T_1309 = mux(_T_1306, _T_1307, _T_1308) @[lsu_dccm_ctl.scala 262:151] + node _T_1310 = mux(_T_1303, _T_1304, _T_1309) @[lsu_dccm_ctl.scala 262:86] + node _T_1311 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1312 = xor(UInt<8>("h0ff"), _T_1311) @[Bitwise.scala 102:21] + node _T_1313 = shr(_T_1310, 4) @[Bitwise.scala 103:21] + node _T_1314 = and(_T_1313, _T_1312) @[Bitwise.scala 103:31] + node _T_1315 = bits(_T_1310, 3, 0) @[Bitwise.scala 103:46] + node _T_1316 = shl(_T_1315, 4) @[Bitwise.scala 103:65] + node _T_1317 = not(_T_1312) @[Bitwise.scala 103:77] + node _T_1318 = and(_T_1316, _T_1317) @[Bitwise.scala 103:75] + node _T_1319 = or(_T_1314, _T_1318) @[Bitwise.scala 103:39] + node _T_1320 = bits(_T_1312, 5, 0) @[Bitwise.scala 102:28] + node _T_1321 = shl(_T_1320, 2) @[Bitwise.scala 102:47] + node _T_1322 = xor(_T_1312, _T_1321) @[Bitwise.scala 102:21] + node _T_1323 = shr(_T_1319, 2) @[Bitwise.scala 103:21] + node _T_1324 = and(_T_1323, _T_1322) @[Bitwise.scala 103:31] + node _T_1325 = bits(_T_1319, 5, 0) @[Bitwise.scala 103:46] + node _T_1326 = shl(_T_1325, 2) @[Bitwise.scala 103:65] + node _T_1327 = not(_T_1322) @[Bitwise.scala 103:77] + node _T_1328 = and(_T_1326, _T_1327) @[Bitwise.scala 103:75] + node _T_1329 = or(_T_1324, _T_1328) @[Bitwise.scala 103:39] + node _T_1330 = bits(_T_1322, 6, 0) @[Bitwise.scala 102:28] + node _T_1331 = shl(_T_1330, 1) @[Bitwise.scala 102:47] + node _T_1332 = xor(_T_1322, _T_1331) @[Bitwise.scala 102:21] + node _T_1333 = shr(_T_1329, 1) @[Bitwise.scala 103:21] + node _T_1334 = and(_T_1333, _T_1332) @[Bitwise.scala 103:31] + node _T_1335 = bits(_T_1329, 6, 0) @[Bitwise.scala 103:46] + node _T_1336 = shl(_T_1335, 1) @[Bitwise.scala 103:65] + node _T_1337 = not(_T_1332) @[Bitwise.scala 103:77] + node _T_1338 = and(_T_1336, _T_1337) @[Bitwise.scala 103:75] + node _T_1339 = or(_T_1334, _T_1338) @[Bitwise.scala 103:39] + node _T_1340 = bits(store_byteen_ext_m, 7, 7) @[lsu_dccm_ctl.scala 262:105] + node _T_1341 = bits(_T_1340, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1342 = bits(store_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:133] + node _T_1343 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1344 = bits(_T_1343, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1345 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 262:228] + node _T_1346 = bits(io.sec_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:258] + node _T_1347 = mux(_T_1344, _T_1345, _T_1346) @[lsu_dccm_ctl.scala 262:151] + node _T_1348 = mux(_T_1341, _T_1342, _T_1347) @[lsu_dccm_ctl.scala 262:86] + node _T_1349 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1350 = xor(UInt<8>("h0ff"), _T_1349) @[Bitwise.scala 102:21] + node _T_1351 = shr(_T_1348, 4) @[Bitwise.scala 103:21] + node _T_1352 = and(_T_1351, _T_1350) @[Bitwise.scala 103:31] + node _T_1353 = bits(_T_1348, 3, 0) @[Bitwise.scala 103:46] + node _T_1354 = shl(_T_1353, 4) @[Bitwise.scala 103:65] + node _T_1355 = not(_T_1350) @[Bitwise.scala 103:77] + node _T_1356 = and(_T_1354, _T_1355) @[Bitwise.scala 103:75] + node _T_1357 = or(_T_1352, _T_1356) @[Bitwise.scala 103:39] + node _T_1358 = bits(_T_1350, 5, 0) @[Bitwise.scala 102:28] + node _T_1359 = shl(_T_1358, 2) @[Bitwise.scala 102:47] + node _T_1360 = xor(_T_1350, _T_1359) @[Bitwise.scala 102:21] + node _T_1361 = shr(_T_1357, 2) @[Bitwise.scala 103:21] + node _T_1362 = and(_T_1361, _T_1360) @[Bitwise.scala 103:31] + node _T_1363 = bits(_T_1357, 5, 0) @[Bitwise.scala 103:46] + node _T_1364 = shl(_T_1363, 2) @[Bitwise.scala 103:65] + node _T_1365 = not(_T_1360) @[Bitwise.scala 103:77] + node _T_1366 = and(_T_1364, _T_1365) @[Bitwise.scala 103:75] + node _T_1367 = or(_T_1362, _T_1366) @[Bitwise.scala 103:39] + node _T_1368 = bits(_T_1360, 6, 0) @[Bitwise.scala 102:28] + node _T_1369 = shl(_T_1368, 1) @[Bitwise.scala 102:47] + node _T_1370 = xor(_T_1360, _T_1369) @[Bitwise.scala 102:21] + node _T_1371 = shr(_T_1367, 1) @[Bitwise.scala 103:21] + node _T_1372 = and(_T_1371, _T_1370) @[Bitwise.scala 103:31] + node _T_1373 = bits(_T_1367, 6, 0) @[Bitwise.scala 103:46] + node _T_1374 = shl(_T_1373, 1) @[Bitwise.scala 103:65] + node _T_1375 = not(_T_1370) @[Bitwise.scala 103:77] + node _T_1376 = and(_T_1374, _T_1375) @[Bitwise.scala 103:75] + node _T_1377 = or(_T_1372, _T_1376) @[Bitwise.scala 103:39] + wire _T_1378 : UInt<8>[4] @[lsu_dccm_ctl.scala 262:70] + _T_1378[0] <= _T_1263 @[lsu_dccm_ctl.scala 262:70] + _T_1378[1] <= _T_1301 @[lsu_dccm_ctl.scala 262:70] + _T_1378[2] <= _T_1339 @[lsu_dccm_ctl.scala 262:70] + _T_1378[3] <= _T_1377 @[lsu_dccm_ctl.scala 262:70] + node _T_1379 = cat(_T_1378[2], _T_1378[3]) @[Cat.scala 29:58] + node _T_1380 = cat(_T_1378[0], _T_1378[1]) @[Cat.scala 29:58] + node _T_1381 = cat(_T_1380, _T_1379) @[Cat.scala 29:58] + node _T_1382 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1383 = xor(UInt<32>("h0ffffffff"), _T_1382) @[Bitwise.scala 102:21] + node _T_1384 = shr(_T_1381, 16) @[Bitwise.scala 103:21] + node _T_1385 = and(_T_1384, _T_1383) @[Bitwise.scala 103:31] + node _T_1386 = bits(_T_1381, 15, 0) @[Bitwise.scala 103:46] + node _T_1387 = shl(_T_1386, 16) @[Bitwise.scala 103:65] + node _T_1388 = not(_T_1383) @[Bitwise.scala 103:77] + node _T_1389 = and(_T_1387, _T_1388) @[Bitwise.scala 103:75] + node _T_1390 = or(_T_1385, _T_1389) @[Bitwise.scala 103:39] + node _T_1391 = bits(_T_1383, 23, 0) @[Bitwise.scala 102:28] + node _T_1392 = shl(_T_1391, 8) @[Bitwise.scala 102:47] + node _T_1393 = xor(_T_1383, _T_1392) @[Bitwise.scala 102:21] + node _T_1394 = shr(_T_1390, 8) @[Bitwise.scala 103:21] + node _T_1395 = and(_T_1394, _T_1393) @[Bitwise.scala 103:31] + node _T_1396 = bits(_T_1390, 23, 0) @[Bitwise.scala 103:46] + node _T_1397 = shl(_T_1396, 8) @[Bitwise.scala 103:65] + node _T_1398 = not(_T_1393) @[Bitwise.scala 103:77] + node _T_1399 = and(_T_1397, _T_1398) @[Bitwise.scala 103:75] + node _T_1400 = or(_T_1395, _T_1399) @[Bitwise.scala 103:39] + node _T_1401 = bits(_T_1393, 27, 0) @[Bitwise.scala 102:28] + node _T_1402 = shl(_T_1401, 4) @[Bitwise.scala 102:47] + node _T_1403 = xor(_T_1393, _T_1402) @[Bitwise.scala 102:21] + node _T_1404 = shr(_T_1400, 4) @[Bitwise.scala 103:21] + node _T_1405 = and(_T_1404, _T_1403) @[Bitwise.scala 103:31] + node _T_1406 = bits(_T_1400, 27, 0) @[Bitwise.scala 103:46] + node _T_1407 = shl(_T_1406, 4) @[Bitwise.scala 103:65] + node _T_1408 = not(_T_1403) @[Bitwise.scala 103:77] + node _T_1409 = and(_T_1407, _T_1408) @[Bitwise.scala 103:75] + node _T_1410 = or(_T_1405, _T_1409) @[Bitwise.scala 103:39] + node _T_1411 = bits(_T_1403, 29, 0) @[Bitwise.scala 102:28] + node _T_1412 = shl(_T_1411, 2) @[Bitwise.scala 102:47] + node _T_1413 = xor(_T_1403, _T_1412) @[Bitwise.scala 102:21] + node _T_1414 = shr(_T_1410, 2) @[Bitwise.scala 103:21] + node _T_1415 = and(_T_1414, _T_1413) @[Bitwise.scala 103:31] + node _T_1416 = bits(_T_1410, 29, 0) @[Bitwise.scala 103:46] + node _T_1417 = shl(_T_1416, 2) @[Bitwise.scala 103:65] + node _T_1418 = not(_T_1413) @[Bitwise.scala 103:77] + node _T_1419 = and(_T_1417, _T_1418) @[Bitwise.scala 103:75] + node _T_1420 = or(_T_1415, _T_1419) @[Bitwise.scala 103:39] + node _T_1421 = bits(_T_1413, 30, 0) @[Bitwise.scala 102:28] + node _T_1422 = shl(_T_1421, 1) @[Bitwise.scala 102:47] + node _T_1423 = xor(_T_1413, _T_1422) @[Bitwise.scala 102:21] + node _T_1424 = shr(_T_1420, 1) @[Bitwise.scala 103:21] + node _T_1425 = and(_T_1424, _T_1423) @[Bitwise.scala 103:31] + node _T_1426 = bits(_T_1420, 30, 0) @[Bitwise.scala 103:46] + node _T_1427 = shl(_T_1426, 1) @[Bitwise.scala 103:65] + node _T_1428 = not(_T_1423) @[Bitwise.scala 103:77] + node _T_1429 = and(_T_1427, _T_1428) @[Bitwise.scala 103:75] + node _T_1430 = or(_T_1425, _T_1429) @[Bitwise.scala 103:39] + node _T_1431 = and(io.ldst_dual_m, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 262:295] + node _T_1432 = and(_T_1431, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 262:316] + node _T_1433 = or(_T_1432, io.clk_override) @[lsu_dccm_ctl.scala 262:343] + node _T_1434 = bits(_T_1433, 0, 0) @[lib.scala 8:44] + node _T_1435 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 377:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 379:18] - rvclkhdr_1.io.en <= _T_1433 @[lib.scala 380:17] + rvclkhdr_1.io.en <= _T_1434 @[lib.scala 380:17] rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 381:24] - reg _T_1435 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] - _T_1435 <= _T_1429 @[lib.scala 383:16] - io.store_data_hi_r <= _T_1435 @[lsu_dccm_ctl.scala 262:29] - node _T_1436 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] - node _T_1437 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 263:150] - node _T_1438 = eq(_T_1437, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] - node _T_1439 = and(_T_1436, _T_1438) @[lsu_dccm_ctl.scala 263:129] - node _T_1440 = bits(_T_1439, 0, 0) @[lsu_dccm_ctl.scala 263:155] - node _T_1441 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 263:179] - node _T_1442 = bits(io.store_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 263:211] - node _T_1443 = mux(_T_1440, _T_1441, _T_1442) @[lsu_dccm_ctl.scala 263:79] - node _T_1444 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1445 = xor(UInt<8>("h0ff"), _T_1444) @[Bitwise.scala 102:21] - node _T_1446 = shr(_T_1443, 4) @[Bitwise.scala 103:21] - node _T_1447 = and(_T_1446, _T_1445) @[Bitwise.scala 103:31] - node _T_1448 = bits(_T_1443, 3, 0) @[Bitwise.scala 103:46] - node _T_1449 = shl(_T_1448, 4) @[Bitwise.scala 103:65] - node _T_1450 = not(_T_1445) @[Bitwise.scala 103:77] - node _T_1451 = and(_T_1449, _T_1450) @[Bitwise.scala 103:75] - node _T_1452 = or(_T_1447, _T_1451) @[Bitwise.scala 103:39] - node _T_1453 = bits(_T_1445, 5, 0) @[Bitwise.scala 102:28] - node _T_1454 = shl(_T_1453, 2) @[Bitwise.scala 102:47] - node _T_1455 = xor(_T_1445, _T_1454) @[Bitwise.scala 102:21] - node _T_1456 = shr(_T_1452, 2) @[Bitwise.scala 103:21] - node _T_1457 = and(_T_1456, _T_1455) @[Bitwise.scala 103:31] - node _T_1458 = bits(_T_1452, 5, 0) @[Bitwise.scala 103:46] - node _T_1459 = shl(_T_1458, 2) @[Bitwise.scala 103:65] - node _T_1460 = not(_T_1455) @[Bitwise.scala 103:77] - node _T_1461 = and(_T_1459, _T_1460) @[Bitwise.scala 103:75] - node _T_1462 = or(_T_1457, _T_1461) @[Bitwise.scala 103:39] - node _T_1463 = bits(_T_1455, 6, 0) @[Bitwise.scala 102:28] - node _T_1464 = shl(_T_1463, 1) @[Bitwise.scala 102:47] - node _T_1465 = xor(_T_1455, _T_1464) @[Bitwise.scala 102:21] - node _T_1466 = shr(_T_1462, 1) @[Bitwise.scala 103:21] - node _T_1467 = and(_T_1466, _T_1465) @[Bitwise.scala 103:31] - node _T_1468 = bits(_T_1462, 6, 0) @[Bitwise.scala 103:46] - node _T_1469 = shl(_T_1468, 1) @[Bitwise.scala 103:65] - node _T_1470 = not(_T_1465) @[Bitwise.scala 103:77] - node _T_1471 = and(_T_1469, _T_1470) @[Bitwise.scala 103:75] - node _T_1472 = or(_T_1467, _T_1471) @[Bitwise.scala 103:39] - node _T_1473 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] - node _T_1474 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 263:150] - node _T_1475 = eq(_T_1474, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] - node _T_1476 = and(_T_1473, _T_1475) @[lsu_dccm_ctl.scala 263:129] - node _T_1477 = bits(_T_1476, 0, 0) @[lsu_dccm_ctl.scala 263:155] - node _T_1478 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 263:179] - node _T_1479 = bits(io.store_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 263:211] - node _T_1480 = mux(_T_1477, _T_1478, _T_1479) @[lsu_dccm_ctl.scala 263:79] - node _T_1481 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1482 = xor(UInt<8>("h0ff"), _T_1481) @[Bitwise.scala 102:21] - node _T_1483 = shr(_T_1480, 4) @[Bitwise.scala 103:21] - node _T_1484 = and(_T_1483, _T_1482) @[Bitwise.scala 103:31] - node _T_1485 = bits(_T_1480, 3, 0) @[Bitwise.scala 103:46] - node _T_1486 = shl(_T_1485, 4) @[Bitwise.scala 103:65] - node _T_1487 = not(_T_1482) @[Bitwise.scala 103:77] - node _T_1488 = and(_T_1486, _T_1487) @[Bitwise.scala 103:75] - node _T_1489 = or(_T_1484, _T_1488) @[Bitwise.scala 103:39] - node _T_1490 = bits(_T_1482, 5, 0) @[Bitwise.scala 102:28] - node _T_1491 = shl(_T_1490, 2) @[Bitwise.scala 102:47] - node _T_1492 = xor(_T_1482, _T_1491) @[Bitwise.scala 102:21] - node _T_1493 = shr(_T_1489, 2) @[Bitwise.scala 103:21] - node _T_1494 = and(_T_1493, _T_1492) @[Bitwise.scala 103:31] - node _T_1495 = bits(_T_1489, 5, 0) @[Bitwise.scala 103:46] - node _T_1496 = shl(_T_1495, 2) @[Bitwise.scala 103:65] - node _T_1497 = not(_T_1492) @[Bitwise.scala 103:77] - node _T_1498 = and(_T_1496, _T_1497) @[Bitwise.scala 103:75] - node _T_1499 = or(_T_1494, _T_1498) @[Bitwise.scala 103:39] - node _T_1500 = bits(_T_1492, 6, 0) @[Bitwise.scala 102:28] - node _T_1501 = shl(_T_1500, 1) @[Bitwise.scala 102:47] - node _T_1502 = xor(_T_1492, _T_1501) @[Bitwise.scala 102:21] - node _T_1503 = shr(_T_1499, 1) @[Bitwise.scala 103:21] - node _T_1504 = and(_T_1503, _T_1502) @[Bitwise.scala 103:31] - node _T_1505 = bits(_T_1499, 6, 0) @[Bitwise.scala 103:46] - node _T_1506 = shl(_T_1505, 1) @[Bitwise.scala 103:65] - node _T_1507 = not(_T_1502) @[Bitwise.scala 103:77] - node _T_1508 = and(_T_1506, _T_1507) @[Bitwise.scala 103:75] - node _T_1509 = or(_T_1504, _T_1508) @[Bitwise.scala 103:39] - node _T_1510 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] - node _T_1511 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 263:150] - node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] - node _T_1513 = and(_T_1510, _T_1512) @[lsu_dccm_ctl.scala 263:129] - node _T_1514 = bits(_T_1513, 0, 0) @[lsu_dccm_ctl.scala 263:155] - node _T_1515 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 263:179] - node _T_1516 = bits(io.store_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 263:211] - node _T_1517 = mux(_T_1514, _T_1515, _T_1516) @[lsu_dccm_ctl.scala 263:79] - node _T_1518 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1519 = xor(UInt<8>("h0ff"), _T_1518) @[Bitwise.scala 102:21] - node _T_1520 = shr(_T_1517, 4) @[Bitwise.scala 103:21] - node _T_1521 = and(_T_1520, _T_1519) @[Bitwise.scala 103:31] - node _T_1522 = bits(_T_1517, 3, 0) @[Bitwise.scala 103:46] - node _T_1523 = shl(_T_1522, 4) @[Bitwise.scala 103:65] - node _T_1524 = not(_T_1519) @[Bitwise.scala 103:77] - node _T_1525 = and(_T_1523, _T_1524) @[Bitwise.scala 103:75] - node _T_1526 = or(_T_1521, _T_1525) @[Bitwise.scala 103:39] - node _T_1527 = bits(_T_1519, 5, 0) @[Bitwise.scala 102:28] - node _T_1528 = shl(_T_1527, 2) @[Bitwise.scala 102:47] - node _T_1529 = xor(_T_1519, _T_1528) @[Bitwise.scala 102:21] - node _T_1530 = shr(_T_1526, 2) @[Bitwise.scala 103:21] - node _T_1531 = and(_T_1530, _T_1529) @[Bitwise.scala 103:31] - node _T_1532 = bits(_T_1526, 5, 0) @[Bitwise.scala 103:46] - node _T_1533 = shl(_T_1532, 2) @[Bitwise.scala 103:65] - node _T_1534 = not(_T_1529) @[Bitwise.scala 103:77] - node _T_1535 = and(_T_1533, _T_1534) @[Bitwise.scala 103:75] - node _T_1536 = or(_T_1531, _T_1535) @[Bitwise.scala 103:39] - node _T_1537 = bits(_T_1529, 6, 0) @[Bitwise.scala 102:28] - node _T_1538 = shl(_T_1537, 1) @[Bitwise.scala 102:47] - node _T_1539 = xor(_T_1529, _T_1538) @[Bitwise.scala 102:21] - node _T_1540 = shr(_T_1536, 1) @[Bitwise.scala 103:21] - node _T_1541 = and(_T_1540, _T_1539) @[Bitwise.scala 103:31] - node _T_1542 = bits(_T_1536, 6, 0) @[Bitwise.scala 103:46] - node _T_1543 = shl(_T_1542, 1) @[Bitwise.scala 103:65] - node _T_1544 = not(_T_1539) @[Bitwise.scala 103:77] - node _T_1545 = and(_T_1543, _T_1544) @[Bitwise.scala 103:75] - node _T_1546 = or(_T_1541, _T_1545) @[Bitwise.scala 103:39] - node _T_1547 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] - node _T_1548 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 263:150] - node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] - node _T_1550 = and(_T_1547, _T_1549) @[lsu_dccm_ctl.scala 263:129] - node _T_1551 = bits(_T_1550, 0, 0) @[lsu_dccm_ctl.scala 263:155] - node _T_1552 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 263:179] - node _T_1553 = bits(io.store_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 263:211] - node _T_1554 = mux(_T_1551, _T_1552, _T_1553) @[lsu_dccm_ctl.scala 263:79] - node _T_1555 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1556 = xor(UInt<8>("h0ff"), _T_1555) @[Bitwise.scala 102:21] - node _T_1557 = shr(_T_1554, 4) @[Bitwise.scala 103:21] - node _T_1558 = and(_T_1557, _T_1556) @[Bitwise.scala 103:31] - node _T_1559 = bits(_T_1554, 3, 0) @[Bitwise.scala 103:46] - node _T_1560 = shl(_T_1559, 4) @[Bitwise.scala 103:65] - node _T_1561 = not(_T_1556) @[Bitwise.scala 103:77] - node _T_1562 = and(_T_1560, _T_1561) @[Bitwise.scala 103:75] - node _T_1563 = or(_T_1558, _T_1562) @[Bitwise.scala 103:39] - node _T_1564 = bits(_T_1556, 5, 0) @[Bitwise.scala 102:28] - node _T_1565 = shl(_T_1564, 2) @[Bitwise.scala 102:47] - node _T_1566 = xor(_T_1556, _T_1565) @[Bitwise.scala 102:21] - node _T_1567 = shr(_T_1563, 2) @[Bitwise.scala 103:21] - node _T_1568 = and(_T_1567, _T_1566) @[Bitwise.scala 103:31] - node _T_1569 = bits(_T_1563, 5, 0) @[Bitwise.scala 103:46] - node _T_1570 = shl(_T_1569, 2) @[Bitwise.scala 103:65] - node _T_1571 = not(_T_1566) @[Bitwise.scala 103:77] - node _T_1572 = and(_T_1570, _T_1571) @[Bitwise.scala 103:75] - node _T_1573 = or(_T_1568, _T_1572) @[Bitwise.scala 103:39] - node _T_1574 = bits(_T_1566, 6, 0) @[Bitwise.scala 102:28] - node _T_1575 = shl(_T_1574, 1) @[Bitwise.scala 102:47] - node _T_1576 = xor(_T_1566, _T_1575) @[Bitwise.scala 102:21] - node _T_1577 = shr(_T_1573, 1) @[Bitwise.scala 103:21] - node _T_1578 = and(_T_1577, _T_1576) @[Bitwise.scala 103:31] - node _T_1579 = bits(_T_1573, 6, 0) @[Bitwise.scala 103:46] - node _T_1580 = shl(_T_1579, 1) @[Bitwise.scala 103:65] - node _T_1581 = not(_T_1576) @[Bitwise.scala 103:77] - node _T_1582 = and(_T_1580, _T_1581) @[Bitwise.scala 103:75] - node _T_1583 = or(_T_1578, _T_1582) @[Bitwise.scala 103:39] - wire _T_1584 : UInt<8>[4] @[lsu_dccm_ctl.scala 263:63] - _T_1584[0] <= _T_1472 @[lsu_dccm_ctl.scala 263:63] - _T_1584[1] <= _T_1509 @[lsu_dccm_ctl.scala 263:63] - _T_1584[2] <= _T_1546 @[lsu_dccm_ctl.scala 263:63] - _T_1584[3] <= _T_1583 @[lsu_dccm_ctl.scala 263:63] - node _T_1585 = cat(_T_1584[2], _T_1584[3]) @[Cat.scala 29:58] - node _T_1586 = cat(_T_1584[0], _T_1584[1]) @[Cat.scala 29:58] - node _T_1587 = cat(_T_1586, _T_1585) @[Cat.scala 29:58] - node _T_1588 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] - node _T_1589 = xor(UInt<32>("h0ffffffff"), _T_1588) @[Bitwise.scala 102:21] - node _T_1590 = shr(_T_1587, 16) @[Bitwise.scala 103:21] - node _T_1591 = and(_T_1590, _T_1589) @[Bitwise.scala 103:31] - node _T_1592 = bits(_T_1587, 15, 0) @[Bitwise.scala 103:46] - node _T_1593 = shl(_T_1592, 16) @[Bitwise.scala 103:65] - node _T_1594 = not(_T_1589) @[Bitwise.scala 103:77] - node _T_1595 = and(_T_1593, _T_1594) @[Bitwise.scala 103:75] - node _T_1596 = or(_T_1591, _T_1595) @[Bitwise.scala 103:39] - node _T_1597 = bits(_T_1589, 23, 0) @[Bitwise.scala 102:28] - node _T_1598 = shl(_T_1597, 8) @[Bitwise.scala 102:47] - node _T_1599 = xor(_T_1589, _T_1598) @[Bitwise.scala 102:21] - node _T_1600 = shr(_T_1596, 8) @[Bitwise.scala 103:21] - node _T_1601 = and(_T_1600, _T_1599) @[Bitwise.scala 103:31] - node _T_1602 = bits(_T_1596, 23, 0) @[Bitwise.scala 103:46] - node _T_1603 = shl(_T_1602, 8) @[Bitwise.scala 103:65] - node _T_1604 = not(_T_1599) @[Bitwise.scala 103:77] - node _T_1605 = and(_T_1603, _T_1604) @[Bitwise.scala 103:75] - node _T_1606 = or(_T_1601, _T_1605) @[Bitwise.scala 103:39] - node _T_1607 = bits(_T_1599, 27, 0) @[Bitwise.scala 102:28] - node _T_1608 = shl(_T_1607, 4) @[Bitwise.scala 102:47] - node _T_1609 = xor(_T_1599, _T_1608) @[Bitwise.scala 102:21] - node _T_1610 = shr(_T_1606, 4) @[Bitwise.scala 103:21] - node _T_1611 = and(_T_1610, _T_1609) @[Bitwise.scala 103:31] - node _T_1612 = bits(_T_1606, 27, 0) @[Bitwise.scala 103:46] - node _T_1613 = shl(_T_1612, 4) @[Bitwise.scala 103:65] - node _T_1614 = not(_T_1609) @[Bitwise.scala 103:77] - node _T_1615 = and(_T_1613, _T_1614) @[Bitwise.scala 103:75] - node _T_1616 = or(_T_1611, _T_1615) @[Bitwise.scala 103:39] - node _T_1617 = bits(_T_1609, 29, 0) @[Bitwise.scala 102:28] - node _T_1618 = shl(_T_1617, 2) @[Bitwise.scala 102:47] - node _T_1619 = xor(_T_1609, _T_1618) @[Bitwise.scala 102:21] - node _T_1620 = shr(_T_1616, 2) @[Bitwise.scala 103:21] - node _T_1621 = and(_T_1620, _T_1619) @[Bitwise.scala 103:31] - node _T_1622 = bits(_T_1616, 29, 0) @[Bitwise.scala 103:46] - node _T_1623 = shl(_T_1622, 2) @[Bitwise.scala 103:65] - node _T_1624 = not(_T_1619) @[Bitwise.scala 103:77] - node _T_1625 = and(_T_1623, _T_1624) @[Bitwise.scala 103:75] - node _T_1626 = or(_T_1621, _T_1625) @[Bitwise.scala 103:39] - node _T_1627 = bits(_T_1619, 30, 0) @[Bitwise.scala 102:28] - node _T_1628 = shl(_T_1627, 1) @[Bitwise.scala 102:47] - node _T_1629 = xor(_T_1619, _T_1628) @[Bitwise.scala 102:21] - node _T_1630 = shr(_T_1626, 1) @[Bitwise.scala 103:21] - node _T_1631 = and(_T_1630, _T_1629) @[Bitwise.scala 103:31] - node _T_1632 = bits(_T_1626, 30, 0) @[Bitwise.scala 103:46] - node _T_1633 = shl(_T_1632, 1) @[Bitwise.scala 103:65] - node _T_1634 = not(_T_1629) @[Bitwise.scala 103:77] - node _T_1635 = and(_T_1633, _T_1634) @[Bitwise.scala 103:75] - node _T_1636 = or(_T_1631, _T_1635) @[Bitwise.scala 103:39] - io.store_datafn_lo_r <= _T_1636 @[lsu_dccm_ctl.scala 263:29] - node _T_1637 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] - node _T_1638 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 264:150] - node _T_1639 = eq(_T_1638, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] - node _T_1640 = and(_T_1637, _T_1639) @[lsu_dccm_ctl.scala 264:129] - node _T_1641 = bits(_T_1640, 0, 0) @[lsu_dccm_ctl.scala 264:157] - node _T_1642 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 264:181] - node _T_1643 = bits(io.store_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 264:213] - node _T_1644 = mux(_T_1641, _T_1642, _T_1643) @[lsu_dccm_ctl.scala 264:79] - node _T_1645 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1646 = xor(UInt<8>("h0ff"), _T_1645) @[Bitwise.scala 102:21] - node _T_1647 = shr(_T_1644, 4) @[Bitwise.scala 103:21] - node _T_1648 = and(_T_1647, _T_1646) @[Bitwise.scala 103:31] - node _T_1649 = bits(_T_1644, 3, 0) @[Bitwise.scala 103:46] - node _T_1650 = shl(_T_1649, 4) @[Bitwise.scala 103:65] - node _T_1651 = not(_T_1646) @[Bitwise.scala 103:77] - node _T_1652 = and(_T_1650, _T_1651) @[Bitwise.scala 103:75] - node _T_1653 = or(_T_1648, _T_1652) @[Bitwise.scala 103:39] - node _T_1654 = bits(_T_1646, 5, 0) @[Bitwise.scala 102:28] - node _T_1655 = shl(_T_1654, 2) @[Bitwise.scala 102:47] - node _T_1656 = xor(_T_1646, _T_1655) @[Bitwise.scala 102:21] - node _T_1657 = shr(_T_1653, 2) @[Bitwise.scala 103:21] - node _T_1658 = and(_T_1657, _T_1656) @[Bitwise.scala 103:31] - node _T_1659 = bits(_T_1653, 5, 0) @[Bitwise.scala 103:46] - node _T_1660 = shl(_T_1659, 2) @[Bitwise.scala 103:65] - node _T_1661 = not(_T_1656) @[Bitwise.scala 103:77] - node _T_1662 = and(_T_1660, _T_1661) @[Bitwise.scala 103:75] - node _T_1663 = or(_T_1658, _T_1662) @[Bitwise.scala 103:39] - node _T_1664 = bits(_T_1656, 6, 0) @[Bitwise.scala 102:28] - node _T_1665 = shl(_T_1664, 1) @[Bitwise.scala 102:47] - node _T_1666 = xor(_T_1656, _T_1665) @[Bitwise.scala 102:21] - node _T_1667 = shr(_T_1663, 1) @[Bitwise.scala 103:21] - node _T_1668 = and(_T_1667, _T_1666) @[Bitwise.scala 103:31] - node _T_1669 = bits(_T_1663, 6, 0) @[Bitwise.scala 103:46] - node _T_1670 = shl(_T_1669, 1) @[Bitwise.scala 103:65] - node _T_1671 = not(_T_1666) @[Bitwise.scala 103:77] - node _T_1672 = and(_T_1670, _T_1671) @[Bitwise.scala 103:75] - node _T_1673 = or(_T_1668, _T_1672) @[Bitwise.scala 103:39] - node _T_1674 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] - node _T_1675 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 264:150] - node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] - node _T_1677 = and(_T_1674, _T_1676) @[lsu_dccm_ctl.scala 264:129] - node _T_1678 = bits(_T_1677, 0, 0) @[lsu_dccm_ctl.scala 264:157] - node _T_1679 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 264:181] - node _T_1680 = bits(io.store_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 264:213] - node _T_1681 = mux(_T_1678, _T_1679, _T_1680) @[lsu_dccm_ctl.scala 264:79] - node _T_1682 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1683 = xor(UInt<8>("h0ff"), _T_1682) @[Bitwise.scala 102:21] - node _T_1684 = shr(_T_1681, 4) @[Bitwise.scala 103:21] - node _T_1685 = and(_T_1684, _T_1683) @[Bitwise.scala 103:31] - node _T_1686 = bits(_T_1681, 3, 0) @[Bitwise.scala 103:46] - node _T_1687 = shl(_T_1686, 4) @[Bitwise.scala 103:65] - node _T_1688 = not(_T_1683) @[Bitwise.scala 103:77] - node _T_1689 = and(_T_1687, _T_1688) @[Bitwise.scala 103:75] - node _T_1690 = or(_T_1685, _T_1689) @[Bitwise.scala 103:39] - node _T_1691 = bits(_T_1683, 5, 0) @[Bitwise.scala 102:28] - node _T_1692 = shl(_T_1691, 2) @[Bitwise.scala 102:47] - node _T_1693 = xor(_T_1683, _T_1692) @[Bitwise.scala 102:21] - node _T_1694 = shr(_T_1690, 2) @[Bitwise.scala 103:21] - node _T_1695 = and(_T_1694, _T_1693) @[Bitwise.scala 103:31] - node _T_1696 = bits(_T_1690, 5, 0) @[Bitwise.scala 103:46] - node _T_1697 = shl(_T_1696, 2) @[Bitwise.scala 103:65] - node _T_1698 = not(_T_1693) @[Bitwise.scala 103:77] - node _T_1699 = and(_T_1697, _T_1698) @[Bitwise.scala 103:75] - node _T_1700 = or(_T_1695, _T_1699) @[Bitwise.scala 103:39] - node _T_1701 = bits(_T_1693, 6, 0) @[Bitwise.scala 102:28] - node _T_1702 = shl(_T_1701, 1) @[Bitwise.scala 102:47] - node _T_1703 = xor(_T_1693, _T_1702) @[Bitwise.scala 102:21] - node _T_1704 = shr(_T_1700, 1) @[Bitwise.scala 103:21] - node _T_1705 = and(_T_1704, _T_1703) @[Bitwise.scala 103:31] - node _T_1706 = bits(_T_1700, 6, 0) @[Bitwise.scala 103:46] - node _T_1707 = shl(_T_1706, 1) @[Bitwise.scala 103:65] - node _T_1708 = not(_T_1703) @[Bitwise.scala 103:77] - node _T_1709 = and(_T_1707, _T_1708) @[Bitwise.scala 103:75] - node _T_1710 = or(_T_1705, _T_1709) @[Bitwise.scala 103:39] - node _T_1711 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] - node _T_1712 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 264:150] - node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] - node _T_1714 = and(_T_1711, _T_1713) @[lsu_dccm_ctl.scala 264:129] - node _T_1715 = bits(_T_1714, 0, 0) @[lsu_dccm_ctl.scala 264:157] - node _T_1716 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 264:181] - node _T_1717 = bits(io.store_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 264:213] - node _T_1718 = mux(_T_1715, _T_1716, _T_1717) @[lsu_dccm_ctl.scala 264:79] - node _T_1719 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1720 = xor(UInt<8>("h0ff"), _T_1719) @[Bitwise.scala 102:21] - node _T_1721 = shr(_T_1718, 4) @[Bitwise.scala 103:21] - node _T_1722 = and(_T_1721, _T_1720) @[Bitwise.scala 103:31] - node _T_1723 = bits(_T_1718, 3, 0) @[Bitwise.scala 103:46] - node _T_1724 = shl(_T_1723, 4) @[Bitwise.scala 103:65] - node _T_1725 = not(_T_1720) @[Bitwise.scala 103:77] - node _T_1726 = and(_T_1724, _T_1725) @[Bitwise.scala 103:75] - node _T_1727 = or(_T_1722, _T_1726) @[Bitwise.scala 103:39] - node _T_1728 = bits(_T_1720, 5, 0) @[Bitwise.scala 102:28] - node _T_1729 = shl(_T_1728, 2) @[Bitwise.scala 102:47] - node _T_1730 = xor(_T_1720, _T_1729) @[Bitwise.scala 102:21] - node _T_1731 = shr(_T_1727, 2) @[Bitwise.scala 103:21] - node _T_1732 = and(_T_1731, _T_1730) @[Bitwise.scala 103:31] - node _T_1733 = bits(_T_1727, 5, 0) @[Bitwise.scala 103:46] - node _T_1734 = shl(_T_1733, 2) @[Bitwise.scala 103:65] - node _T_1735 = not(_T_1730) @[Bitwise.scala 103:77] - node _T_1736 = and(_T_1734, _T_1735) @[Bitwise.scala 103:75] - node _T_1737 = or(_T_1732, _T_1736) @[Bitwise.scala 103:39] - node _T_1738 = bits(_T_1730, 6, 0) @[Bitwise.scala 102:28] - node _T_1739 = shl(_T_1738, 1) @[Bitwise.scala 102:47] - node _T_1740 = xor(_T_1730, _T_1739) @[Bitwise.scala 102:21] - node _T_1741 = shr(_T_1737, 1) @[Bitwise.scala 103:21] - node _T_1742 = and(_T_1741, _T_1740) @[Bitwise.scala 103:31] - node _T_1743 = bits(_T_1737, 6, 0) @[Bitwise.scala 103:46] - node _T_1744 = shl(_T_1743, 1) @[Bitwise.scala 103:65] - node _T_1745 = not(_T_1740) @[Bitwise.scala 103:77] - node _T_1746 = and(_T_1744, _T_1745) @[Bitwise.scala 103:75] - node _T_1747 = or(_T_1742, _T_1746) @[Bitwise.scala 103:39] - node _T_1748 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] - node _T_1749 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 264:150] - node _T_1750 = eq(_T_1749, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] - node _T_1751 = and(_T_1748, _T_1750) @[lsu_dccm_ctl.scala 264:129] - node _T_1752 = bits(_T_1751, 0, 0) @[lsu_dccm_ctl.scala 264:157] - node _T_1753 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 264:181] - node _T_1754 = bits(io.store_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 264:213] - node _T_1755 = mux(_T_1752, _T_1753, _T_1754) @[lsu_dccm_ctl.scala 264:79] - node _T_1756 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] - node _T_1757 = xor(UInt<8>("h0ff"), _T_1756) @[Bitwise.scala 102:21] - node _T_1758 = shr(_T_1755, 4) @[Bitwise.scala 103:21] - node _T_1759 = and(_T_1758, _T_1757) @[Bitwise.scala 103:31] - node _T_1760 = bits(_T_1755, 3, 0) @[Bitwise.scala 103:46] - node _T_1761 = shl(_T_1760, 4) @[Bitwise.scala 103:65] - node _T_1762 = not(_T_1757) @[Bitwise.scala 103:77] - node _T_1763 = and(_T_1761, _T_1762) @[Bitwise.scala 103:75] - node _T_1764 = or(_T_1759, _T_1763) @[Bitwise.scala 103:39] - node _T_1765 = bits(_T_1757, 5, 0) @[Bitwise.scala 102:28] - node _T_1766 = shl(_T_1765, 2) @[Bitwise.scala 102:47] - node _T_1767 = xor(_T_1757, _T_1766) @[Bitwise.scala 102:21] - node _T_1768 = shr(_T_1764, 2) @[Bitwise.scala 103:21] - node _T_1769 = and(_T_1768, _T_1767) @[Bitwise.scala 103:31] - node _T_1770 = bits(_T_1764, 5, 0) @[Bitwise.scala 103:46] - node _T_1771 = shl(_T_1770, 2) @[Bitwise.scala 103:65] - node _T_1772 = not(_T_1767) @[Bitwise.scala 103:77] - node _T_1773 = and(_T_1771, _T_1772) @[Bitwise.scala 103:75] - node _T_1774 = or(_T_1769, _T_1773) @[Bitwise.scala 103:39] - node _T_1775 = bits(_T_1767, 6, 0) @[Bitwise.scala 102:28] - node _T_1776 = shl(_T_1775, 1) @[Bitwise.scala 102:47] - node _T_1777 = xor(_T_1767, _T_1776) @[Bitwise.scala 102:21] - node _T_1778 = shr(_T_1774, 1) @[Bitwise.scala 103:21] - node _T_1779 = and(_T_1778, _T_1777) @[Bitwise.scala 103:31] - node _T_1780 = bits(_T_1774, 6, 0) @[Bitwise.scala 103:46] - node _T_1781 = shl(_T_1780, 1) @[Bitwise.scala 103:65] - node _T_1782 = not(_T_1777) @[Bitwise.scala 103:77] - node _T_1783 = and(_T_1781, _T_1782) @[Bitwise.scala 103:75] - node _T_1784 = or(_T_1779, _T_1783) @[Bitwise.scala 103:39] - wire _T_1785 : UInt<8>[4] @[lsu_dccm_ctl.scala 264:63] - _T_1785[0] <= _T_1673 @[lsu_dccm_ctl.scala 264:63] - _T_1785[1] <= _T_1710 @[lsu_dccm_ctl.scala 264:63] - _T_1785[2] <= _T_1747 @[lsu_dccm_ctl.scala 264:63] - _T_1785[3] <= _T_1784 @[lsu_dccm_ctl.scala 264:63] - node _T_1786 = cat(_T_1785[2], _T_1785[3]) @[Cat.scala 29:58] - node _T_1787 = cat(_T_1785[0], _T_1785[1]) @[Cat.scala 29:58] - node _T_1788 = cat(_T_1787, _T_1786) @[Cat.scala 29:58] - node _T_1789 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] - node _T_1790 = xor(UInt<32>("h0ffffffff"), _T_1789) @[Bitwise.scala 102:21] - node _T_1791 = shr(_T_1788, 16) @[Bitwise.scala 103:21] - node _T_1792 = and(_T_1791, _T_1790) @[Bitwise.scala 103:31] - node _T_1793 = bits(_T_1788, 15, 0) @[Bitwise.scala 103:46] - node _T_1794 = shl(_T_1793, 16) @[Bitwise.scala 103:65] - node _T_1795 = not(_T_1790) @[Bitwise.scala 103:77] - node _T_1796 = and(_T_1794, _T_1795) @[Bitwise.scala 103:75] - node _T_1797 = or(_T_1792, _T_1796) @[Bitwise.scala 103:39] - node _T_1798 = bits(_T_1790, 23, 0) @[Bitwise.scala 102:28] - node _T_1799 = shl(_T_1798, 8) @[Bitwise.scala 102:47] - node _T_1800 = xor(_T_1790, _T_1799) @[Bitwise.scala 102:21] - node _T_1801 = shr(_T_1797, 8) @[Bitwise.scala 103:21] - node _T_1802 = and(_T_1801, _T_1800) @[Bitwise.scala 103:31] - node _T_1803 = bits(_T_1797, 23, 0) @[Bitwise.scala 103:46] - node _T_1804 = shl(_T_1803, 8) @[Bitwise.scala 103:65] - node _T_1805 = not(_T_1800) @[Bitwise.scala 103:77] - node _T_1806 = and(_T_1804, _T_1805) @[Bitwise.scala 103:75] - node _T_1807 = or(_T_1802, _T_1806) @[Bitwise.scala 103:39] - node _T_1808 = bits(_T_1800, 27, 0) @[Bitwise.scala 102:28] - node _T_1809 = shl(_T_1808, 4) @[Bitwise.scala 102:47] - node _T_1810 = xor(_T_1800, _T_1809) @[Bitwise.scala 102:21] - node _T_1811 = shr(_T_1807, 4) @[Bitwise.scala 103:21] - node _T_1812 = and(_T_1811, _T_1810) @[Bitwise.scala 103:31] - node _T_1813 = bits(_T_1807, 27, 0) @[Bitwise.scala 103:46] - node _T_1814 = shl(_T_1813, 4) @[Bitwise.scala 103:65] - node _T_1815 = not(_T_1810) @[Bitwise.scala 103:77] - node _T_1816 = and(_T_1814, _T_1815) @[Bitwise.scala 103:75] - node _T_1817 = or(_T_1812, _T_1816) @[Bitwise.scala 103:39] - node _T_1818 = bits(_T_1810, 29, 0) @[Bitwise.scala 102:28] - node _T_1819 = shl(_T_1818, 2) @[Bitwise.scala 102:47] - node _T_1820 = xor(_T_1810, _T_1819) @[Bitwise.scala 102:21] - node _T_1821 = shr(_T_1817, 2) @[Bitwise.scala 103:21] - node _T_1822 = and(_T_1821, _T_1820) @[Bitwise.scala 103:31] - node _T_1823 = bits(_T_1817, 29, 0) @[Bitwise.scala 103:46] - node _T_1824 = shl(_T_1823, 2) @[Bitwise.scala 103:65] - node _T_1825 = not(_T_1820) @[Bitwise.scala 103:77] - node _T_1826 = and(_T_1824, _T_1825) @[Bitwise.scala 103:75] - node _T_1827 = or(_T_1822, _T_1826) @[Bitwise.scala 103:39] - node _T_1828 = bits(_T_1820, 30, 0) @[Bitwise.scala 102:28] - node _T_1829 = shl(_T_1828, 1) @[Bitwise.scala 102:47] - node _T_1830 = xor(_T_1820, _T_1829) @[Bitwise.scala 102:21] - node _T_1831 = shr(_T_1827, 1) @[Bitwise.scala 103:21] - node _T_1832 = and(_T_1831, _T_1830) @[Bitwise.scala 103:31] - node _T_1833 = bits(_T_1827, 30, 0) @[Bitwise.scala 103:46] - node _T_1834 = shl(_T_1833, 1) @[Bitwise.scala 103:65] - node _T_1835 = not(_T_1830) @[Bitwise.scala 103:77] - node _T_1836 = and(_T_1834, _T_1835) @[Bitwise.scala 103:75] - node _T_1837 = or(_T_1832, _T_1836) @[Bitwise.scala 103:39] - io.store_datafn_hi_r <= _T_1837 @[lsu_dccm_ctl.scala 264:29] - node _T_1838 = bits(io.store_data_hi_r, 31, 0) @[lsu_dccm_ctl.scala 265:55] - node _T_1839 = bits(io.store_data_lo_r, 31, 0) @[lsu_dccm_ctl.scala 265:80] - node _T_1840 = cat(_T_1838, _T_1839) @[Cat.scala 29:58] - node _T_1841 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 265:108] - node _T_1842 = mul(UInt<4>("h08"), _T_1841) @[lsu_dccm_ctl.scala 265:94] - node _T_1843 = dshr(_T_1840, _T_1842) @[lsu_dccm_ctl.scala 265:88] - node _T_1844 = bits(store_byteen_r, 0, 0) @[lsu_dccm_ctl.scala 265:174] - node _T_1845 = bits(_T_1844, 0, 0) @[Bitwise.scala 72:15] - node _T_1846 = mux(_T_1845, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_1847 = bits(store_byteen_r, 1, 1) @[lsu_dccm_ctl.scala 265:174] - node _T_1848 = bits(_T_1847, 0, 0) @[Bitwise.scala 72:15] - node _T_1849 = mux(_T_1848, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_1850 = bits(store_byteen_r, 2, 2) @[lsu_dccm_ctl.scala 265:174] - node _T_1851 = bits(_T_1850, 0, 0) @[Bitwise.scala 72:15] - node _T_1852 = mux(_T_1851, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_1853 = bits(store_byteen_r, 3, 3) @[lsu_dccm_ctl.scala 265:174] - node _T_1854 = bits(_T_1853, 0, 0) @[Bitwise.scala 72:15] - node _T_1855 = mux(_T_1854, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - wire _T_1856 : UInt<8>[4] @[lsu_dccm_ctl.scala 265:148] - _T_1856[0] <= _T_1846 @[lsu_dccm_ctl.scala 265:148] - _T_1856[1] <= _T_1849 @[lsu_dccm_ctl.scala 265:148] - _T_1856[2] <= _T_1852 @[lsu_dccm_ctl.scala 265:148] - _T_1856[3] <= _T_1855 @[lsu_dccm_ctl.scala 265:148] - node _T_1857 = cat(_T_1856[2], _T_1856[3]) @[Cat.scala 29:58] - node _T_1858 = cat(_T_1856[0], _T_1856[1]) @[Cat.scala 29:58] - node _T_1859 = cat(_T_1858, _T_1857) @[Cat.scala 29:58] - node _T_1860 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] - node _T_1861 = xor(UInt<32>("h0ffffffff"), _T_1860) @[Bitwise.scala 102:21] - node _T_1862 = shr(_T_1859, 16) @[Bitwise.scala 103:21] - node _T_1863 = and(_T_1862, _T_1861) @[Bitwise.scala 103:31] - node _T_1864 = bits(_T_1859, 15, 0) @[Bitwise.scala 103:46] - node _T_1865 = shl(_T_1864, 16) @[Bitwise.scala 103:65] - node _T_1866 = not(_T_1861) @[Bitwise.scala 103:77] - node _T_1867 = and(_T_1865, _T_1866) @[Bitwise.scala 103:75] - node _T_1868 = or(_T_1863, _T_1867) @[Bitwise.scala 103:39] - node _T_1869 = bits(_T_1861, 23, 0) @[Bitwise.scala 102:28] - node _T_1870 = shl(_T_1869, 8) @[Bitwise.scala 102:47] - node _T_1871 = xor(_T_1861, _T_1870) @[Bitwise.scala 102:21] - node _T_1872 = shr(_T_1868, 8) @[Bitwise.scala 103:21] - node _T_1873 = and(_T_1872, _T_1871) @[Bitwise.scala 103:31] - node _T_1874 = bits(_T_1868, 23, 0) @[Bitwise.scala 103:46] - node _T_1875 = shl(_T_1874, 8) @[Bitwise.scala 103:65] - node _T_1876 = not(_T_1871) @[Bitwise.scala 103:77] - node _T_1877 = and(_T_1875, _T_1876) @[Bitwise.scala 103:75] - node _T_1878 = or(_T_1873, _T_1877) @[Bitwise.scala 103:39] - node _T_1879 = bits(_T_1871, 27, 0) @[Bitwise.scala 102:28] - node _T_1880 = shl(_T_1879, 4) @[Bitwise.scala 102:47] - node _T_1881 = xor(_T_1871, _T_1880) @[Bitwise.scala 102:21] - node _T_1882 = shr(_T_1878, 4) @[Bitwise.scala 103:21] - node _T_1883 = and(_T_1882, _T_1881) @[Bitwise.scala 103:31] - node _T_1884 = bits(_T_1878, 27, 0) @[Bitwise.scala 103:46] - node _T_1885 = shl(_T_1884, 4) @[Bitwise.scala 103:65] - node _T_1886 = not(_T_1881) @[Bitwise.scala 103:77] - node _T_1887 = and(_T_1885, _T_1886) @[Bitwise.scala 103:75] - node _T_1888 = or(_T_1883, _T_1887) @[Bitwise.scala 103:39] - node _T_1889 = bits(_T_1881, 29, 0) @[Bitwise.scala 102:28] - node _T_1890 = shl(_T_1889, 2) @[Bitwise.scala 102:47] - node _T_1891 = xor(_T_1881, _T_1890) @[Bitwise.scala 102:21] - node _T_1892 = shr(_T_1888, 2) @[Bitwise.scala 103:21] - node _T_1893 = and(_T_1892, _T_1891) @[Bitwise.scala 103:31] - node _T_1894 = bits(_T_1888, 29, 0) @[Bitwise.scala 103:46] - node _T_1895 = shl(_T_1894, 2) @[Bitwise.scala 103:65] - node _T_1896 = not(_T_1891) @[Bitwise.scala 103:77] - node _T_1897 = and(_T_1895, _T_1896) @[Bitwise.scala 103:75] - node _T_1898 = or(_T_1893, _T_1897) @[Bitwise.scala 103:39] - node _T_1899 = bits(_T_1891, 30, 0) @[Bitwise.scala 102:28] - node _T_1900 = shl(_T_1899, 1) @[Bitwise.scala 102:47] - node _T_1901 = xor(_T_1891, _T_1900) @[Bitwise.scala 102:21] - node _T_1902 = shr(_T_1898, 1) @[Bitwise.scala 103:21] - node _T_1903 = and(_T_1902, _T_1901) @[Bitwise.scala 103:31] - node _T_1904 = bits(_T_1898, 30, 0) @[Bitwise.scala 103:46] - node _T_1905 = shl(_T_1904, 1) @[Bitwise.scala 103:65] - node _T_1906 = not(_T_1901) @[Bitwise.scala 103:77] - node _T_1907 = and(_T_1905, _T_1906) @[Bitwise.scala 103:75] - node _T_1908 = or(_T_1903, _T_1907) @[Bitwise.scala 103:39] - node _T_1909 = and(_T_1843, _T_1908) @[lsu_dccm_ctl.scala 265:115] - io.store_data_r <= _T_1909 @[lsu_dccm_ctl.scala 265:29] - node _T_1910 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 267:48] - io.dccm_rdata_lo_m <= _T_1910 @[lsu_dccm_ctl.scala 267:27] - node _T_1911 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 268:48] - io.dccm_rdata_hi_m <= _T_1911 @[lsu_dccm_ctl.scala 268:27] - node _T_1912 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 269:48] - io.dccm_data_ecc_lo_m <= _T_1912 @[lsu_dccm_ctl.scala 269:27] - node _T_1913 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 270:48] - io.dccm_data_ecc_hi_m <= _T_1913 @[lsu_dccm_ctl.scala 270:27] - node _T_1914 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 272:58] - node _T_1915 = and(_T_1914, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 272:84] - node _T_1916 = and(_T_1915, io.lsu_commit_r) @[lsu_dccm_ctl.scala 272:103] - node _T_1917 = or(_T_1916, io.dma_pic_wen) @[lsu_dccm_ctl.scala 272:122] - io.lsu_pic.picm_wren <= _T_1917 @[lsu_dccm_ctl.scala 272:35] - node _T_1918 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 273:58] - node _T_1919 = and(_T_1918, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 273:84] - io.lsu_pic.picm_rden <= _T_1919 @[lsu_dccm_ctl.scala 273:35] - node _T_1920 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 274:58] - node _T_1921 = and(_T_1920, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 274:84] - io.lsu_pic.picm_mken <= _T_1921 @[lsu_dccm_ctl.scala 274:35] - node _T_1922 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] - node _T_1923 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 275:103] - node _T_1924 = cat(_T_1922, _T_1923) @[Cat.scala 29:58] - node _T_1925 = or(UInt<32>("h0f00c0000"), _T_1924) @[lsu_dccm_ctl.scala 275:62] - io.lsu_pic.picm_rdaddr <= _T_1925 @[lsu_dccm_ctl.scala 275:35] - node _T_1926 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] - node _T_1927 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:109] - node _T_1928 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 276:144] - node _T_1929 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 276:172] - node _T_1930 = mux(_T_1927, _T_1928, _T_1929) @[lsu_dccm_ctl.scala 276:93] - node _T_1931 = cat(_T_1926, _T_1930) @[Cat.scala 29:58] - node _T_1932 = or(UInt<32>("h0f00c0000"), _T_1931) @[lsu_dccm_ctl.scala 276:62] - io.lsu_pic.picm_wraddr <= _T_1932 @[lsu_dccm_ctl.scala 276:35] - node _T_1933 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 277:44] - io.picm_mask_data_m <= _T_1933 @[lsu_dccm_ctl.scala 277:27] - node _T_1934 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 278:57] - node _T_1935 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 278:93] - node _T_1936 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 278:120] - node _T_1937 = mux(_T_1934, _T_1935, _T_1936) @[lsu_dccm_ctl.scala 278:41] - io.lsu_pic.picm_wr_data <= _T_1937 @[lsu_dccm_ctl.scala 278:35] - reg _T_1938 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61] - _T_1938 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 280:61] - io.lsu_dccm_rden_m <= _T_1938 @[lsu_dccm_ctl.scala 280:24] - reg _T_1939 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 281:61] - _T_1939 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 281:61] - io.lsu_dccm_rden_r <= _T_1939 @[lsu_dccm_ctl.scala 281:24] - reg _T_1940 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 282:73] - _T_1940 <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 282:73] - lsu_double_ecc_error_r_ff <= _T_1940 @[lsu_dccm_ctl.scala 282:33] - reg _T_1941 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 283:73] - _T_1941 <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 283:73] - ld_single_ecc_error_hi_r_ff <= _T_1941 @[lsu_dccm_ctl.scala 283:33] - reg _T_1942 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 284:73] - _T_1942 <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 284:73] - ld_single_ecc_error_lo_r_ff <= _T_1942 @[lsu_dccm_ctl.scala 284:33] - node _T_1943 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 285:48] - node _T_1944 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 285:90] - node _T_1945 = bits(_T_1944, 0, 0) @[lib.scala 8:44] - node _T_1946 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 285:128] + reg _T_1436 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] + _T_1436 <= _T_1430 @[lib.scala 383:16] + io.store_data_hi_r <= _T_1436 @[lsu_dccm_ctl.scala 262:29] + node _T_1437 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1438 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 263:150] + node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1440 = and(_T_1437, _T_1439) @[lsu_dccm_ctl.scala 263:129] + node _T_1441 = bits(_T_1440, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1442 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 263:179] + node _T_1443 = bits(io.store_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 263:211] + node _T_1444 = mux(_T_1441, _T_1442, _T_1443) @[lsu_dccm_ctl.scala 263:79] + node _T_1445 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1446 = xor(UInt<8>("h0ff"), _T_1445) @[Bitwise.scala 102:21] + node _T_1447 = shr(_T_1444, 4) @[Bitwise.scala 103:21] + node _T_1448 = and(_T_1447, _T_1446) @[Bitwise.scala 103:31] + node _T_1449 = bits(_T_1444, 3, 0) @[Bitwise.scala 103:46] + node _T_1450 = shl(_T_1449, 4) @[Bitwise.scala 103:65] + node _T_1451 = not(_T_1446) @[Bitwise.scala 103:77] + node _T_1452 = and(_T_1450, _T_1451) @[Bitwise.scala 103:75] + node _T_1453 = or(_T_1448, _T_1452) @[Bitwise.scala 103:39] + node _T_1454 = bits(_T_1446, 5, 0) @[Bitwise.scala 102:28] + node _T_1455 = shl(_T_1454, 2) @[Bitwise.scala 102:47] + node _T_1456 = xor(_T_1446, _T_1455) @[Bitwise.scala 102:21] + node _T_1457 = shr(_T_1453, 2) @[Bitwise.scala 103:21] + node _T_1458 = and(_T_1457, _T_1456) @[Bitwise.scala 103:31] + node _T_1459 = bits(_T_1453, 5, 0) @[Bitwise.scala 103:46] + node _T_1460 = shl(_T_1459, 2) @[Bitwise.scala 103:65] + node _T_1461 = not(_T_1456) @[Bitwise.scala 103:77] + node _T_1462 = and(_T_1460, _T_1461) @[Bitwise.scala 103:75] + node _T_1463 = or(_T_1458, _T_1462) @[Bitwise.scala 103:39] + node _T_1464 = bits(_T_1456, 6, 0) @[Bitwise.scala 102:28] + node _T_1465 = shl(_T_1464, 1) @[Bitwise.scala 102:47] + node _T_1466 = xor(_T_1456, _T_1465) @[Bitwise.scala 102:21] + node _T_1467 = shr(_T_1463, 1) @[Bitwise.scala 103:21] + node _T_1468 = and(_T_1467, _T_1466) @[Bitwise.scala 103:31] + node _T_1469 = bits(_T_1463, 6, 0) @[Bitwise.scala 103:46] + node _T_1470 = shl(_T_1469, 1) @[Bitwise.scala 103:65] + node _T_1471 = not(_T_1466) @[Bitwise.scala 103:77] + node _T_1472 = and(_T_1470, _T_1471) @[Bitwise.scala 103:75] + node _T_1473 = or(_T_1468, _T_1472) @[Bitwise.scala 103:39] + node _T_1474 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1475 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 263:150] + node _T_1476 = eq(_T_1475, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1477 = and(_T_1474, _T_1476) @[lsu_dccm_ctl.scala 263:129] + node _T_1478 = bits(_T_1477, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1479 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 263:179] + node _T_1480 = bits(io.store_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 263:211] + node _T_1481 = mux(_T_1478, _T_1479, _T_1480) @[lsu_dccm_ctl.scala 263:79] + node _T_1482 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1483 = xor(UInt<8>("h0ff"), _T_1482) @[Bitwise.scala 102:21] + node _T_1484 = shr(_T_1481, 4) @[Bitwise.scala 103:21] + node _T_1485 = and(_T_1484, _T_1483) @[Bitwise.scala 103:31] + node _T_1486 = bits(_T_1481, 3, 0) @[Bitwise.scala 103:46] + node _T_1487 = shl(_T_1486, 4) @[Bitwise.scala 103:65] + node _T_1488 = not(_T_1483) @[Bitwise.scala 103:77] + node _T_1489 = and(_T_1487, _T_1488) @[Bitwise.scala 103:75] + node _T_1490 = or(_T_1485, _T_1489) @[Bitwise.scala 103:39] + node _T_1491 = bits(_T_1483, 5, 0) @[Bitwise.scala 102:28] + node _T_1492 = shl(_T_1491, 2) @[Bitwise.scala 102:47] + node _T_1493 = xor(_T_1483, _T_1492) @[Bitwise.scala 102:21] + node _T_1494 = shr(_T_1490, 2) @[Bitwise.scala 103:21] + node _T_1495 = and(_T_1494, _T_1493) @[Bitwise.scala 103:31] + node _T_1496 = bits(_T_1490, 5, 0) @[Bitwise.scala 103:46] + node _T_1497 = shl(_T_1496, 2) @[Bitwise.scala 103:65] + node _T_1498 = not(_T_1493) @[Bitwise.scala 103:77] + node _T_1499 = and(_T_1497, _T_1498) @[Bitwise.scala 103:75] + node _T_1500 = or(_T_1495, _T_1499) @[Bitwise.scala 103:39] + node _T_1501 = bits(_T_1493, 6, 0) @[Bitwise.scala 102:28] + node _T_1502 = shl(_T_1501, 1) @[Bitwise.scala 102:47] + node _T_1503 = xor(_T_1493, _T_1502) @[Bitwise.scala 102:21] + node _T_1504 = shr(_T_1500, 1) @[Bitwise.scala 103:21] + node _T_1505 = and(_T_1504, _T_1503) @[Bitwise.scala 103:31] + node _T_1506 = bits(_T_1500, 6, 0) @[Bitwise.scala 103:46] + node _T_1507 = shl(_T_1506, 1) @[Bitwise.scala 103:65] + node _T_1508 = not(_T_1503) @[Bitwise.scala 103:77] + node _T_1509 = and(_T_1507, _T_1508) @[Bitwise.scala 103:75] + node _T_1510 = or(_T_1505, _T_1509) @[Bitwise.scala 103:39] + node _T_1511 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1512 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 263:150] + node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1514 = and(_T_1511, _T_1513) @[lsu_dccm_ctl.scala 263:129] + node _T_1515 = bits(_T_1514, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1516 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 263:179] + node _T_1517 = bits(io.store_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 263:211] + node _T_1518 = mux(_T_1515, _T_1516, _T_1517) @[lsu_dccm_ctl.scala 263:79] + node _T_1519 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1520 = xor(UInt<8>("h0ff"), _T_1519) @[Bitwise.scala 102:21] + node _T_1521 = shr(_T_1518, 4) @[Bitwise.scala 103:21] + node _T_1522 = and(_T_1521, _T_1520) @[Bitwise.scala 103:31] + node _T_1523 = bits(_T_1518, 3, 0) @[Bitwise.scala 103:46] + node _T_1524 = shl(_T_1523, 4) @[Bitwise.scala 103:65] + node _T_1525 = not(_T_1520) @[Bitwise.scala 103:77] + node _T_1526 = and(_T_1524, _T_1525) @[Bitwise.scala 103:75] + node _T_1527 = or(_T_1522, _T_1526) @[Bitwise.scala 103:39] + node _T_1528 = bits(_T_1520, 5, 0) @[Bitwise.scala 102:28] + node _T_1529 = shl(_T_1528, 2) @[Bitwise.scala 102:47] + node _T_1530 = xor(_T_1520, _T_1529) @[Bitwise.scala 102:21] + node _T_1531 = shr(_T_1527, 2) @[Bitwise.scala 103:21] + node _T_1532 = and(_T_1531, _T_1530) @[Bitwise.scala 103:31] + node _T_1533 = bits(_T_1527, 5, 0) @[Bitwise.scala 103:46] + node _T_1534 = shl(_T_1533, 2) @[Bitwise.scala 103:65] + node _T_1535 = not(_T_1530) @[Bitwise.scala 103:77] + node _T_1536 = and(_T_1534, _T_1535) @[Bitwise.scala 103:75] + node _T_1537 = or(_T_1532, _T_1536) @[Bitwise.scala 103:39] + node _T_1538 = bits(_T_1530, 6, 0) @[Bitwise.scala 102:28] + node _T_1539 = shl(_T_1538, 1) @[Bitwise.scala 102:47] + node _T_1540 = xor(_T_1530, _T_1539) @[Bitwise.scala 102:21] + node _T_1541 = shr(_T_1537, 1) @[Bitwise.scala 103:21] + node _T_1542 = and(_T_1541, _T_1540) @[Bitwise.scala 103:31] + node _T_1543 = bits(_T_1537, 6, 0) @[Bitwise.scala 103:46] + node _T_1544 = shl(_T_1543, 1) @[Bitwise.scala 103:65] + node _T_1545 = not(_T_1540) @[Bitwise.scala 103:77] + node _T_1546 = and(_T_1544, _T_1545) @[Bitwise.scala 103:75] + node _T_1547 = or(_T_1542, _T_1546) @[Bitwise.scala 103:39] + node _T_1548 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1549 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 263:150] + node _T_1550 = eq(_T_1549, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1551 = and(_T_1548, _T_1550) @[lsu_dccm_ctl.scala 263:129] + node _T_1552 = bits(_T_1551, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1553 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 263:179] + node _T_1554 = bits(io.store_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 263:211] + node _T_1555 = mux(_T_1552, _T_1553, _T_1554) @[lsu_dccm_ctl.scala 263:79] + node _T_1556 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1557 = xor(UInt<8>("h0ff"), _T_1556) @[Bitwise.scala 102:21] + node _T_1558 = shr(_T_1555, 4) @[Bitwise.scala 103:21] + node _T_1559 = and(_T_1558, _T_1557) @[Bitwise.scala 103:31] + node _T_1560 = bits(_T_1555, 3, 0) @[Bitwise.scala 103:46] + node _T_1561 = shl(_T_1560, 4) @[Bitwise.scala 103:65] + node _T_1562 = not(_T_1557) @[Bitwise.scala 103:77] + node _T_1563 = and(_T_1561, _T_1562) @[Bitwise.scala 103:75] + node _T_1564 = or(_T_1559, _T_1563) @[Bitwise.scala 103:39] + node _T_1565 = bits(_T_1557, 5, 0) @[Bitwise.scala 102:28] + node _T_1566 = shl(_T_1565, 2) @[Bitwise.scala 102:47] + node _T_1567 = xor(_T_1557, _T_1566) @[Bitwise.scala 102:21] + node _T_1568 = shr(_T_1564, 2) @[Bitwise.scala 103:21] + node _T_1569 = and(_T_1568, _T_1567) @[Bitwise.scala 103:31] + node _T_1570 = bits(_T_1564, 5, 0) @[Bitwise.scala 103:46] + node _T_1571 = shl(_T_1570, 2) @[Bitwise.scala 103:65] + node _T_1572 = not(_T_1567) @[Bitwise.scala 103:77] + node _T_1573 = and(_T_1571, _T_1572) @[Bitwise.scala 103:75] + node _T_1574 = or(_T_1569, _T_1573) @[Bitwise.scala 103:39] + node _T_1575 = bits(_T_1567, 6, 0) @[Bitwise.scala 102:28] + node _T_1576 = shl(_T_1575, 1) @[Bitwise.scala 102:47] + node _T_1577 = xor(_T_1567, _T_1576) @[Bitwise.scala 102:21] + node _T_1578 = shr(_T_1574, 1) @[Bitwise.scala 103:21] + node _T_1579 = and(_T_1578, _T_1577) @[Bitwise.scala 103:31] + node _T_1580 = bits(_T_1574, 6, 0) @[Bitwise.scala 103:46] + node _T_1581 = shl(_T_1580, 1) @[Bitwise.scala 103:65] + node _T_1582 = not(_T_1577) @[Bitwise.scala 103:77] + node _T_1583 = and(_T_1581, _T_1582) @[Bitwise.scala 103:75] + node _T_1584 = or(_T_1579, _T_1583) @[Bitwise.scala 103:39] + wire _T_1585 : UInt<8>[4] @[lsu_dccm_ctl.scala 263:63] + _T_1585[0] <= _T_1473 @[lsu_dccm_ctl.scala 263:63] + _T_1585[1] <= _T_1510 @[lsu_dccm_ctl.scala 263:63] + _T_1585[2] <= _T_1547 @[lsu_dccm_ctl.scala 263:63] + _T_1585[3] <= _T_1584 @[lsu_dccm_ctl.scala 263:63] + node _T_1586 = cat(_T_1585[2], _T_1585[3]) @[Cat.scala 29:58] + node _T_1587 = cat(_T_1585[0], _T_1585[1]) @[Cat.scala 29:58] + node _T_1588 = cat(_T_1587, _T_1586) @[Cat.scala 29:58] + node _T_1589 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1590 = xor(UInt<32>("h0ffffffff"), _T_1589) @[Bitwise.scala 102:21] + node _T_1591 = shr(_T_1588, 16) @[Bitwise.scala 103:21] + node _T_1592 = and(_T_1591, _T_1590) @[Bitwise.scala 103:31] + node _T_1593 = bits(_T_1588, 15, 0) @[Bitwise.scala 103:46] + node _T_1594 = shl(_T_1593, 16) @[Bitwise.scala 103:65] + node _T_1595 = not(_T_1590) @[Bitwise.scala 103:77] + node _T_1596 = and(_T_1594, _T_1595) @[Bitwise.scala 103:75] + node _T_1597 = or(_T_1592, _T_1596) @[Bitwise.scala 103:39] + node _T_1598 = bits(_T_1590, 23, 0) @[Bitwise.scala 102:28] + node _T_1599 = shl(_T_1598, 8) @[Bitwise.scala 102:47] + node _T_1600 = xor(_T_1590, _T_1599) @[Bitwise.scala 102:21] + node _T_1601 = shr(_T_1597, 8) @[Bitwise.scala 103:21] + node _T_1602 = and(_T_1601, _T_1600) @[Bitwise.scala 103:31] + node _T_1603 = bits(_T_1597, 23, 0) @[Bitwise.scala 103:46] + node _T_1604 = shl(_T_1603, 8) @[Bitwise.scala 103:65] + node _T_1605 = not(_T_1600) @[Bitwise.scala 103:77] + node _T_1606 = and(_T_1604, _T_1605) @[Bitwise.scala 103:75] + node _T_1607 = or(_T_1602, _T_1606) @[Bitwise.scala 103:39] + node _T_1608 = bits(_T_1600, 27, 0) @[Bitwise.scala 102:28] + node _T_1609 = shl(_T_1608, 4) @[Bitwise.scala 102:47] + node _T_1610 = xor(_T_1600, _T_1609) @[Bitwise.scala 102:21] + node _T_1611 = shr(_T_1607, 4) @[Bitwise.scala 103:21] + node _T_1612 = and(_T_1611, _T_1610) @[Bitwise.scala 103:31] + node _T_1613 = bits(_T_1607, 27, 0) @[Bitwise.scala 103:46] + node _T_1614 = shl(_T_1613, 4) @[Bitwise.scala 103:65] + node _T_1615 = not(_T_1610) @[Bitwise.scala 103:77] + node _T_1616 = and(_T_1614, _T_1615) @[Bitwise.scala 103:75] + node _T_1617 = or(_T_1612, _T_1616) @[Bitwise.scala 103:39] + node _T_1618 = bits(_T_1610, 29, 0) @[Bitwise.scala 102:28] + node _T_1619 = shl(_T_1618, 2) @[Bitwise.scala 102:47] + node _T_1620 = xor(_T_1610, _T_1619) @[Bitwise.scala 102:21] + node _T_1621 = shr(_T_1617, 2) @[Bitwise.scala 103:21] + node _T_1622 = and(_T_1621, _T_1620) @[Bitwise.scala 103:31] + node _T_1623 = bits(_T_1617, 29, 0) @[Bitwise.scala 103:46] + node _T_1624 = shl(_T_1623, 2) @[Bitwise.scala 103:65] + node _T_1625 = not(_T_1620) @[Bitwise.scala 103:77] + node _T_1626 = and(_T_1624, _T_1625) @[Bitwise.scala 103:75] + node _T_1627 = or(_T_1622, _T_1626) @[Bitwise.scala 103:39] + node _T_1628 = bits(_T_1620, 30, 0) @[Bitwise.scala 102:28] + node _T_1629 = shl(_T_1628, 1) @[Bitwise.scala 102:47] + node _T_1630 = xor(_T_1620, _T_1629) @[Bitwise.scala 102:21] + node _T_1631 = shr(_T_1627, 1) @[Bitwise.scala 103:21] + node _T_1632 = and(_T_1631, _T_1630) @[Bitwise.scala 103:31] + node _T_1633 = bits(_T_1627, 30, 0) @[Bitwise.scala 103:46] + node _T_1634 = shl(_T_1633, 1) @[Bitwise.scala 103:65] + node _T_1635 = not(_T_1630) @[Bitwise.scala 103:77] + node _T_1636 = and(_T_1634, _T_1635) @[Bitwise.scala 103:75] + node _T_1637 = or(_T_1632, _T_1636) @[Bitwise.scala 103:39] + io.store_datafn_lo_r <= _T_1637 @[lsu_dccm_ctl.scala 263:29] + node _T_1638 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1639 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 264:150] + node _T_1640 = eq(_T_1639, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1641 = and(_T_1638, _T_1640) @[lsu_dccm_ctl.scala 264:129] + node _T_1642 = bits(_T_1641, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1643 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 264:181] + node _T_1644 = bits(io.store_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 264:213] + node _T_1645 = mux(_T_1642, _T_1643, _T_1644) @[lsu_dccm_ctl.scala 264:79] + node _T_1646 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1647 = xor(UInt<8>("h0ff"), _T_1646) @[Bitwise.scala 102:21] + node _T_1648 = shr(_T_1645, 4) @[Bitwise.scala 103:21] + node _T_1649 = and(_T_1648, _T_1647) @[Bitwise.scala 103:31] + node _T_1650 = bits(_T_1645, 3, 0) @[Bitwise.scala 103:46] + node _T_1651 = shl(_T_1650, 4) @[Bitwise.scala 103:65] + node _T_1652 = not(_T_1647) @[Bitwise.scala 103:77] + node _T_1653 = and(_T_1651, _T_1652) @[Bitwise.scala 103:75] + node _T_1654 = or(_T_1649, _T_1653) @[Bitwise.scala 103:39] + node _T_1655 = bits(_T_1647, 5, 0) @[Bitwise.scala 102:28] + node _T_1656 = shl(_T_1655, 2) @[Bitwise.scala 102:47] + node _T_1657 = xor(_T_1647, _T_1656) @[Bitwise.scala 102:21] + node _T_1658 = shr(_T_1654, 2) @[Bitwise.scala 103:21] + node _T_1659 = and(_T_1658, _T_1657) @[Bitwise.scala 103:31] + node _T_1660 = bits(_T_1654, 5, 0) @[Bitwise.scala 103:46] + node _T_1661 = shl(_T_1660, 2) @[Bitwise.scala 103:65] + node _T_1662 = not(_T_1657) @[Bitwise.scala 103:77] + node _T_1663 = and(_T_1661, _T_1662) @[Bitwise.scala 103:75] + node _T_1664 = or(_T_1659, _T_1663) @[Bitwise.scala 103:39] + node _T_1665 = bits(_T_1657, 6, 0) @[Bitwise.scala 102:28] + node _T_1666 = shl(_T_1665, 1) @[Bitwise.scala 102:47] + node _T_1667 = xor(_T_1657, _T_1666) @[Bitwise.scala 102:21] + node _T_1668 = shr(_T_1664, 1) @[Bitwise.scala 103:21] + node _T_1669 = and(_T_1668, _T_1667) @[Bitwise.scala 103:31] + node _T_1670 = bits(_T_1664, 6, 0) @[Bitwise.scala 103:46] + node _T_1671 = shl(_T_1670, 1) @[Bitwise.scala 103:65] + node _T_1672 = not(_T_1667) @[Bitwise.scala 103:77] + node _T_1673 = and(_T_1671, _T_1672) @[Bitwise.scala 103:75] + node _T_1674 = or(_T_1669, _T_1673) @[Bitwise.scala 103:39] + node _T_1675 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1676 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 264:150] + node _T_1677 = eq(_T_1676, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1678 = and(_T_1675, _T_1677) @[lsu_dccm_ctl.scala 264:129] + node _T_1679 = bits(_T_1678, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1680 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 264:181] + node _T_1681 = bits(io.store_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 264:213] + node _T_1682 = mux(_T_1679, _T_1680, _T_1681) @[lsu_dccm_ctl.scala 264:79] + node _T_1683 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1684 = xor(UInt<8>("h0ff"), _T_1683) @[Bitwise.scala 102:21] + node _T_1685 = shr(_T_1682, 4) @[Bitwise.scala 103:21] + node _T_1686 = and(_T_1685, _T_1684) @[Bitwise.scala 103:31] + node _T_1687 = bits(_T_1682, 3, 0) @[Bitwise.scala 103:46] + node _T_1688 = shl(_T_1687, 4) @[Bitwise.scala 103:65] + node _T_1689 = not(_T_1684) @[Bitwise.scala 103:77] + node _T_1690 = and(_T_1688, _T_1689) @[Bitwise.scala 103:75] + node _T_1691 = or(_T_1686, _T_1690) @[Bitwise.scala 103:39] + node _T_1692 = bits(_T_1684, 5, 0) @[Bitwise.scala 102:28] + node _T_1693 = shl(_T_1692, 2) @[Bitwise.scala 102:47] + node _T_1694 = xor(_T_1684, _T_1693) @[Bitwise.scala 102:21] + node _T_1695 = shr(_T_1691, 2) @[Bitwise.scala 103:21] + node _T_1696 = and(_T_1695, _T_1694) @[Bitwise.scala 103:31] + node _T_1697 = bits(_T_1691, 5, 0) @[Bitwise.scala 103:46] + node _T_1698 = shl(_T_1697, 2) @[Bitwise.scala 103:65] + node _T_1699 = not(_T_1694) @[Bitwise.scala 103:77] + node _T_1700 = and(_T_1698, _T_1699) @[Bitwise.scala 103:75] + node _T_1701 = or(_T_1696, _T_1700) @[Bitwise.scala 103:39] + node _T_1702 = bits(_T_1694, 6, 0) @[Bitwise.scala 102:28] + node _T_1703 = shl(_T_1702, 1) @[Bitwise.scala 102:47] + node _T_1704 = xor(_T_1694, _T_1703) @[Bitwise.scala 102:21] + node _T_1705 = shr(_T_1701, 1) @[Bitwise.scala 103:21] + node _T_1706 = and(_T_1705, _T_1704) @[Bitwise.scala 103:31] + node _T_1707 = bits(_T_1701, 6, 0) @[Bitwise.scala 103:46] + node _T_1708 = shl(_T_1707, 1) @[Bitwise.scala 103:65] + node _T_1709 = not(_T_1704) @[Bitwise.scala 103:77] + node _T_1710 = and(_T_1708, _T_1709) @[Bitwise.scala 103:75] + node _T_1711 = or(_T_1706, _T_1710) @[Bitwise.scala 103:39] + node _T_1712 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1713 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 264:150] + node _T_1714 = eq(_T_1713, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1715 = and(_T_1712, _T_1714) @[lsu_dccm_ctl.scala 264:129] + node _T_1716 = bits(_T_1715, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1717 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 264:181] + node _T_1718 = bits(io.store_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 264:213] + node _T_1719 = mux(_T_1716, _T_1717, _T_1718) @[lsu_dccm_ctl.scala 264:79] + node _T_1720 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1721 = xor(UInt<8>("h0ff"), _T_1720) @[Bitwise.scala 102:21] + node _T_1722 = shr(_T_1719, 4) @[Bitwise.scala 103:21] + node _T_1723 = and(_T_1722, _T_1721) @[Bitwise.scala 103:31] + node _T_1724 = bits(_T_1719, 3, 0) @[Bitwise.scala 103:46] + node _T_1725 = shl(_T_1724, 4) @[Bitwise.scala 103:65] + node _T_1726 = not(_T_1721) @[Bitwise.scala 103:77] + node _T_1727 = and(_T_1725, _T_1726) @[Bitwise.scala 103:75] + node _T_1728 = or(_T_1723, _T_1727) @[Bitwise.scala 103:39] + node _T_1729 = bits(_T_1721, 5, 0) @[Bitwise.scala 102:28] + node _T_1730 = shl(_T_1729, 2) @[Bitwise.scala 102:47] + node _T_1731 = xor(_T_1721, _T_1730) @[Bitwise.scala 102:21] + node _T_1732 = shr(_T_1728, 2) @[Bitwise.scala 103:21] + node _T_1733 = and(_T_1732, _T_1731) @[Bitwise.scala 103:31] + node _T_1734 = bits(_T_1728, 5, 0) @[Bitwise.scala 103:46] + node _T_1735 = shl(_T_1734, 2) @[Bitwise.scala 103:65] + node _T_1736 = not(_T_1731) @[Bitwise.scala 103:77] + node _T_1737 = and(_T_1735, _T_1736) @[Bitwise.scala 103:75] + node _T_1738 = or(_T_1733, _T_1737) @[Bitwise.scala 103:39] + node _T_1739 = bits(_T_1731, 6, 0) @[Bitwise.scala 102:28] + node _T_1740 = shl(_T_1739, 1) @[Bitwise.scala 102:47] + node _T_1741 = xor(_T_1731, _T_1740) @[Bitwise.scala 102:21] + node _T_1742 = shr(_T_1738, 1) @[Bitwise.scala 103:21] + node _T_1743 = and(_T_1742, _T_1741) @[Bitwise.scala 103:31] + node _T_1744 = bits(_T_1738, 6, 0) @[Bitwise.scala 103:46] + node _T_1745 = shl(_T_1744, 1) @[Bitwise.scala 103:65] + node _T_1746 = not(_T_1741) @[Bitwise.scala 103:77] + node _T_1747 = and(_T_1745, _T_1746) @[Bitwise.scala 103:75] + node _T_1748 = or(_T_1743, _T_1747) @[Bitwise.scala 103:39] + node _T_1749 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1750 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 264:150] + node _T_1751 = eq(_T_1750, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1752 = and(_T_1749, _T_1751) @[lsu_dccm_ctl.scala 264:129] + node _T_1753 = bits(_T_1752, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1754 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 264:181] + node _T_1755 = bits(io.store_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 264:213] + node _T_1756 = mux(_T_1753, _T_1754, _T_1755) @[lsu_dccm_ctl.scala 264:79] + node _T_1757 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1758 = xor(UInt<8>("h0ff"), _T_1757) @[Bitwise.scala 102:21] + node _T_1759 = shr(_T_1756, 4) @[Bitwise.scala 103:21] + node _T_1760 = and(_T_1759, _T_1758) @[Bitwise.scala 103:31] + node _T_1761 = bits(_T_1756, 3, 0) @[Bitwise.scala 103:46] + node _T_1762 = shl(_T_1761, 4) @[Bitwise.scala 103:65] + node _T_1763 = not(_T_1758) @[Bitwise.scala 103:77] + node _T_1764 = and(_T_1762, _T_1763) @[Bitwise.scala 103:75] + node _T_1765 = or(_T_1760, _T_1764) @[Bitwise.scala 103:39] + node _T_1766 = bits(_T_1758, 5, 0) @[Bitwise.scala 102:28] + node _T_1767 = shl(_T_1766, 2) @[Bitwise.scala 102:47] + node _T_1768 = xor(_T_1758, _T_1767) @[Bitwise.scala 102:21] + node _T_1769 = shr(_T_1765, 2) @[Bitwise.scala 103:21] + node _T_1770 = and(_T_1769, _T_1768) @[Bitwise.scala 103:31] + node _T_1771 = bits(_T_1765, 5, 0) @[Bitwise.scala 103:46] + node _T_1772 = shl(_T_1771, 2) @[Bitwise.scala 103:65] + node _T_1773 = not(_T_1768) @[Bitwise.scala 103:77] + node _T_1774 = and(_T_1772, _T_1773) @[Bitwise.scala 103:75] + node _T_1775 = or(_T_1770, _T_1774) @[Bitwise.scala 103:39] + node _T_1776 = bits(_T_1768, 6, 0) @[Bitwise.scala 102:28] + node _T_1777 = shl(_T_1776, 1) @[Bitwise.scala 102:47] + node _T_1778 = xor(_T_1768, _T_1777) @[Bitwise.scala 102:21] + node _T_1779 = shr(_T_1775, 1) @[Bitwise.scala 103:21] + node _T_1780 = and(_T_1779, _T_1778) @[Bitwise.scala 103:31] + node _T_1781 = bits(_T_1775, 6, 0) @[Bitwise.scala 103:46] + node _T_1782 = shl(_T_1781, 1) @[Bitwise.scala 103:65] + node _T_1783 = not(_T_1778) @[Bitwise.scala 103:77] + node _T_1784 = and(_T_1782, _T_1783) @[Bitwise.scala 103:75] + node _T_1785 = or(_T_1780, _T_1784) @[Bitwise.scala 103:39] + wire _T_1786 : UInt<8>[4] @[lsu_dccm_ctl.scala 264:63] + _T_1786[0] <= _T_1674 @[lsu_dccm_ctl.scala 264:63] + _T_1786[1] <= _T_1711 @[lsu_dccm_ctl.scala 264:63] + _T_1786[2] <= _T_1748 @[lsu_dccm_ctl.scala 264:63] + _T_1786[3] <= _T_1785 @[lsu_dccm_ctl.scala 264:63] + node _T_1787 = cat(_T_1786[2], _T_1786[3]) @[Cat.scala 29:58] + node _T_1788 = cat(_T_1786[0], _T_1786[1]) @[Cat.scala 29:58] + node _T_1789 = cat(_T_1788, _T_1787) @[Cat.scala 29:58] + node _T_1790 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1791 = xor(UInt<32>("h0ffffffff"), _T_1790) @[Bitwise.scala 102:21] + node _T_1792 = shr(_T_1789, 16) @[Bitwise.scala 103:21] + node _T_1793 = and(_T_1792, _T_1791) @[Bitwise.scala 103:31] + node _T_1794 = bits(_T_1789, 15, 0) @[Bitwise.scala 103:46] + node _T_1795 = shl(_T_1794, 16) @[Bitwise.scala 103:65] + node _T_1796 = not(_T_1791) @[Bitwise.scala 103:77] + node _T_1797 = and(_T_1795, _T_1796) @[Bitwise.scala 103:75] + node _T_1798 = or(_T_1793, _T_1797) @[Bitwise.scala 103:39] + node _T_1799 = bits(_T_1791, 23, 0) @[Bitwise.scala 102:28] + node _T_1800 = shl(_T_1799, 8) @[Bitwise.scala 102:47] + node _T_1801 = xor(_T_1791, _T_1800) @[Bitwise.scala 102:21] + node _T_1802 = shr(_T_1798, 8) @[Bitwise.scala 103:21] + node _T_1803 = and(_T_1802, _T_1801) @[Bitwise.scala 103:31] + node _T_1804 = bits(_T_1798, 23, 0) @[Bitwise.scala 103:46] + node _T_1805 = shl(_T_1804, 8) @[Bitwise.scala 103:65] + node _T_1806 = not(_T_1801) @[Bitwise.scala 103:77] + node _T_1807 = and(_T_1805, _T_1806) @[Bitwise.scala 103:75] + node _T_1808 = or(_T_1803, _T_1807) @[Bitwise.scala 103:39] + node _T_1809 = bits(_T_1801, 27, 0) @[Bitwise.scala 102:28] + node _T_1810 = shl(_T_1809, 4) @[Bitwise.scala 102:47] + node _T_1811 = xor(_T_1801, _T_1810) @[Bitwise.scala 102:21] + node _T_1812 = shr(_T_1808, 4) @[Bitwise.scala 103:21] + node _T_1813 = and(_T_1812, _T_1811) @[Bitwise.scala 103:31] + node _T_1814 = bits(_T_1808, 27, 0) @[Bitwise.scala 103:46] + node _T_1815 = shl(_T_1814, 4) @[Bitwise.scala 103:65] + node _T_1816 = not(_T_1811) @[Bitwise.scala 103:77] + node _T_1817 = and(_T_1815, _T_1816) @[Bitwise.scala 103:75] + node _T_1818 = or(_T_1813, _T_1817) @[Bitwise.scala 103:39] + node _T_1819 = bits(_T_1811, 29, 0) @[Bitwise.scala 102:28] + node _T_1820 = shl(_T_1819, 2) @[Bitwise.scala 102:47] + node _T_1821 = xor(_T_1811, _T_1820) @[Bitwise.scala 102:21] + node _T_1822 = shr(_T_1818, 2) @[Bitwise.scala 103:21] + node _T_1823 = and(_T_1822, _T_1821) @[Bitwise.scala 103:31] + node _T_1824 = bits(_T_1818, 29, 0) @[Bitwise.scala 103:46] + node _T_1825 = shl(_T_1824, 2) @[Bitwise.scala 103:65] + node _T_1826 = not(_T_1821) @[Bitwise.scala 103:77] + node _T_1827 = and(_T_1825, _T_1826) @[Bitwise.scala 103:75] + node _T_1828 = or(_T_1823, _T_1827) @[Bitwise.scala 103:39] + node _T_1829 = bits(_T_1821, 30, 0) @[Bitwise.scala 102:28] + node _T_1830 = shl(_T_1829, 1) @[Bitwise.scala 102:47] + node _T_1831 = xor(_T_1821, _T_1830) @[Bitwise.scala 102:21] + node _T_1832 = shr(_T_1828, 1) @[Bitwise.scala 103:21] + node _T_1833 = and(_T_1832, _T_1831) @[Bitwise.scala 103:31] + node _T_1834 = bits(_T_1828, 30, 0) @[Bitwise.scala 103:46] + node _T_1835 = shl(_T_1834, 1) @[Bitwise.scala 103:65] + node _T_1836 = not(_T_1831) @[Bitwise.scala 103:77] + node _T_1837 = and(_T_1835, _T_1836) @[Bitwise.scala 103:75] + node _T_1838 = or(_T_1833, _T_1837) @[Bitwise.scala 103:39] + io.store_datafn_hi_r <= _T_1838 @[lsu_dccm_ctl.scala 264:29] + node _T_1839 = bits(io.store_data_hi_r, 31, 0) @[lsu_dccm_ctl.scala 265:55] + node _T_1840 = bits(io.store_data_lo_r, 31, 0) @[lsu_dccm_ctl.scala 265:80] + node _T_1841 = cat(_T_1839, _T_1840) @[Cat.scala 29:58] + node _T_1842 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 265:108] + node _T_1843 = mul(UInt<4>("h08"), _T_1842) @[lsu_dccm_ctl.scala 265:94] + node _T_1844 = dshr(_T_1841, _T_1843) @[lsu_dccm_ctl.scala 265:88] + node _T_1845 = bits(store_byteen_r, 0, 0) @[lsu_dccm_ctl.scala 265:174] + node _T_1846 = bits(_T_1845, 0, 0) @[Bitwise.scala 72:15] + node _T_1847 = mux(_T_1846, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1848 = bits(store_byteen_r, 1, 1) @[lsu_dccm_ctl.scala 265:174] + node _T_1849 = bits(_T_1848, 0, 0) @[Bitwise.scala 72:15] + node _T_1850 = mux(_T_1849, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1851 = bits(store_byteen_r, 2, 2) @[lsu_dccm_ctl.scala 265:174] + node _T_1852 = bits(_T_1851, 0, 0) @[Bitwise.scala 72:15] + node _T_1853 = mux(_T_1852, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1854 = bits(store_byteen_r, 3, 3) @[lsu_dccm_ctl.scala 265:174] + node _T_1855 = bits(_T_1854, 0, 0) @[Bitwise.scala 72:15] + node _T_1856 = mux(_T_1855, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + wire _T_1857 : UInt<8>[4] @[lsu_dccm_ctl.scala 265:148] + _T_1857[0] <= _T_1847 @[lsu_dccm_ctl.scala 265:148] + _T_1857[1] <= _T_1850 @[lsu_dccm_ctl.scala 265:148] + _T_1857[2] <= _T_1853 @[lsu_dccm_ctl.scala 265:148] + _T_1857[3] <= _T_1856 @[lsu_dccm_ctl.scala 265:148] + node _T_1858 = cat(_T_1857[2], _T_1857[3]) @[Cat.scala 29:58] + node _T_1859 = cat(_T_1857[0], _T_1857[1]) @[Cat.scala 29:58] + node _T_1860 = cat(_T_1859, _T_1858) @[Cat.scala 29:58] + node _T_1861 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1862 = xor(UInt<32>("h0ffffffff"), _T_1861) @[Bitwise.scala 102:21] + node _T_1863 = shr(_T_1860, 16) @[Bitwise.scala 103:21] + node _T_1864 = and(_T_1863, _T_1862) @[Bitwise.scala 103:31] + node _T_1865 = bits(_T_1860, 15, 0) @[Bitwise.scala 103:46] + node _T_1866 = shl(_T_1865, 16) @[Bitwise.scala 103:65] + node _T_1867 = not(_T_1862) @[Bitwise.scala 103:77] + node _T_1868 = and(_T_1866, _T_1867) @[Bitwise.scala 103:75] + node _T_1869 = or(_T_1864, _T_1868) @[Bitwise.scala 103:39] + node _T_1870 = bits(_T_1862, 23, 0) @[Bitwise.scala 102:28] + node _T_1871 = shl(_T_1870, 8) @[Bitwise.scala 102:47] + node _T_1872 = xor(_T_1862, _T_1871) @[Bitwise.scala 102:21] + node _T_1873 = shr(_T_1869, 8) @[Bitwise.scala 103:21] + node _T_1874 = and(_T_1873, _T_1872) @[Bitwise.scala 103:31] + node _T_1875 = bits(_T_1869, 23, 0) @[Bitwise.scala 103:46] + node _T_1876 = shl(_T_1875, 8) @[Bitwise.scala 103:65] + node _T_1877 = not(_T_1872) @[Bitwise.scala 103:77] + node _T_1878 = and(_T_1876, _T_1877) @[Bitwise.scala 103:75] + node _T_1879 = or(_T_1874, _T_1878) @[Bitwise.scala 103:39] + node _T_1880 = bits(_T_1872, 27, 0) @[Bitwise.scala 102:28] + node _T_1881 = shl(_T_1880, 4) @[Bitwise.scala 102:47] + node _T_1882 = xor(_T_1872, _T_1881) @[Bitwise.scala 102:21] + node _T_1883 = shr(_T_1879, 4) @[Bitwise.scala 103:21] + node _T_1884 = and(_T_1883, _T_1882) @[Bitwise.scala 103:31] + node _T_1885 = bits(_T_1879, 27, 0) @[Bitwise.scala 103:46] + node _T_1886 = shl(_T_1885, 4) @[Bitwise.scala 103:65] + node _T_1887 = not(_T_1882) @[Bitwise.scala 103:77] + node _T_1888 = and(_T_1886, _T_1887) @[Bitwise.scala 103:75] + node _T_1889 = or(_T_1884, _T_1888) @[Bitwise.scala 103:39] + node _T_1890 = bits(_T_1882, 29, 0) @[Bitwise.scala 102:28] + node _T_1891 = shl(_T_1890, 2) @[Bitwise.scala 102:47] + node _T_1892 = xor(_T_1882, _T_1891) @[Bitwise.scala 102:21] + node _T_1893 = shr(_T_1889, 2) @[Bitwise.scala 103:21] + node _T_1894 = and(_T_1893, _T_1892) @[Bitwise.scala 103:31] + node _T_1895 = bits(_T_1889, 29, 0) @[Bitwise.scala 103:46] + node _T_1896 = shl(_T_1895, 2) @[Bitwise.scala 103:65] + node _T_1897 = not(_T_1892) @[Bitwise.scala 103:77] + node _T_1898 = and(_T_1896, _T_1897) @[Bitwise.scala 103:75] + node _T_1899 = or(_T_1894, _T_1898) @[Bitwise.scala 103:39] + node _T_1900 = bits(_T_1892, 30, 0) @[Bitwise.scala 102:28] + node _T_1901 = shl(_T_1900, 1) @[Bitwise.scala 102:47] + node _T_1902 = xor(_T_1892, _T_1901) @[Bitwise.scala 102:21] + node _T_1903 = shr(_T_1899, 1) @[Bitwise.scala 103:21] + node _T_1904 = and(_T_1903, _T_1902) @[Bitwise.scala 103:31] + node _T_1905 = bits(_T_1899, 30, 0) @[Bitwise.scala 103:46] + node _T_1906 = shl(_T_1905, 1) @[Bitwise.scala 103:65] + node _T_1907 = not(_T_1902) @[Bitwise.scala 103:77] + node _T_1908 = and(_T_1906, _T_1907) @[Bitwise.scala 103:75] + node _T_1909 = or(_T_1904, _T_1908) @[Bitwise.scala 103:39] + node _T_1910 = and(_T_1844, _T_1909) @[lsu_dccm_ctl.scala 265:115] + io.store_data_r <= _T_1910 @[lsu_dccm_ctl.scala 265:29] + node _T_1911 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 267:48] + io.dccm_rdata_lo_m <= _T_1911 @[lsu_dccm_ctl.scala 267:27] + node _T_1912 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 268:48] + io.dccm_rdata_hi_m <= _T_1912 @[lsu_dccm_ctl.scala 268:27] + node _T_1913 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 269:48] + io.dccm_data_ecc_lo_m <= _T_1913 @[lsu_dccm_ctl.scala 269:27] + node _T_1914 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 270:48] + io.dccm_data_ecc_hi_m <= _T_1914 @[lsu_dccm_ctl.scala 270:27] + node _T_1915 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 272:58] + node _T_1916 = and(_T_1915, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 272:84] + node _T_1917 = and(_T_1916, io.lsu_commit_r) @[lsu_dccm_ctl.scala 272:103] + node _T_1918 = or(_T_1917, io.dma_pic_wen) @[lsu_dccm_ctl.scala 272:122] + io.lsu_pic.picm_wren <= _T_1918 @[lsu_dccm_ctl.scala 272:35] + node _T_1919 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 273:58] + node _T_1920 = and(_T_1919, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 273:84] + io.lsu_pic.picm_rden <= _T_1920 @[lsu_dccm_ctl.scala 273:35] + node _T_1921 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 274:58] + node _T_1922 = and(_T_1921, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 274:84] + io.lsu_pic.picm_mken <= _T_1922 @[lsu_dccm_ctl.scala 274:35] + node _T_1923 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1924 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 275:103] + node _T_1925 = cat(_T_1923, _T_1924) @[Cat.scala 29:58] + node _T_1926 = or(UInt<32>("h0f00c0000"), _T_1925) @[lsu_dccm_ctl.scala 275:62] + io.lsu_pic.picm_rdaddr <= _T_1926 @[lsu_dccm_ctl.scala 275:35] + node _T_1927 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1928 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:109] + node _T_1929 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 276:144] + node _T_1930 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 276:172] + node _T_1931 = mux(_T_1928, _T_1929, _T_1930) @[lsu_dccm_ctl.scala 276:93] + node _T_1932 = cat(_T_1927, _T_1931) @[Cat.scala 29:58] + node _T_1933 = or(UInt<32>("h0f00c0000"), _T_1932) @[lsu_dccm_ctl.scala 276:62] + io.lsu_pic.picm_wraddr <= _T_1933 @[lsu_dccm_ctl.scala 276:35] + node _T_1934 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 277:44] + io.picm_mask_data_m <= _T_1934 @[lsu_dccm_ctl.scala 277:27] + node _T_1935 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 278:57] + node _T_1936 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 278:93] + node _T_1937 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 278:120] + node _T_1938 = mux(_T_1935, _T_1936, _T_1937) @[lsu_dccm_ctl.scala 278:41] + io.lsu_pic.picm_wr_data <= _T_1938 @[lsu_dccm_ctl.scala 278:35] + reg _T_1939 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61] + _T_1939 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 280:61] + io.lsu_dccm_rden_m <= _T_1939 @[lsu_dccm_ctl.scala 280:24] + reg _T_1940 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 281:61] + _T_1940 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 281:61] + io.lsu_dccm_rden_r <= _T_1940 @[lsu_dccm_ctl.scala 281:24] + reg _T_1941 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 282:73] + _T_1941 <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 282:73] + lsu_double_ecc_error_r_ff <= _T_1941 @[lsu_dccm_ctl.scala 282:33] + reg _T_1942 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 283:73] + _T_1942 <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 283:73] + ld_single_ecc_error_hi_r_ff <= _T_1942 @[lsu_dccm_ctl.scala 283:33] + reg _T_1943 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 284:73] + _T_1943 <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 284:73] + ld_single_ecc_error_lo_r_ff <= _T_1943 @[lsu_dccm_ctl.scala 284:33] + node _T_1944 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 285:48] + node _T_1945 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 285:90] + node _T_1946 = bits(_T_1945, 0, 0) @[lib.scala 8:44] + node _T_1947 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 285:128] inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 377:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[lib.scala 379:18] - rvclkhdr_2.io.en <= _T_1945 @[lib.scala 380:17] + rvclkhdr_2.io.en <= _T_1946 @[lib.scala 380:17] rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 381:24] - reg _T_1947 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] - _T_1947 <= _T_1943 @[lib.scala 383:16] - ld_sec_addr_hi_r_ff <= _T_1947 @[lsu_dccm_ctl.scala 285:25] - node _T_1948 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 286:48] - node _T_1949 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 286:90] - node _T_1950 = bits(_T_1949, 0, 0) @[lib.scala 8:44] - node _T_1951 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 286:128] + reg _T_1948 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] + _T_1948 <= _T_1944 @[lib.scala 383:16] + ld_sec_addr_hi_r_ff <= _T_1948 @[lsu_dccm_ctl.scala 285:25] + node _T_1949 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 286:48] + node _T_1950 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 286:90] + node _T_1951 = bits(_T_1950, 0, 0) @[lib.scala 8:44] + node _T_1952 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 286:128] inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 377:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[lib.scala 379:18] - rvclkhdr_3.io.en <= _T_1950 @[lib.scala 380:17] + rvclkhdr_3.io.en <= _T_1951 @[lib.scala 380:17] rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 381:24] - reg _T_1952 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] - _T_1952 <= _T_1948 @[lib.scala 383:16] - ld_sec_addr_lo_r_ff <= _T_1952 @[lsu_dccm_ctl.scala 286:25] + reg _T_1953 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] + _T_1953 <= _T_1949 @[lib.scala 383:16] + ld_sec_addr_lo_r_ff <= _T_1953 @[lsu_dccm_ctl.scala 286:25] diff --git a/lsu_dccm_ctl.v b/lsu_dccm_ctl.v index f5514d86..effaeb8b 100644 --- a/lsu_dccm_ctl.v +++ b/lsu_dccm_ctl.v @@ -186,882 +186,881 @@ module lsu_dccm_ctl( wire [63:0] dccm_rdata_corr_m = {io_sec_data_hi_m,io_sec_data_lo_m}; // @[Cat.scala 29:58] wire [63:0] dccm_rdata_m = {io_dccm_rdata_hi_m,io_dccm_rdata_lo_m}; // @[Cat.scala 29:58] wire _T = io_lsu_pkt_m_valid & io_lsu_pkt_m_bits_load; // @[lsu_dccm_ctl.scala 145:63] - wire [7:0] _T_5 = {io_stbuf_fwdbyteen_hi_m,io_stbuf_fwdbyteen_lo_m}; // @[Cat.scala 29:58] - wire [63:0] _T_8 = {io_stbuf_fwddata_hi_m,io_stbuf_fwddata_lo_m}; // @[Cat.scala 29:58] - wire [1:0] _T_13 = io_addr_in_dccm_m ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [7:0] _GEN_0 = {{6'd0}, _T_13}; // @[lsu_dccm_ctl.scala 155:294] - wire [7:0] _T_15 = _GEN_0 & dccm_rdata_corr_m[7:0]; // @[lsu_dccm_ctl.scala 155:294] - wire [7:0] _T_16 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : _T_15; // @[lsu_dccm_ctl.scala 155:214] - wire [7:0] _T_17 = _T_5[0] ? _T_8[7:0] : _T_16; // @[lsu_dccm_ctl.scala 155:78] - wire [7:0] _T_21 = {{4'd0}, _T_17[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_23 = {_T_17[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_25 = _T_23 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_26 = _T_21 | _T_25; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_1 = {{2'd0}, _T_26[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_31 = _GEN_1 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_33 = {_T_26[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_35 = _T_33 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_36 = _T_31 | _T_35; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_2 = {{1'd0}, _T_36[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_41 = _GEN_2 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_43 = {_T_36[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_45 = _T_43 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_46 = _T_41 | _T_45; // @[Bitwise.scala 103:39] - wire [7:0] _T_57 = _GEN_0 & dccm_rdata_corr_m[15:8]; // @[lsu_dccm_ctl.scala 155:294] - wire [7:0] _T_58 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : _T_57; // @[lsu_dccm_ctl.scala 155:214] - wire [7:0] _T_59 = _T_5[1] ? _T_8[15:8] : _T_58; // @[lsu_dccm_ctl.scala 155:78] - wire [7:0] _T_63 = {{4'd0}, _T_59[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_65 = {_T_59[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_67 = _T_65 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_68 = _T_63 | _T_67; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_4 = {{2'd0}, _T_68[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_73 = _GEN_4 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_75 = {_T_68[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_77 = _T_75 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_78 = _T_73 | _T_77; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_5 = {{1'd0}, _T_78[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_83 = _GEN_5 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_85 = {_T_78[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_87 = _T_85 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_88 = _T_83 | _T_87; // @[Bitwise.scala 103:39] - wire [7:0] _T_99 = _GEN_0 & dccm_rdata_corr_m[23:16]; // @[lsu_dccm_ctl.scala 155:294] - wire [7:0] _T_100 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : _T_99; // @[lsu_dccm_ctl.scala 155:214] - wire [7:0] _T_101 = _T_5[2] ? _T_8[23:16] : _T_100; // @[lsu_dccm_ctl.scala 155:78] - wire [7:0] _T_105 = {{4'd0}, _T_101[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_107 = {_T_101[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_109 = _T_107 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_110 = _T_105 | _T_109; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_7 = {{2'd0}, _T_110[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_115 = _GEN_7 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_117 = {_T_110[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_119 = _T_117 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_120 = _T_115 | _T_119; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_8 = {{1'd0}, _T_120[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_125 = _GEN_8 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_127 = {_T_120[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_129 = _T_127 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_130 = _T_125 | _T_129; // @[Bitwise.scala 103:39] - wire [7:0] _T_141 = _GEN_0 & dccm_rdata_corr_m[31:24]; // @[lsu_dccm_ctl.scala 155:294] - wire [7:0] _T_142 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : _T_141; // @[lsu_dccm_ctl.scala 155:214] - wire [7:0] _T_143 = _T_5[3] ? _T_8[31:24] : _T_142; // @[lsu_dccm_ctl.scala 155:78] - wire [7:0] _T_147 = {{4'd0}, _T_143[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_149 = {_T_143[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_151 = _T_149 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_152 = _T_147 | _T_151; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_10 = {{2'd0}, _T_152[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_157 = _GEN_10 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_159 = {_T_152[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_161 = _T_159 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_162 = _T_157 | _T_161; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_11 = {{1'd0}, _T_162[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_167 = _GEN_11 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_169 = {_T_162[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_171 = _T_169 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_172 = _T_167 | _T_171; // @[Bitwise.scala 103:39] - wire [7:0] _T_183 = _GEN_0 & dccm_rdata_corr_m[39:32]; // @[lsu_dccm_ctl.scala 155:294] - wire [7:0] _T_184 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : _T_183; // @[lsu_dccm_ctl.scala 155:214] - wire [7:0] _T_185 = _T_5[4] ? _T_8[39:32] : _T_184; // @[lsu_dccm_ctl.scala 155:78] - wire [7:0] _T_189 = {{4'd0}, _T_185[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_191 = {_T_185[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_193 = _T_191 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_194 = _T_189 | _T_193; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_13 = {{2'd0}, _T_194[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_199 = _GEN_13 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_201 = {_T_194[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_203 = _T_201 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_204 = _T_199 | _T_203; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_14 = {{1'd0}, _T_204[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_209 = _GEN_14 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_211 = {_T_204[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_213 = _T_211 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_214 = _T_209 | _T_213; // @[Bitwise.scala 103:39] - wire [7:0] _T_225 = _GEN_0 & dccm_rdata_corr_m[47:40]; // @[lsu_dccm_ctl.scala 155:294] - wire [7:0] _T_226 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : _T_225; // @[lsu_dccm_ctl.scala 155:214] - wire [7:0] _T_227 = _T_5[5] ? _T_8[47:40] : _T_226; // @[lsu_dccm_ctl.scala 155:78] - wire [7:0] _T_231 = {{4'd0}, _T_227[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_233 = {_T_227[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_235 = _T_233 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_236 = _T_231 | _T_235; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_16 = {{2'd0}, _T_236[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_241 = _GEN_16 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_243 = {_T_236[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_245 = _T_243 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_246 = _T_241 | _T_245; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_17 = {{1'd0}, _T_246[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_251 = _GEN_17 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_253 = {_T_246[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_255 = _T_253 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_256 = _T_251 | _T_255; // @[Bitwise.scala 103:39] - wire [7:0] _T_267 = _GEN_0 & dccm_rdata_corr_m[55:48]; // @[lsu_dccm_ctl.scala 155:294] - wire [7:0] _T_268 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : _T_267; // @[lsu_dccm_ctl.scala 155:214] - wire [7:0] _T_269 = _T_5[6] ? _T_8[55:48] : _T_268; // @[lsu_dccm_ctl.scala 155:78] - wire [7:0] _T_273 = {{4'd0}, _T_269[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_275 = {_T_269[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_277 = _T_275 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_278 = _T_273 | _T_277; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_19 = {{2'd0}, _T_278[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_283 = _GEN_19 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_285 = {_T_278[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_287 = _T_285 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_288 = _T_283 | _T_287; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_20 = {{1'd0}, _T_288[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_293 = _GEN_20 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_295 = {_T_288[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_297 = _T_295 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_298 = _T_293 | _T_297; // @[Bitwise.scala 103:39] - wire [7:0] _T_309 = _GEN_0 & dccm_rdata_corr_m[63:56]; // @[lsu_dccm_ctl.scala 155:294] - wire [7:0] _T_310 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : _T_309; // @[lsu_dccm_ctl.scala 155:214] - wire [7:0] _T_311 = _T_5[7] ? _T_8[63:56] : _T_310; // @[lsu_dccm_ctl.scala 155:78] - wire [7:0] _T_315 = {{4'd0}, _T_311[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_317 = {_T_311[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_319 = _T_317 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_320 = _T_315 | _T_319; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_22 = {{2'd0}, _T_320[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_325 = _GEN_22 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_327 = {_T_320[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_329 = _T_327 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_330 = _T_325 | _T_329; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_23 = {{1'd0}, _T_330[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_335 = _GEN_23 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_337 = {_T_330[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_339 = _T_337 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_340 = _T_335 | _T_339; // @[Bitwise.scala 103:39] - wire [63:0] _T_348 = {_T_46,_T_88,_T_130,_T_172,_T_214,_T_256,_T_298,_T_340}; // @[Cat.scala 29:58] - wire [63:0] _T_352 = {{32'd0}, _T_348[63:32]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_354 = {_T_348[31:0], 32'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_356 = _T_354 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] - wire [63:0] _T_357 = _T_352 | _T_356; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_24 = {{16'd0}, _T_357[63:16]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_362 = _GEN_24 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] - wire [63:0] _T_364 = {_T_357[47:0], 16'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_366 = _T_364 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] - wire [63:0] _T_367 = _T_362 | _T_366; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_25 = {{8'd0}, _T_367[63:8]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_372 = _GEN_25 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] - wire [63:0] _T_374 = {_T_367[55:0], 8'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_376 = _T_374 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] - wire [63:0] _T_377 = _T_372 | _T_376; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_26 = {{4'd0}, _T_377[63:4]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_382 = _GEN_26 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] - wire [63:0] _T_384 = {_T_377[59:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_386 = _T_384 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] - wire [63:0] _T_387 = _T_382 | _T_386; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_27 = {{2'd0}, _T_387[63:2]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_392 = _GEN_27 & 64'h3333333333333333; // @[Bitwise.scala 103:31] - wire [63:0] _T_394 = {_T_387[61:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_396 = _T_394 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] - wire [63:0] _T_397 = _T_392 | _T_396; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_28 = {{1'd0}, _T_397[63:1]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_402 = _GEN_28 & 64'h5555555555555555; // @[Bitwise.scala 103:31] - wire [63:0] _T_404 = {_T_397[62:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_406 = _T_404 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] - wire [63:0] lsu_rdata_corr_m = _T_402 | _T_406; // @[Bitwise.scala 103:39] - wire [127:0] _T_3 = {lsu_rdata_corr_m,lsu_rdata_corr_m}; // @[Cat.scala 29:58] - wire [127:0] _T_4 = io_ldst_dual_m ? {{64'd0}, lsu_rdata_corr_m} : _T_3; // @[lsu_dccm_ctl.scala 147:47] - wire [7:0] _T_418 = _GEN_0 & dccm_rdata_m[7:0]; // @[lsu_dccm_ctl.scala 156:294] - wire [7:0] _T_419 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : _T_418; // @[lsu_dccm_ctl.scala 156:214] - wire [7:0] _T_420 = _T_5[0] ? _T_8[7:0] : _T_419; // @[lsu_dccm_ctl.scala 156:78] - wire [7:0] _T_424 = {{4'd0}, _T_420[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_426 = {_T_420[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_428 = _T_426 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_429 = _T_424 | _T_428; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_30 = {{2'd0}, _T_429[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_434 = _GEN_30 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_436 = {_T_429[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_438 = _T_436 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_439 = _T_434 | _T_438; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_31 = {{1'd0}, _T_439[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_444 = _GEN_31 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_446 = {_T_439[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_448 = _T_446 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_449 = _T_444 | _T_448; // @[Bitwise.scala 103:39] - wire [7:0] _T_460 = _GEN_0 & dccm_rdata_m[15:8]; // @[lsu_dccm_ctl.scala 156:294] - wire [7:0] _T_461 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : _T_460; // @[lsu_dccm_ctl.scala 156:214] - wire [7:0] _T_462 = _T_5[1] ? _T_8[15:8] : _T_461; // @[lsu_dccm_ctl.scala 156:78] - wire [7:0] _T_466 = {{4'd0}, _T_462[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_468 = {_T_462[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_470 = _T_468 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_471 = _T_466 | _T_470; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_33 = {{2'd0}, _T_471[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_476 = _GEN_33 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_478 = {_T_471[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_480 = _T_478 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_481 = _T_476 | _T_480; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_34 = {{1'd0}, _T_481[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_486 = _GEN_34 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_488 = {_T_481[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_490 = _T_488 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_491 = _T_486 | _T_490; // @[Bitwise.scala 103:39] - wire [7:0] _T_502 = _GEN_0 & dccm_rdata_m[23:16]; // @[lsu_dccm_ctl.scala 156:294] - wire [7:0] _T_503 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : _T_502; // @[lsu_dccm_ctl.scala 156:214] - wire [7:0] _T_504 = _T_5[2] ? _T_8[23:16] : _T_503; // @[lsu_dccm_ctl.scala 156:78] - wire [7:0] _T_508 = {{4'd0}, _T_504[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_510 = {_T_504[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_512 = _T_510 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_513 = _T_508 | _T_512; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_36 = {{2'd0}, _T_513[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_518 = _GEN_36 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_520 = {_T_513[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_522 = _T_520 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_523 = _T_518 | _T_522; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_37 = {{1'd0}, _T_523[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_528 = _GEN_37 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_530 = {_T_523[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_532 = _T_530 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_533 = _T_528 | _T_532; // @[Bitwise.scala 103:39] - wire [7:0] _T_544 = _GEN_0 & dccm_rdata_m[31:24]; // @[lsu_dccm_ctl.scala 156:294] - wire [7:0] _T_545 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : _T_544; // @[lsu_dccm_ctl.scala 156:214] - wire [7:0] _T_546 = _T_5[3] ? _T_8[31:24] : _T_545; // @[lsu_dccm_ctl.scala 156:78] - wire [7:0] _T_550 = {{4'd0}, _T_546[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_552 = {_T_546[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_554 = _T_552 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_555 = _T_550 | _T_554; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_39 = {{2'd0}, _T_555[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_560 = _GEN_39 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_562 = {_T_555[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_564 = _T_562 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_565 = _T_560 | _T_564; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_40 = {{1'd0}, _T_565[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_570 = _GEN_40 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_572 = {_T_565[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_574 = _T_572 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_575 = _T_570 | _T_574; // @[Bitwise.scala 103:39] - wire [7:0] _T_586 = _GEN_0 & dccm_rdata_m[39:32]; // @[lsu_dccm_ctl.scala 156:294] - wire [7:0] _T_587 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : _T_586; // @[lsu_dccm_ctl.scala 156:214] - wire [7:0] _T_588 = _T_5[4] ? _T_8[39:32] : _T_587; // @[lsu_dccm_ctl.scala 156:78] - wire [7:0] _T_592 = {{4'd0}, _T_588[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_594 = {_T_588[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_596 = _T_594 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_597 = _T_592 | _T_596; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_42 = {{2'd0}, _T_597[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_602 = _GEN_42 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_604 = {_T_597[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_606 = _T_604 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_607 = _T_602 | _T_606; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_43 = {{1'd0}, _T_607[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_612 = _GEN_43 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_614 = {_T_607[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_616 = _T_614 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_617 = _T_612 | _T_616; // @[Bitwise.scala 103:39] - wire [7:0] _T_628 = _GEN_0 & dccm_rdata_m[47:40]; // @[lsu_dccm_ctl.scala 156:294] - wire [7:0] _T_629 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : _T_628; // @[lsu_dccm_ctl.scala 156:214] - wire [7:0] _T_630 = _T_5[5] ? _T_8[47:40] : _T_629; // @[lsu_dccm_ctl.scala 156:78] - wire [7:0] _T_634 = {{4'd0}, _T_630[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_636 = {_T_630[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_638 = _T_636 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_639 = _T_634 | _T_638; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_45 = {{2'd0}, _T_639[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_644 = _GEN_45 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_646 = {_T_639[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_648 = _T_646 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_649 = _T_644 | _T_648; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_46 = {{1'd0}, _T_649[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_654 = _GEN_46 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_656 = {_T_649[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_658 = _T_656 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_659 = _T_654 | _T_658; // @[Bitwise.scala 103:39] - wire [7:0] _T_670 = _GEN_0 & dccm_rdata_m[55:48]; // @[lsu_dccm_ctl.scala 156:294] - wire [7:0] _T_671 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : _T_670; // @[lsu_dccm_ctl.scala 156:214] - wire [7:0] _T_672 = _T_5[6] ? _T_8[55:48] : _T_671; // @[lsu_dccm_ctl.scala 156:78] - wire [7:0] _T_676 = {{4'd0}, _T_672[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_678 = {_T_672[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_680 = _T_678 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_681 = _T_676 | _T_680; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_48 = {{2'd0}, _T_681[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_686 = _GEN_48 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_688 = {_T_681[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_690 = _T_688 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_691 = _T_686 | _T_690; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_49 = {{1'd0}, _T_691[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_696 = _GEN_49 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_698 = {_T_691[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_700 = _T_698 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_701 = _T_696 | _T_700; // @[Bitwise.scala 103:39] - wire [7:0] _T_712 = _GEN_0 & dccm_rdata_m[63:56]; // @[lsu_dccm_ctl.scala 156:294] - wire [7:0] _T_713 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : _T_712; // @[lsu_dccm_ctl.scala 156:214] - wire [7:0] _T_714 = _T_5[7] ? _T_8[63:56] : _T_713; // @[lsu_dccm_ctl.scala 156:78] - wire [7:0] _T_718 = {{4'd0}, _T_714[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_720 = {_T_714[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_722 = _T_720 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_723 = _T_718 | _T_722; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_51 = {{2'd0}, _T_723[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_728 = _GEN_51 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_730 = {_T_723[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_732 = _T_730 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_733 = _T_728 | _T_732; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_52 = {{1'd0}, _T_733[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_738 = _GEN_52 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_740 = {_T_733[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_742 = _T_740 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_743 = _T_738 | _T_742; // @[Bitwise.scala 103:39] - wire [63:0] _T_751 = {_T_449,_T_491,_T_533,_T_575,_T_617,_T_659,_T_701,_T_743}; // @[Cat.scala 29:58] - wire [63:0] _T_755 = {{32'd0}, _T_751[63:32]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_757 = {_T_751[31:0], 32'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_759 = _T_757 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] - wire [63:0] _T_760 = _T_755 | _T_759; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_53 = {{16'd0}, _T_760[63:16]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_765 = _GEN_53 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] - wire [63:0] _T_767 = {_T_760[47:0], 16'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_769 = _T_767 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] - wire [63:0] _T_770 = _T_765 | _T_769; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_54 = {{8'd0}, _T_770[63:8]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_775 = _GEN_54 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] - wire [63:0] _T_777 = {_T_770[55:0], 8'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_779 = _T_777 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] - wire [63:0] _T_780 = _T_775 | _T_779; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_55 = {{4'd0}, _T_780[63:4]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_785 = _GEN_55 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] - wire [63:0] _T_787 = {_T_780[59:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_789 = _T_787 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] - wire [63:0] _T_790 = _T_785 | _T_789; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_56 = {{2'd0}, _T_790[63:2]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_795 = _GEN_56 & 64'h3333333333333333; // @[Bitwise.scala 103:31] - wire [63:0] _T_797 = {_T_790[61:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_799 = _T_797 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] - wire [63:0] _T_800 = _T_795 | _T_799; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_57 = {{1'd0}, _T_800[63:1]}; // @[Bitwise.scala 103:31] - wire [63:0] _T_805 = _GEN_57 & 64'h5555555555555555; // @[Bitwise.scala 103:31] - wire [63:0] _T_807 = {_T_800[62:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [63:0] _T_809 = _T_807 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] - wire [63:0] lsu_rdata_m = _T_805 | _T_809; // @[Bitwise.scala 103:39] - wire _T_812 = io_addr_in_pic_m | io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 157:123] - wire _T_813 = _T & _T_812; // @[lsu_dccm_ctl.scala 157:103] - reg [63:0] _T_817; // @[lib.scala 383:16] + wire [7:0] _T_6 = {io_stbuf_fwdbyteen_hi_m,io_stbuf_fwdbyteen_lo_m}; // @[Cat.scala 29:58] + wire [63:0] _T_9 = {io_stbuf_fwddata_hi_m,io_stbuf_fwddata_lo_m}; // @[Cat.scala 29:58] + wire [1:0] _T_14 = io_addr_in_dccm_m ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [7:0] _GEN_0 = {{6'd0}, _T_14}; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_16 = _GEN_0 & dccm_rdata_corr_m[7:0]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_17 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : _T_16; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_18 = _T_6[0] ? _T_9[7:0] : _T_17; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_22 = {{4'd0}, _T_18[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_24 = {_T_18[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_26 = _T_24 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_27 = _T_22 | _T_26; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_1 = {{2'd0}, _T_27[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_32 = _GEN_1 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_34 = {_T_27[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_36 = _T_34 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_37 = _T_32 | _T_36; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_2 = {{1'd0}, _T_37[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_42 = _GEN_2 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_44 = {_T_37[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_46 = _T_44 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_47 = _T_42 | _T_46; // @[Bitwise.scala 103:39] + wire [7:0] _T_58 = _GEN_0 & dccm_rdata_corr_m[15:8]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_59 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : _T_58; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_60 = _T_6[1] ? _T_9[15:8] : _T_59; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_64 = {{4'd0}, _T_60[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_66 = {_T_60[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_68 = _T_66 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_69 = _T_64 | _T_68; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_4 = {{2'd0}, _T_69[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_74 = _GEN_4 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_76 = {_T_69[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_78 = _T_76 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_79 = _T_74 | _T_78; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_5 = {{1'd0}, _T_79[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_84 = _GEN_5 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_86 = {_T_79[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_88 = _T_86 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_89 = _T_84 | _T_88; // @[Bitwise.scala 103:39] + wire [7:0] _T_100 = _GEN_0 & dccm_rdata_corr_m[23:16]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_101 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : _T_100; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_102 = _T_6[2] ? _T_9[23:16] : _T_101; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_106 = {{4'd0}, _T_102[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_108 = {_T_102[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_110 = _T_108 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_111 = _T_106 | _T_110; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_7 = {{2'd0}, _T_111[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_116 = _GEN_7 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_118 = {_T_111[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_120 = _T_118 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_121 = _T_116 | _T_120; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_8 = {{1'd0}, _T_121[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_126 = _GEN_8 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_128 = {_T_121[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_130 = _T_128 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_131 = _T_126 | _T_130; // @[Bitwise.scala 103:39] + wire [7:0] _T_142 = _GEN_0 & dccm_rdata_corr_m[31:24]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_143 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : _T_142; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_144 = _T_6[3] ? _T_9[31:24] : _T_143; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_148 = {{4'd0}, _T_144[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_150 = {_T_144[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_152 = _T_150 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_153 = _T_148 | _T_152; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_10 = {{2'd0}, _T_153[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_158 = _GEN_10 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_160 = {_T_153[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_162 = _T_160 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_163 = _T_158 | _T_162; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_11 = {{1'd0}, _T_163[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_168 = _GEN_11 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_170 = {_T_163[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_172 = _T_170 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_173 = _T_168 | _T_172; // @[Bitwise.scala 103:39] + wire [7:0] _T_184 = _GEN_0 & dccm_rdata_corr_m[39:32]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_185 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : _T_184; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_186 = _T_6[4] ? _T_9[39:32] : _T_185; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_190 = {{4'd0}, _T_186[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_192 = {_T_186[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_194 = _T_192 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_195 = _T_190 | _T_194; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_13 = {{2'd0}, _T_195[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_200 = _GEN_13 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_202 = {_T_195[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_204 = _T_202 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_205 = _T_200 | _T_204; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_14 = {{1'd0}, _T_205[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_210 = _GEN_14 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_212 = {_T_205[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_214 = _T_212 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_215 = _T_210 | _T_214; // @[Bitwise.scala 103:39] + wire [7:0] _T_226 = _GEN_0 & dccm_rdata_corr_m[47:40]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_227 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : _T_226; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_228 = _T_6[5] ? _T_9[47:40] : _T_227; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_232 = {{4'd0}, _T_228[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_234 = {_T_228[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_236 = _T_234 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_237 = _T_232 | _T_236; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_16 = {{2'd0}, _T_237[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_242 = _GEN_16 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_244 = {_T_237[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_246 = _T_244 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_247 = _T_242 | _T_246; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_17 = {{1'd0}, _T_247[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_252 = _GEN_17 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_254 = {_T_247[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_256 = _T_254 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_257 = _T_252 | _T_256; // @[Bitwise.scala 103:39] + wire [7:0] _T_268 = _GEN_0 & dccm_rdata_corr_m[55:48]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_269 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : _T_268; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_270 = _T_6[6] ? _T_9[55:48] : _T_269; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_274 = {{4'd0}, _T_270[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_276 = {_T_270[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_278 = _T_276 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_279 = _T_274 | _T_278; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_19 = {{2'd0}, _T_279[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_284 = _GEN_19 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_286 = {_T_279[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_288 = _T_286 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_289 = _T_284 | _T_288; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_20 = {{1'd0}, _T_289[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_294 = _GEN_20 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_296 = {_T_289[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_298 = _T_296 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_299 = _T_294 | _T_298; // @[Bitwise.scala 103:39] + wire [7:0] _T_310 = _GEN_0 & dccm_rdata_corr_m[63:56]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_311 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : _T_310; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_312 = _T_6[7] ? _T_9[63:56] : _T_311; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_316 = {{4'd0}, _T_312[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_318 = {_T_312[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_320 = _T_318 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_321 = _T_316 | _T_320; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_22 = {{2'd0}, _T_321[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_326 = _GEN_22 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_328 = {_T_321[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_330 = _T_328 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_331 = _T_326 | _T_330; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_23 = {{1'd0}, _T_331[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_336 = _GEN_23 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_338 = {_T_331[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_340 = _T_338 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_341 = _T_336 | _T_340; // @[Bitwise.scala 103:39] + wire [63:0] _T_349 = {_T_47,_T_89,_T_131,_T_173,_T_215,_T_257,_T_299,_T_341}; // @[Cat.scala 29:58] + wire [63:0] _T_353 = {{32'd0}, _T_349[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_355 = {_T_349[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_357 = _T_355 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_358 = _T_353 | _T_357; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_24 = {{16'd0}, _T_358[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_363 = _GEN_24 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_365 = {_T_358[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_367 = _T_365 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_368 = _T_363 | _T_367; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_25 = {{8'd0}, _T_368[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_373 = _GEN_25 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_375 = {_T_368[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_377 = _T_375 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_378 = _T_373 | _T_377; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_26 = {{4'd0}, _T_378[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_383 = _GEN_26 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_385 = {_T_378[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_387 = _T_385 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_388 = _T_383 | _T_387; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_27 = {{2'd0}, _T_388[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_393 = _GEN_27 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_395 = {_T_388[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_397 = _T_395 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_398 = _T_393 | _T_397; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_28 = {{1'd0}, _T_398[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_403 = _GEN_28 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_405 = {_T_398[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_407 = _T_405 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_corr_m = _T_403 | _T_407; // @[Bitwise.scala 103:39] + wire [63:0] _T_4 = {lsu_rdata_corr_m[31:0],lsu_rdata_corr_m[31:0]}; // @[Cat.scala 29:58] + wire [7:0] _T_419 = _GEN_0 & dccm_rdata_m[7:0]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_420 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : _T_419; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_421 = _T_6[0] ? _T_9[7:0] : _T_420; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_425 = {{4'd0}, _T_421[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_427 = {_T_421[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_429 = _T_427 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_430 = _T_425 | _T_429; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_30 = {{2'd0}, _T_430[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_435 = _GEN_30 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_437 = {_T_430[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_439 = _T_437 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_440 = _T_435 | _T_439; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_31 = {{1'd0}, _T_440[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_445 = _GEN_31 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_447 = {_T_440[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_449 = _T_447 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_450 = _T_445 | _T_449; // @[Bitwise.scala 103:39] + wire [7:0] _T_461 = _GEN_0 & dccm_rdata_m[15:8]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_462 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : _T_461; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_463 = _T_6[1] ? _T_9[15:8] : _T_462; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_467 = {{4'd0}, _T_463[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_469 = {_T_463[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_471 = _T_469 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_472 = _T_467 | _T_471; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_33 = {{2'd0}, _T_472[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_477 = _GEN_33 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_479 = {_T_472[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_481 = _T_479 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_482 = _T_477 | _T_481; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_34 = {{1'd0}, _T_482[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_487 = _GEN_34 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_489 = {_T_482[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_491 = _T_489 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_492 = _T_487 | _T_491; // @[Bitwise.scala 103:39] + wire [7:0] _T_503 = _GEN_0 & dccm_rdata_m[23:16]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_504 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : _T_503; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_505 = _T_6[2] ? _T_9[23:16] : _T_504; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_509 = {{4'd0}, _T_505[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_511 = {_T_505[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_513 = _T_511 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_514 = _T_509 | _T_513; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_36 = {{2'd0}, _T_514[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_519 = _GEN_36 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_521 = {_T_514[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_523 = _T_521 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_524 = _T_519 | _T_523; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_37 = {{1'd0}, _T_524[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_529 = _GEN_37 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_531 = {_T_524[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_533 = _T_531 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_534 = _T_529 | _T_533; // @[Bitwise.scala 103:39] + wire [7:0] _T_545 = _GEN_0 & dccm_rdata_m[31:24]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_546 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : _T_545; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_547 = _T_6[3] ? _T_9[31:24] : _T_546; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_551 = {{4'd0}, _T_547[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_553 = {_T_547[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_555 = _T_553 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_556 = _T_551 | _T_555; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_39 = {{2'd0}, _T_556[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_561 = _GEN_39 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_563 = {_T_556[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_565 = _T_563 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_566 = _T_561 | _T_565; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_40 = {{1'd0}, _T_566[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_571 = _GEN_40 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_573 = {_T_566[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_575 = _T_573 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_576 = _T_571 | _T_575; // @[Bitwise.scala 103:39] + wire [7:0] _T_587 = _GEN_0 & dccm_rdata_m[39:32]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_588 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : _T_587; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_589 = _T_6[4] ? _T_9[39:32] : _T_588; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_593 = {{4'd0}, _T_589[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_595 = {_T_589[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_597 = _T_595 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_598 = _T_593 | _T_597; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_42 = {{2'd0}, _T_598[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_603 = _GEN_42 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_605 = {_T_598[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_607 = _T_605 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_608 = _T_603 | _T_607; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_43 = {{1'd0}, _T_608[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_613 = _GEN_43 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_615 = {_T_608[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_617 = _T_615 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_618 = _T_613 | _T_617; // @[Bitwise.scala 103:39] + wire [7:0] _T_629 = _GEN_0 & dccm_rdata_m[47:40]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_630 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : _T_629; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_631 = _T_6[5] ? _T_9[47:40] : _T_630; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_635 = {{4'd0}, _T_631[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_637 = {_T_631[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_639 = _T_637 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_640 = _T_635 | _T_639; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_45 = {{2'd0}, _T_640[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_645 = _GEN_45 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_647 = {_T_640[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_649 = _T_647 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_650 = _T_645 | _T_649; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_46 = {{1'd0}, _T_650[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_655 = _GEN_46 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_657 = {_T_650[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_659 = _T_657 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_660 = _T_655 | _T_659; // @[Bitwise.scala 103:39] + wire [7:0] _T_671 = _GEN_0 & dccm_rdata_m[55:48]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_672 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : _T_671; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_673 = _T_6[6] ? _T_9[55:48] : _T_672; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_677 = {{4'd0}, _T_673[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_679 = {_T_673[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_681 = _T_679 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_682 = _T_677 | _T_681; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_48 = {{2'd0}, _T_682[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_687 = _GEN_48 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_689 = {_T_682[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_691 = _T_689 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_692 = _T_687 | _T_691; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_49 = {{1'd0}, _T_692[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_697 = _GEN_49 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_699 = {_T_692[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_701 = _T_699 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_702 = _T_697 | _T_701; // @[Bitwise.scala 103:39] + wire [7:0] _T_713 = _GEN_0 & dccm_rdata_m[63:56]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_714 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : _T_713; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_715 = _T_6[7] ? _T_9[63:56] : _T_714; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_719 = {{4'd0}, _T_715[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_721 = {_T_715[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_723 = _T_721 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_724 = _T_719 | _T_723; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_51 = {{2'd0}, _T_724[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_729 = _GEN_51 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_731 = {_T_724[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_733 = _T_731 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_734 = _T_729 | _T_733; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_52 = {{1'd0}, _T_734[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_739 = _GEN_52 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_741 = {_T_734[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_743 = _T_741 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_744 = _T_739 | _T_743; // @[Bitwise.scala 103:39] + wire [63:0] _T_752 = {_T_450,_T_492,_T_534,_T_576,_T_618,_T_660,_T_702,_T_744}; // @[Cat.scala 29:58] + wire [63:0] _T_756 = {{32'd0}, _T_752[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_758 = {_T_752[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_760 = _T_758 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_761 = _T_756 | _T_760; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_53 = {{16'd0}, _T_761[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_766 = _GEN_53 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_768 = {_T_761[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_770 = _T_768 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_771 = _T_766 | _T_770; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_54 = {{8'd0}, _T_771[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_776 = _GEN_54 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_778 = {_T_771[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_780 = _T_778 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_781 = _T_776 | _T_780; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_55 = {{4'd0}, _T_781[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_786 = _GEN_55 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_788 = {_T_781[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_790 = _T_788 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_791 = _T_786 | _T_790; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_56 = {{2'd0}, _T_791[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_796 = _GEN_56 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_798 = {_T_791[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_800 = _T_798 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_801 = _T_796 | _T_800; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_57 = {{1'd0}, _T_801[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_806 = _GEN_57 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_808 = {_T_801[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_810 = _T_808 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_m = _T_806 | _T_810; // @[Bitwise.scala 103:39] + wire _T_813 = io_addr_in_pic_m | io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 157:123] + wire _T_814 = _T & _T_813; // @[lsu_dccm_ctl.scala 157:103] + reg [63:0] _T_818; // @[lib.scala 383:16] wire [3:0] _GEN_58 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_dccm_ctl.scala 158:49] - wire [5:0] _T_819 = 4'h8 * _GEN_58; // @[lsu_dccm_ctl.scala 158:49] - wire [63:0] _T_820 = lsu_rdata_m >> _T_819; // @[lsu_dccm_ctl.scala 158:43] - wire _T_826 = io_lsu_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 163:60] - wire _T_829 = io_end_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 163:133] - wire _T_830 = _T_826 | _T_829; // @[lsu_dccm_ctl.scala 163:101] - wire _T_831 = _T_830 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 163:175] - wire _T_832 = _T_831 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 163:196] - wire _T_833 = _T_832 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 163:222] - wire _T_834 = _T_833 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 163:246] - wire _T_837 = io_lsu_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 164:37] - wire _T_840 = io_end_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 164:110] - wire _T_841 = _T_837 | _T_840; // @[lsu_dccm_ctl.scala 164:78] - wire _T_842 = _T_841 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 164:152] - wire _T_843 = _T_842 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 164:173] - wire _T_844 = _T_843 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 164:199] - wire _T_845 = _T_844 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 164:223] - wire kill_ecc_corr_lo_r = _T_834 | _T_845; // @[lsu_dccm_ctl.scala 163:267] - wire _T_848 = io_lsu_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 166:60] - wire _T_851 = io_end_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 166:133] - wire _T_852 = _T_848 | _T_851; // @[lsu_dccm_ctl.scala 166:101] - wire _T_853 = _T_852 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 166:175] - wire _T_854 = _T_853 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 166:196] - wire _T_855 = _T_854 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 166:222] - wire _T_856 = _T_855 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 166:246] - wire _T_859 = io_lsu_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 167:37] - wire _T_862 = io_end_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 167:110] - wire _T_863 = _T_859 | _T_862; // @[lsu_dccm_ctl.scala 167:78] - wire _T_864 = _T_863 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 167:152] - wire _T_865 = _T_864 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 167:173] - wire _T_866 = _T_865 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 167:199] - wire _T_867 = _T_866 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 167:223] - wire kill_ecc_corr_hi_r = _T_856 | _T_867; // @[lsu_dccm_ctl.scala 166:267] - wire _T_868 = io_lsu_pkt_r_bits_load & io_single_ecc_error_lo_r; // @[lsu_dccm_ctl.scala 169:60] - wire _T_869 = ~io_lsu_raw_fwd_lo_r; // @[lsu_dccm_ctl.scala 169:89] - wire ld_single_ecc_error_lo_r = _T_868 & _T_869; // @[lsu_dccm_ctl.scala 169:87] - wire _T_870 = io_lsu_pkt_r_bits_load & io_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 170:60] - wire _T_871 = ~io_lsu_raw_fwd_hi_r; // @[lsu_dccm_ctl.scala 170:89] - wire ld_single_ecc_error_hi_r = _T_870 & _T_871; // @[lsu_dccm_ctl.scala 170:87] - wire _T_872 = ld_single_ecc_error_lo_r | ld_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 171:63] - wire _T_873 = ~io_lsu_double_ecc_error_r; // @[lsu_dccm_ctl.scala 171:93] - wire _T_875 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_dccm_ctl.scala 172:81] - wire _T_876 = ld_single_ecc_error_lo_r & _T_875; // @[lsu_dccm_ctl.scala 172:62] - wire _T_877 = ~kill_ecc_corr_lo_r; // @[lsu_dccm_ctl.scala 172:108] - wire _T_879 = ld_single_ecc_error_hi_r & _T_875; // @[lsu_dccm_ctl.scala 173:62] - wire _T_880 = ~kill_ecc_corr_hi_r; // @[lsu_dccm_ctl.scala 173:108] - wire _T_881 = io_lsu_pkt_d_bits_word | io_lsu_pkt_d_bits_dword; // @[lsu_dccm_ctl.scala 175:125] - wire _T_882 = ~_T_881; // @[lsu_dccm_ctl.scala 175:100] - wire _T_884 = io_lsu_addr_d[1:0] != 2'h0; // @[lsu_dccm_ctl.scala 175:174] - wire _T_885 = _T_882 | _T_884; // @[lsu_dccm_ctl.scala 175:152] - wire _T_886 = io_lsu_pkt_d_bits_store & _T_885; // @[lsu_dccm_ctl.scala 175:97] - wire _T_887 = io_lsu_pkt_d_bits_load | _T_886; // @[lsu_dccm_ctl.scala 175:70] - wire _T_888 = io_lsu_pkt_d_valid & _T_887; // @[lsu_dccm_ctl.scala 175:44] - wire lsu_dccm_rden_d = _T_888 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 175:191] + wire [5:0] _T_820 = 4'h8 * _GEN_58; // @[lsu_dccm_ctl.scala 158:49] + wire [63:0] _T_821 = lsu_rdata_m >> _T_820; // @[lsu_dccm_ctl.scala 158:43] + wire _T_827 = io_lsu_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 163:60] + wire _T_830 = io_end_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 163:133] + wire _T_831 = _T_827 | _T_830; // @[lsu_dccm_ctl.scala 163:101] + wire _T_832 = _T_831 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 163:175] + wire _T_833 = _T_832 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 163:196] + wire _T_834 = _T_833 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 163:222] + wire _T_835 = _T_834 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 163:246] + wire _T_838 = io_lsu_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 164:37] + wire _T_841 = io_end_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 164:110] + wire _T_842 = _T_838 | _T_841; // @[lsu_dccm_ctl.scala 164:78] + wire _T_843 = _T_842 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 164:152] + wire _T_844 = _T_843 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 164:173] + wire _T_845 = _T_844 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 164:199] + wire _T_846 = _T_845 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 164:223] + wire kill_ecc_corr_lo_r = _T_835 | _T_846; // @[lsu_dccm_ctl.scala 163:267] + wire _T_849 = io_lsu_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 166:60] + wire _T_852 = io_end_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 166:133] + wire _T_853 = _T_849 | _T_852; // @[lsu_dccm_ctl.scala 166:101] + wire _T_854 = _T_853 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 166:175] + wire _T_855 = _T_854 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 166:196] + wire _T_856 = _T_855 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 166:222] + wire _T_857 = _T_856 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 166:246] + wire _T_860 = io_lsu_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 167:37] + wire _T_863 = io_end_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 167:110] + wire _T_864 = _T_860 | _T_863; // @[lsu_dccm_ctl.scala 167:78] + wire _T_865 = _T_864 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 167:152] + wire _T_866 = _T_865 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 167:173] + wire _T_867 = _T_866 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 167:199] + wire _T_868 = _T_867 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 167:223] + wire kill_ecc_corr_hi_r = _T_857 | _T_868; // @[lsu_dccm_ctl.scala 166:267] + wire _T_869 = io_lsu_pkt_r_bits_load & io_single_ecc_error_lo_r; // @[lsu_dccm_ctl.scala 169:60] + wire _T_870 = ~io_lsu_raw_fwd_lo_r; // @[lsu_dccm_ctl.scala 169:89] + wire ld_single_ecc_error_lo_r = _T_869 & _T_870; // @[lsu_dccm_ctl.scala 169:87] + wire _T_871 = io_lsu_pkt_r_bits_load & io_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 170:60] + wire _T_872 = ~io_lsu_raw_fwd_hi_r; // @[lsu_dccm_ctl.scala 170:89] + wire ld_single_ecc_error_hi_r = _T_871 & _T_872; // @[lsu_dccm_ctl.scala 170:87] + wire _T_873 = ld_single_ecc_error_lo_r | ld_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 171:63] + wire _T_874 = ~io_lsu_double_ecc_error_r; // @[lsu_dccm_ctl.scala 171:93] + wire _T_876 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_dccm_ctl.scala 172:81] + wire _T_877 = ld_single_ecc_error_lo_r & _T_876; // @[lsu_dccm_ctl.scala 172:62] + wire _T_878 = ~kill_ecc_corr_lo_r; // @[lsu_dccm_ctl.scala 172:108] + wire _T_880 = ld_single_ecc_error_hi_r & _T_876; // @[lsu_dccm_ctl.scala 173:62] + wire _T_881 = ~kill_ecc_corr_hi_r; // @[lsu_dccm_ctl.scala 173:108] + wire _T_882 = io_lsu_pkt_d_bits_word | io_lsu_pkt_d_bits_dword; // @[lsu_dccm_ctl.scala 175:125] + wire _T_883 = ~_T_882; // @[lsu_dccm_ctl.scala 175:100] + wire _T_885 = io_lsu_addr_d[1:0] != 2'h0; // @[lsu_dccm_ctl.scala 175:174] + wire _T_886 = _T_883 | _T_885; // @[lsu_dccm_ctl.scala 175:152] + wire _T_887 = io_lsu_pkt_d_bits_store & _T_886; // @[lsu_dccm_ctl.scala 175:97] + wire _T_888 = io_lsu_pkt_d_bits_load | _T_887; // @[lsu_dccm_ctl.scala 175:70] + wire _T_889 = io_lsu_pkt_d_valid & _T_888; // @[lsu_dccm_ctl.scala 175:44] + wire lsu_dccm_rden_d = _T_889 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 175:191] reg ld_single_ecc_error_lo_r_ff; // @[lsu_dccm_ctl.scala 284:73] reg ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 283:73] - wire _T_889 = ld_single_ecc_error_lo_r_ff | ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 178:63] + wire _T_890 = ld_single_ecc_error_lo_r_ff | ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 178:63] reg lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 282:73] - wire _T_890 = ~lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 178:96] - wire _T_892 = lsu_dccm_rden_d | io_dma_dccm_wen; // @[lsu_dccm_ctl.scala 179:75] - wire _T_893 = _T_892 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 179:93] - wire _T_894 = ~_T_893; // @[lsu_dccm_ctl.scala 179:57] - wire _T_897 = io_stbuf_addr_any[3:2] == io_lsu_addr_d[3:2]; // @[lsu_dccm_ctl.scala 180:95] - wire _T_900 = io_stbuf_addr_any[3:2] == io_end_addr_d[3:2]; // @[lsu_dccm_ctl.scala 181:76] - wire _T_901 = _T_897 | _T_900; // @[lsu_dccm_ctl.scala 180:171] - wire _T_902 = ~_T_901; // @[lsu_dccm_ctl.scala 180:24] - wire _T_903 = lsu_dccm_rden_d & _T_902; // @[lsu_dccm_ctl.scala 180:22] - wire _T_904 = _T_894 | _T_903; // @[lsu_dccm_ctl.scala 179:124] - wire _T_906 = io_dma_dccm_wen | io_lsu_stbuf_commit_any; // @[lsu_dccm_ctl.scala 185:41] + wire _T_891 = ~lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 178:96] + wire _T_893 = lsu_dccm_rden_d | io_dma_dccm_wen; // @[lsu_dccm_ctl.scala 179:75] + wire _T_894 = _T_893 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 179:93] + wire _T_895 = ~_T_894; // @[lsu_dccm_ctl.scala 179:57] + wire _T_898 = io_stbuf_addr_any[3:2] == io_lsu_addr_d[3:2]; // @[lsu_dccm_ctl.scala 180:95] + wire _T_901 = io_stbuf_addr_any[3:2] == io_end_addr_d[3:2]; // @[lsu_dccm_ctl.scala 181:76] + wire _T_902 = _T_898 | _T_901; // @[lsu_dccm_ctl.scala 180:171] + wire _T_903 = ~_T_902; // @[lsu_dccm_ctl.scala 180:24] + wire _T_904 = lsu_dccm_rden_d & _T_903; // @[lsu_dccm_ctl.scala 180:22] + wire _T_905 = _T_895 | _T_904; // @[lsu_dccm_ctl.scala 179:124] + wire _T_907 = io_dma_dccm_wen | io_lsu_stbuf_commit_any; // @[lsu_dccm_ctl.scala 185:41] reg [15:0] ld_sec_addr_lo_r_ff; // @[lib.scala 383:16] reg [15:0] ld_sec_addr_hi_r_ff; // @[lib.scala 383:16] - wire [15:0] _T_913 = ld_single_ecc_error_lo_r_ff ? ld_sec_addr_lo_r_ff : ld_sec_addr_hi_r_ff; // @[lsu_dccm_ctl.scala 189:8] - wire [15:0] _T_917 = io_dma_dccm_wen ? io_lsu_addr_d[15:0] : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 190:8] - wire [15:0] _T_923 = ld_single_ecc_error_hi_r_ff ? ld_sec_addr_hi_r_ff : ld_sec_addr_lo_r_ff; // @[lsu_dccm_ctl.scala 193:8] - wire [15:0] _T_927 = io_dma_dccm_wen ? io_end_addr_d : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 194:8] - wire [38:0] _T_935 = {io_sec_data_ecc_lo_r_ff,io_sec_data_lo_r_ff}; // @[Cat.scala 29:58] - wire [38:0] _T_938 = {io_sec_data_ecc_hi_r_ff,io_sec_data_hi_r_ff}; // @[Cat.scala 29:58] - wire [38:0] _T_939 = ld_single_ecc_error_lo_r_ff ? _T_935 : _T_938; // @[lsu_dccm_ctl.scala 200:8] - wire [38:0] _T_943 = {io_dma_dccm_wdata_ecc_lo,io_dma_dccm_wdata_lo}; // @[Cat.scala 29:58] - wire [38:0] _T_946 = {io_stbuf_ecc_any,io_stbuf_data_any}; // @[Cat.scala 29:58] - wire [38:0] _T_947 = io_dma_dccm_wen ? _T_943 : _T_946; // @[lsu_dccm_ctl.scala 202:8] - wire [38:0] _T_957 = ld_single_ecc_error_hi_r_ff ? _T_938 : _T_935; // @[lsu_dccm_ctl.scala 206:8] - wire [38:0] _T_961 = {io_dma_dccm_wdata_ecc_hi,io_dma_dccm_wdata_hi}; // @[Cat.scala 29:58] - wire [38:0] _T_965 = io_dma_dccm_wen ? _T_961 : _T_946; // @[lsu_dccm_ctl.scala 208:8] - wire [3:0] _T_968 = io_lsu_pkt_m_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_970 = io_lsu_pkt_m_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_971 = _T_970 & 4'h1; // @[lsu_dccm_ctl.scala 212:94] - wire [3:0] _T_973 = io_lsu_pkt_m_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_974 = _T_973 & 4'h3; // @[lsu_dccm_ctl.scala 213:38] - wire [3:0] _T_975 = _T_971 | _T_974; // @[lsu_dccm_ctl.scala 212:107] - wire [3:0] _T_977 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_979 = _T_975 | _T_977; // @[lsu_dccm_ctl.scala 213:51] - wire [3:0] store_byteen_m = _T_968 & _T_979; // @[lsu_dccm_ctl.scala 212:58] - wire [3:0] _T_981 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_983 = io_lsu_pkt_r_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_984 = _T_983 & 4'h1; // @[lsu_dccm_ctl.scala 216:94] - wire [3:0] _T_986 = io_lsu_pkt_r_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_987 = _T_986 & 4'h3; // @[lsu_dccm_ctl.scala 217:38] - wire [3:0] _T_988 = _T_984 | _T_987; // @[lsu_dccm_ctl.scala 216:107] - wire [3:0] _T_990 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_992 = _T_988 | _T_990; // @[lsu_dccm_ctl.scala 217:51] - wire [3:0] store_byteen_r = _T_981 & _T_992; // @[lsu_dccm_ctl.scala 216:58] + wire [15:0] _T_914 = ld_single_ecc_error_lo_r_ff ? ld_sec_addr_lo_r_ff : ld_sec_addr_hi_r_ff; // @[lsu_dccm_ctl.scala 189:8] + wire [15:0] _T_918 = io_dma_dccm_wen ? io_lsu_addr_d[15:0] : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 190:8] + wire [15:0] _T_924 = ld_single_ecc_error_hi_r_ff ? ld_sec_addr_hi_r_ff : ld_sec_addr_lo_r_ff; // @[lsu_dccm_ctl.scala 193:8] + wire [15:0] _T_928 = io_dma_dccm_wen ? io_end_addr_d : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 194:8] + wire [38:0] _T_936 = {io_sec_data_ecc_lo_r_ff,io_sec_data_lo_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_939 = {io_sec_data_ecc_hi_r_ff,io_sec_data_hi_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_940 = ld_single_ecc_error_lo_r_ff ? _T_936 : _T_939; // @[lsu_dccm_ctl.scala 200:8] + wire [38:0] _T_944 = {io_dma_dccm_wdata_ecc_lo,io_dma_dccm_wdata_lo}; // @[Cat.scala 29:58] + wire [38:0] _T_947 = {io_stbuf_ecc_any,io_stbuf_data_any}; // @[Cat.scala 29:58] + wire [38:0] _T_948 = io_dma_dccm_wen ? _T_944 : _T_947; // @[lsu_dccm_ctl.scala 202:8] + wire [38:0] _T_958 = ld_single_ecc_error_hi_r_ff ? _T_939 : _T_936; // @[lsu_dccm_ctl.scala 206:8] + wire [38:0] _T_962 = {io_dma_dccm_wdata_ecc_hi,io_dma_dccm_wdata_hi}; // @[Cat.scala 29:58] + wire [38:0] _T_966 = io_dma_dccm_wen ? _T_962 : _T_947; // @[lsu_dccm_ctl.scala 208:8] + wire [3:0] _T_969 = io_lsu_pkt_m_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_971 = io_lsu_pkt_m_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_972 = _T_971 & 4'h1; // @[lsu_dccm_ctl.scala 212:94] + wire [3:0] _T_974 = io_lsu_pkt_m_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_975 = _T_974 & 4'h3; // @[lsu_dccm_ctl.scala 213:38] + wire [3:0] _T_976 = _T_972 | _T_975; // @[lsu_dccm_ctl.scala 212:107] + wire [3:0] _T_978 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_980 = _T_976 | _T_978; // @[lsu_dccm_ctl.scala 213:51] + wire [3:0] store_byteen_m = _T_969 & _T_980; // @[lsu_dccm_ctl.scala 212:58] + wire [3:0] _T_982 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_984 = io_lsu_pkt_r_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_985 = _T_984 & 4'h1; // @[lsu_dccm_ctl.scala 216:94] + wire [3:0] _T_987 = io_lsu_pkt_r_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_988 = _T_987 & 4'h3; // @[lsu_dccm_ctl.scala 217:38] + wire [3:0] _T_989 = _T_985 | _T_988; // @[lsu_dccm_ctl.scala 216:107] + wire [3:0] _T_991 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_993 = _T_989 | _T_991; // @[lsu_dccm_ctl.scala 217:51] + wire [3:0] store_byteen_r = _T_982 & _T_993; // @[lsu_dccm_ctl.scala 216:58] wire [6:0] _GEN_60 = {{3'd0}, store_byteen_m}; // @[lsu_dccm_ctl.scala 220:45] - wire [6:0] _T_995 = _GEN_60 << io_lsu_addr_m[1:0]; // @[lsu_dccm_ctl.scala 220:45] + wire [6:0] _T_996 = _GEN_60 << io_lsu_addr_m[1:0]; // @[lsu_dccm_ctl.scala 220:45] wire [6:0] _GEN_61 = {{3'd0}, store_byteen_r}; // @[lsu_dccm_ctl.scala 222:45] - wire [6:0] _T_998 = _GEN_61 << io_lsu_addr_r[1:0]; // @[lsu_dccm_ctl.scala 222:45] - wire _T_1001 = io_stbuf_addr_any[15:2] == io_lsu_addr_m[15:2]; // @[lsu_dccm_ctl.scala 225:67] - wire dccm_wr_bypass_d_m_lo = _T_1001 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 225:101] - wire _T_1004 = io_stbuf_addr_any[15:2] == io_end_addr_m[15:2]; // @[lsu_dccm_ctl.scala 226:67] - wire dccm_wr_bypass_d_m_hi = _T_1004 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 226:101] - wire _T_1007 = io_stbuf_addr_any[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 228:67] - wire dccm_wr_bypass_d_r_lo = _T_1007 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 228:101] - wire _T_1010 = io_stbuf_addr_any[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 229:67] - wire dccm_wr_bypass_d_r_hi = _T_1010 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 229:101] - wire [63:0] _T_1013 = {32'h0,io_store_data_m}; // @[Cat.scala 29:58] - wire [126:0] _GEN_63 = {{63'd0}, _T_1013}; // @[lsu_dccm_ctl.scala 258:72] - wire [126:0] _T_1016 = _GEN_63 << _T_819; // @[lsu_dccm_ctl.scala 258:72] - wire [63:0] store_data_pre_m = _T_1016[63:0]; // @[lsu_dccm_ctl.scala 258:29] + wire [6:0] _T_999 = _GEN_61 << io_lsu_addr_r[1:0]; // @[lsu_dccm_ctl.scala 222:45] + wire _T_1002 = io_stbuf_addr_any[15:2] == io_lsu_addr_m[15:2]; // @[lsu_dccm_ctl.scala 225:67] + wire dccm_wr_bypass_d_m_lo = _T_1002 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 225:101] + wire _T_1005 = io_stbuf_addr_any[15:2] == io_end_addr_m[15:2]; // @[lsu_dccm_ctl.scala 226:67] + wire dccm_wr_bypass_d_m_hi = _T_1005 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 226:101] + wire _T_1008 = io_stbuf_addr_any[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 228:67] + wire dccm_wr_bypass_d_r_lo = _T_1008 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 228:101] + wire _T_1011 = io_stbuf_addr_any[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 229:67] + wire dccm_wr_bypass_d_r_hi = _T_1011 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 229:101] + wire [63:0] _T_1014 = {32'h0,io_store_data_m}; // @[Cat.scala 29:58] + wire [126:0] _GEN_63 = {{63'd0}, _T_1014}; // @[lsu_dccm_ctl.scala 258:72] + wire [126:0] _T_1017 = _GEN_63 << _T_820; // @[lsu_dccm_ctl.scala 258:72] + wire [63:0] store_data_pre_m = _T_1017[63:0]; // @[lsu_dccm_ctl.scala 258:29] wire [31:0] store_data_hi_m = store_data_pre_m[63:32]; // @[lsu_dccm_ctl.scala 259:48] wire [31:0] store_data_lo_m = store_data_pre_m[31:0]; // @[lsu_dccm_ctl.scala 260:48] - wire [7:0] store_byteen_ext_m = {{1'd0}, _T_995}; // @[lsu_dccm_ctl.scala 220:22] - wire _T_1022 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_lo; // @[lsu_dccm_ctl.scala 261:211] - wire [7:0] _T_1026 = _T_1022 ? io_stbuf_data_any[7:0] : io_sec_data_lo_m[7:0]; // @[lsu_dccm_ctl.scala 261:185] - wire [7:0] _T_1027 = store_byteen_ext_m[0] ? store_data_lo_m[7:0] : _T_1026; // @[lsu_dccm_ctl.scala 261:120] - wire [7:0] _T_1031 = {{4'd0}, _T_1027[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1033 = {_T_1027[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1035 = _T_1033 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1036 = _T_1031 | _T_1035; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_64 = {{2'd0}, _T_1036[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1041 = _GEN_64 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1043 = {_T_1036[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1045 = _T_1043 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1046 = _T_1041 | _T_1045; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_65 = {{1'd0}, _T_1046[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1051 = _GEN_65 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1053 = {_T_1046[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1055 = _T_1053 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1056 = _T_1051 | _T_1055; // @[Bitwise.scala 103:39] - wire [7:0] _T_1064 = _T_1022 ? io_stbuf_data_any[15:8] : io_sec_data_lo_m[15:8]; // @[lsu_dccm_ctl.scala 261:185] - wire [7:0] _T_1065 = store_byteen_ext_m[1] ? store_data_lo_m[15:8] : _T_1064; // @[lsu_dccm_ctl.scala 261:120] - wire [7:0] _T_1069 = {{4'd0}, _T_1065[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1071 = {_T_1065[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1073 = _T_1071 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1074 = _T_1069 | _T_1073; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_66 = {{2'd0}, _T_1074[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1079 = _GEN_66 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1081 = {_T_1074[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1083 = _T_1081 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1084 = _T_1079 | _T_1083; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_67 = {{1'd0}, _T_1084[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1089 = _GEN_67 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1091 = {_T_1084[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1093 = _T_1091 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1094 = _T_1089 | _T_1093; // @[Bitwise.scala 103:39] - wire [7:0] _T_1102 = _T_1022 ? io_stbuf_data_any[23:16] : io_sec_data_lo_m[23:16]; // @[lsu_dccm_ctl.scala 261:185] - wire [7:0] _T_1103 = store_byteen_ext_m[2] ? store_data_lo_m[23:16] : _T_1102; // @[lsu_dccm_ctl.scala 261:120] - wire [7:0] _T_1107 = {{4'd0}, _T_1103[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1109 = {_T_1103[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1111 = _T_1109 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1112 = _T_1107 | _T_1111; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_68 = {{2'd0}, _T_1112[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1117 = _GEN_68 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1119 = {_T_1112[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1121 = _T_1119 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1122 = _T_1117 | _T_1121; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_69 = {{1'd0}, _T_1122[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1127 = _GEN_69 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1129 = {_T_1122[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1131 = _T_1129 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1132 = _T_1127 | _T_1131; // @[Bitwise.scala 103:39] - wire [7:0] _T_1140 = _T_1022 ? io_stbuf_data_any[31:24] : io_sec_data_lo_m[31:24]; // @[lsu_dccm_ctl.scala 261:185] - wire [7:0] _T_1141 = store_byteen_ext_m[3] ? store_data_lo_m[31:24] : _T_1140; // @[lsu_dccm_ctl.scala 261:120] - wire [7:0] _T_1145 = {{4'd0}, _T_1141[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1147 = {_T_1141[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1149 = _T_1147 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1150 = _T_1145 | _T_1149; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_70 = {{2'd0}, _T_1150[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1155 = _GEN_70 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1157 = {_T_1150[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1159 = _T_1157 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1160 = _T_1155 | _T_1159; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_71 = {{1'd0}, _T_1160[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1165 = _GEN_71 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1167 = {_T_1160[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1169 = _T_1167 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1170 = _T_1165 | _T_1169; // @[Bitwise.scala 103:39] - wire [31:0] _T_1174 = {_T_1056,_T_1094,_T_1132,_T_1170}; // @[Cat.scala 29:58] - wire [31:0] _T_1178 = {{16'd0}, _T_1174[31:16]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1180 = {_T_1174[15:0], 16'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1182 = _T_1180 & 32'hffff0000; // @[Bitwise.scala 103:75] - wire [31:0] _T_1183 = _T_1178 | _T_1182; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_72 = {{8'd0}, _T_1183[31:8]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1188 = _GEN_72 & 32'hff00ff; // @[Bitwise.scala 103:31] - wire [31:0] _T_1190 = {_T_1183[23:0], 8'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1192 = _T_1190 & 32'hff00ff00; // @[Bitwise.scala 103:75] - wire [31:0] _T_1193 = _T_1188 | _T_1192; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_73 = {{4'd0}, _T_1193[31:4]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1198 = _GEN_73 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] - wire [31:0] _T_1200 = {_T_1193[27:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1202 = _T_1200 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] - wire [31:0] _T_1203 = _T_1198 | _T_1202; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_74 = {{2'd0}, _T_1203[31:2]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1208 = _GEN_74 & 32'h33333333; // @[Bitwise.scala 103:31] - wire [31:0] _T_1210 = {_T_1203[29:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1212 = _T_1210 & 32'hcccccccc; // @[Bitwise.scala 103:75] - wire [31:0] _T_1213 = _T_1208 | _T_1212; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_75 = {{1'd0}, _T_1213[31:1]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1218 = _GEN_75 & 32'h55555555; // @[Bitwise.scala 103:31] - wire [31:0] _T_1220 = {_T_1213[30:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1222 = _T_1220 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] - reg [31:0] _T_1224; // @[lsu_dccm_ctl.scala 261:72] - wire _T_1228 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_hi; // @[lsu_dccm_ctl.scala 262:177] - wire [7:0] _T_1232 = _T_1228 ? io_stbuf_data_any[7:0] : io_sec_data_hi_m[7:0]; // @[lsu_dccm_ctl.scala 262:151] - wire [7:0] _T_1233 = store_byteen_ext_m[4] ? store_data_hi_m[7:0] : _T_1232; // @[lsu_dccm_ctl.scala 262:86] - wire [7:0] _T_1237 = {{4'd0}, _T_1233[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1239 = {_T_1233[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1241 = _T_1239 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1242 = _T_1237 | _T_1241; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_76 = {{2'd0}, _T_1242[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1247 = _GEN_76 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1249 = {_T_1242[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1251 = _T_1249 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1252 = _T_1247 | _T_1251; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_77 = {{1'd0}, _T_1252[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1257 = _GEN_77 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1259 = {_T_1252[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1261 = _T_1259 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1262 = _T_1257 | _T_1261; // @[Bitwise.scala 103:39] - wire [7:0] _T_1270 = _T_1228 ? io_stbuf_data_any[15:8] : io_sec_data_hi_m[15:8]; // @[lsu_dccm_ctl.scala 262:151] - wire [7:0] _T_1271 = store_byteen_ext_m[5] ? store_data_hi_m[15:8] : _T_1270; // @[lsu_dccm_ctl.scala 262:86] - wire [7:0] _T_1275 = {{4'd0}, _T_1271[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1277 = {_T_1271[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1279 = _T_1277 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1280 = _T_1275 | _T_1279; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_78 = {{2'd0}, _T_1280[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1285 = _GEN_78 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1287 = {_T_1280[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1289 = _T_1287 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1290 = _T_1285 | _T_1289; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_79 = {{1'd0}, _T_1290[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1295 = _GEN_79 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1297 = {_T_1290[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1299 = _T_1297 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1300 = _T_1295 | _T_1299; // @[Bitwise.scala 103:39] - wire [7:0] _T_1308 = _T_1228 ? io_stbuf_data_any[23:16] : io_sec_data_hi_m[23:16]; // @[lsu_dccm_ctl.scala 262:151] - wire [7:0] _T_1309 = store_byteen_ext_m[6] ? store_data_hi_m[23:16] : _T_1308; // @[lsu_dccm_ctl.scala 262:86] - wire [7:0] _T_1313 = {{4'd0}, _T_1309[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1315 = {_T_1309[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1317 = _T_1315 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1318 = _T_1313 | _T_1317; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_80 = {{2'd0}, _T_1318[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1323 = _GEN_80 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1325 = {_T_1318[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1327 = _T_1325 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1328 = _T_1323 | _T_1327; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_81 = {{1'd0}, _T_1328[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1333 = _GEN_81 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1335 = {_T_1328[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1337 = _T_1335 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1338 = _T_1333 | _T_1337; // @[Bitwise.scala 103:39] - wire [7:0] _T_1346 = _T_1228 ? io_stbuf_data_any[31:24] : io_sec_data_hi_m[31:24]; // @[lsu_dccm_ctl.scala 262:151] - wire [7:0] _T_1347 = store_byteen_ext_m[7] ? store_data_hi_m[31:24] : _T_1346; // @[lsu_dccm_ctl.scala 262:86] - wire [7:0] _T_1351 = {{4'd0}, _T_1347[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1353 = {_T_1347[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1355 = _T_1353 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1356 = _T_1351 | _T_1355; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_82 = {{2'd0}, _T_1356[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1361 = _GEN_82 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1363 = {_T_1356[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1365 = _T_1363 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1366 = _T_1361 | _T_1365; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_83 = {{1'd0}, _T_1366[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1371 = _GEN_83 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1373 = {_T_1366[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1375 = _T_1373 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1376 = _T_1371 | _T_1375; // @[Bitwise.scala 103:39] - wire [31:0] _T_1380 = {_T_1262,_T_1300,_T_1338,_T_1376}; // @[Cat.scala 29:58] - wire [31:0] _T_1384 = {{16'd0}, _T_1380[31:16]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1386 = {_T_1380[15:0], 16'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1388 = _T_1386 & 32'hffff0000; // @[Bitwise.scala 103:75] - wire [31:0] _T_1389 = _T_1384 | _T_1388; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_84 = {{8'd0}, _T_1389[31:8]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1394 = _GEN_84 & 32'hff00ff; // @[Bitwise.scala 103:31] - wire [31:0] _T_1396 = {_T_1389[23:0], 8'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1398 = _T_1396 & 32'hff00ff00; // @[Bitwise.scala 103:75] - wire [31:0] _T_1399 = _T_1394 | _T_1398; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_85 = {{4'd0}, _T_1399[31:4]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1404 = _GEN_85 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] - wire [31:0] _T_1406 = {_T_1399[27:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1408 = _T_1406 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] - wire [31:0] _T_1409 = _T_1404 | _T_1408; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_86 = {{2'd0}, _T_1409[31:2]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1414 = _GEN_86 & 32'h33333333; // @[Bitwise.scala 103:31] - wire [31:0] _T_1416 = {_T_1409[29:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1418 = _T_1416 & 32'hcccccccc; // @[Bitwise.scala 103:75] - wire [31:0] _T_1419 = _T_1414 | _T_1418; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_87 = {{1'd0}, _T_1419[31:1]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1424 = _GEN_87 & 32'h55555555; // @[Bitwise.scala 103:31] - wire [31:0] _T_1426 = {_T_1419[30:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1428 = _T_1426 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] - wire _T_1430 = io_ldst_dual_m & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 262:295] - wire _T_1431 = _T_1430 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 262:316] - reg [31:0] _T_1435; // @[lib.scala 383:16] - wire _T_1436 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_lo; // @[lsu_dccm_ctl.scala 263:105] - wire [7:0] store_byteen_ext_r = {{1'd0}, _T_998}; // @[lsu_dccm_ctl.scala 222:22] - wire _T_1438 = ~store_byteen_ext_r[0]; // @[lsu_dccm_ctl.scala 263:131] - wire _T_1439 = _T_1436 & _T_1438; // @[lsu_dccm_ctl.scala 263:129] - wire [7:0] _T_1443 = _T_1439 ? io_stbuf_data_any[7:0] : io_store_data_lo_r[7:0]; // @[lsu_dccm_ctl.scala 263:79] - wire [7:0] _T_1447 = {{4'd0}, _T_1443[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1449 = {_T_1443[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1451 = _T_1449 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1452 = _T_1447 | _T_1451; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_88 = {{2'd0}, _T_1452[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1457 = _GEN_88 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1459 = {_T_1452[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1461 = _T_1459 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1462 = _T_1457 | _T_1461; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_89 = {{1'd0}, _T_1462[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1467 = _GEN_89 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1469 = {_T_1462[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1471 = _T_1469 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1472 = _T_1467 | _T_1471; // @[Bitwise.scala 103:39] - wire _T_1475 = ~store_byteen_ext_r[1]; // @[lsu_dccm_ctl.scala 263:131] - wire _T_1476 = _T_1436 & _T_1475; // @[lsu_dccm_ctl.scala 263:129] - wire [7:0] _T_1480 = _T_1476 ? io_stbuf_data_any[15:8] : io_store_data_lo_r[15:8]; // @[lsu_dccm_ctl.scala 263:79] - wire [7:0] _T_1484 = {{4'd0}, _T_1480[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1486 = {_T_1480[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1488 = _T_1486 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1489 = _T_1484 | _T_1488; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_90 = {{2'd0}, _T_1489[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1494 = _GEN_90 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1496 = {_T_1489[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1498 = _T_1496 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1499 = _T_1494 | _T_1498; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_91 = {{1'd0}, _T_1499[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1504 = _GEN_91 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1506 = {_T_1499[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1508 = _T_1506 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1509 = _T_1504 | _T_1508; // @[Bitwise.scala 103:39] - wire _T_1512 = ~store_byteen_ext_r[2]; // @[lsu_dccm_ctl.scala 263:131] - wire _T_1513 = _T_1436 & _T_1512; // @[lsu_dccm_ctl.scala 263:129] - wire [7:0] _T_1517 = _T_1513 ? io_stbuf_data_any[23:16] : io_store_data_lo_r[23:16]; // @[lsu_dccm_ctl.scala 263:79] - wire [7:0] _T_1521 = {{4'd0}, _T_1517[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1523 = {_T_1517[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1525 = _T_1523 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1526 = _T_1521 | _T_1525; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_92 = {{2'd0}, _T_1526[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1531 = _GEN_92 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1533 = {_T_1526[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1535 = _T_1533 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1536 = _T_1531 | _T_1535; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_93 = {{1'd0}, _T_1536[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1541 = _GEN_93 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1543 = {_T_1536[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1545 = _T_1543 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1546 = _T_1541 | _T_1545; // @[Bitwise.scala 103:39] - wire _T_1549 = ~store_byteen_ext_r[3]; // @[lsu_dccm_ctl.scala 263:131] - wire _T_1550 = _T_1436 & _T_1549; // @[lsu_dccm_ctl.scala 263:129] - wire [7:0] _T_1554 = _T_1550 ? io_stbuf_data_any[31:24] : io_store_data_lo_r[31:24]; // @[lsu_dccm_ctl.scala 263:79] - wire [7:0] _T_1558 = {{4'd0}, _T_1554[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1560 = {_T_1554[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1562 = _T_1560 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1563 = _T_1558 | _T_1562; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_94 = {{2'd0}, _T_1563[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1568 = _GEN_94 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1570 = {_T_1563[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1572 = _T_1570 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1573 = _T_1568 | _T_1572; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_95 = {{1'd0}, _T_1573[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1578 = _GEN_95 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1580 = {_T_1573[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1582 = _T_1580 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1583 = _T_1578 | _T_1582; // @[Bitwise.scala 103:39] - wire [31:0] _T_1587 = {_T_1472,_T_1509,_T_1546,_T_1583}; // @[Cat.scala 29:58] - wire [31:0] _T_1591 = {{16'd0}, _T_1587[31:16]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1593 = {_T_1587[15:0], 16'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1595 = _T_1593 & 32'hffff0000; // @[Bitwise.scala 103:75] - wire [31:0] _T_1596 = _T_1591 | _T_1595; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_96 = {{8'd0}, _T_1596[31:8]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1601 = _GEN_96 & 32'hff00ff; // @[Bitwise.scala 103:31] - wire [31:0] _T_1603 = {_T_1596[23:0], 8'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1605 = _T_1603 & 32'hff00ff00; // @[Bitwise.scala 103:75] - wire [31:0] _T_1606 = _T_1601 | _T_1605; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_97 = {{4'd0}, _T_1606[31:4]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1611 = _GEN_97 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] - wire [31:0] _T_1613 = {_T_1606[27:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1615 = _T_1613 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] - wire [31:0] _T_1616 = _T_1611 | _T_1615; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_98 = {{2'd0}, _T_1616[31:2]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1621 = _GEN_98 & 32'h33333333; // @[Bitwise.scala 103:31] - wire [31:0] _T_1623 = {_T_1616[29:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1625 = _T_1623 & 32'hcccccccc; // @[Bitwise.scala 103:75] - wire [31:0] _T_1626 = _T_1621 | _T_1625; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_99 = {{1'd0}, _T_1626[31:1]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1631 = _GEN_99 & 32'h55555555; // @[Bitwise.scala 103:31] - wire [31:0] _T_1633 = {_T_1626[30:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1635 = _T_1633 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] - wire _T_1637 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_hi; // @[lsu_dccm_ctl.scala 264:105] - wire _T_1639 = ~store_byteen_ext_r[4]; // @[lsu_dccm_ctl.scala 264:131] - wire _T_1640 = _T_1637 & _T_1639; // @[lsu_dccm_ctl.scala 264:129] - wire [7:0] _T_1644 = _T_1640 ? io_stbuf_data_any[7:0] : io_store_data_hi_r[7:0]; // @[lsu_dccm_ctl.scala 264:79] - wire [7:0] _T_1648 = {{4'd0}, _T_1644[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1650 = {_T_1644[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1652 = _T_1650 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1653 = _T_1648 | _T_1652; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_100 = {{2'd0}, _T_1653[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1658 = _GEN_100 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1660 = {_T_1653[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1662 = _T_1660 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1663 = _T_1658 | _T_1662; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_101 = {{1'd0}, _T_1663[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1668 = _GEN_101 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1670 = {_T_1663[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1672 = _T_1670 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1673 = _T_1668 | _T_1672; // @[Bitwise.scala 103:39] - wire _T_1676 = ~store_byteen_ext_r[5]; // @[lsu_dccm_ctl.scala 264:131] - wire _T_1677 = _T_1637 & _T_1676; // @[lsu_dccm_ctl.scala 264:129] - wire [7:0] _T_1681 = _T_1677 ? io_stbuf_data_any[15:8] : io_store_data_hi_r[15:8]; // @[lsu_dccm_ctl.scala 264:79] - wire [7:0] _T_1685 = {{4'd0}, _T_1681[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1687 = {_T_1681[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1689 = _T_1687 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1690 = _T_1685 | _T_1689; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_102 = {{2'd0}, _T_1690[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1695 = _GEN_102 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1697 = {_T_1690[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1699 = _T_1697 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1700 = _T_1695 | _T_1699; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_103 = {{1'd0}, _T_1700[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1705 = _GEN_103 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1707 = {_T_1700[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1709 = _T_1707 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1710 = _T_1705 | _T_1709; // @[Bitwise.scala 103:39] - wire _T_1713 = ~store_byteen_ext_r[6]; // @[lsu_dccm_ctl.scala 264:131] - wire _T_1714 = _T_1637 & _T_1713; // @[lsu_dccm_ctl.scala 264:129] - wire [7:0] _T_1718 = _T_1714 ? io_stbuf_data_any[23:16] : io_store_data_hi_r[23:16]; // @[lsu_dccm_ctl.scala 264:79] - wire [7:0] _T_1722 = {{4'd0}, _T_1718[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1724 = {_T_1718[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1726 = _T_1724 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1727 = _T_1722 | _T_1726; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_104 = {{2'd0}, _T_1727[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1732 = _GEN_104 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1734 = {_T_1727[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1736 = _T_1734 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1737 = _T_1732 | _T_1736; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_105 = {{1'd0}, _T_1737[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1742 = _GEN_105 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1744 = {_T_1737[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1746 = _T_1744 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1747 = _T_1742 | _T_1746; // @[Bitwise.scala 103:39] - wire _T_1750 = ~store_byteen_ext_r[7]; // @[lsu_dccm_ctl.scala 264:131] - wire _T_1751 = _T_1637 & _T_1750; // @[lsu_dccm_ctl.scala 264:129] - wire [7:0] _T_1755 = _T_1751 ? io_stbuf_data_any[31:24] : io_store_data_hi_r[31:24]; // @[lsu_dccm_ctl.scala 264:79] - wire [7:0] _T_1759 = {{4'd0}, _T_1755[7:4]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1761 = {_T_1755[3:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1763 = _T_1761 & 8'hf0; // @[Bitwise.scala 103:75] - wire [7:0] _T_1764 = _T_1759 | _T_1763; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_106 = {{2'd0}, _T_1764[7:2]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1769 = _GEN_106 & 8'h33; // @[Bitwise.scala 103:31] - wire [7:0] _T_1771 = {_T_1764[5:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1773 = _T_1771 & 8'hcc; // @[Bitwise.scala 103:75] - wire [7:0] _T_1774 = _T_1769 | _T_1773; // @[Bitwise.scala 103:39] - wire [7:0] _GEN_107 = {{1'd0}, _T_1774[7:1]}; // @[Bitwise.scala 103:31] - wire [7:0] _T_1779 = _GEN_107 & 8'h55; // @[Bitwise.scala 103:31] - wire [7:0] _T_1781 = {_T_1774[6:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [7:0] _T_1783 = _T_1781 & 8'haa; // @[Bitwise.scala 103:75] - wire [7:0] _T_1784 = _T_1779 | _T_1783; // @[Bitwise.scala 103:39] - wire [31:0] _T_1788 = {_T_1673,_T_1710,_T_1747,_T_1784}; // @[Cat.scala 29:58] - wire [31:0] _T_1792 = {{16'd0}, _T_1788[31:16]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1794 = {_T_1788[15:0], 16'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1796 = _T_1794 & 32'hffff0000; // @[Bitwise.scala 103:75] - wire [31:0] _T_1797 = _T_1792 | _T_1796; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_108 = {{8'd0}, _T_1797[31:8]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1802 = _GEN_108 & 32'hff00ff; // @[Bitwise.scala 103:31] - wire [31:0] _T_1804 = {_T_1797[23:0], 8'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1806 = _T_1804 & 32'hff00ff00; // @[Bitwise.scala 103:75] - wire [31:0] _T_1807 = _T_1802 | _T_1806; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_109 = {{4'd0}, _T_1807[31:4]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1812 = _GEN_109 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] - wire [31:0] _T_1814 = {_T_1807[27:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1816 = _T_1814 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] - wire [31:0] _T_1817 = _T_1812 | _T_1816; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_110 = {{2'd0}, _T_1817[31:2]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1822 = _GEN_110 & 32'h33333333; // @[Bitwise.scala 103:31] - wire [31:0] _T_1824 = {_T_1817[29:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1826 = _T_1824 & 32'hcccccccc; // @[Bitwise.scala 103:75] - wire [31:0] _T_1827 = _T_1822 | _T_1826; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_111 = {{1'd0}, _T_1827[31:1]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1832 = _GEN_111 & 32'h55555555; // @[Bitwise.scala 103:31] - wire [31:0] _T_1834 = {_T_1827[30:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1836 = _T_1834 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] - wire [63:0] _T_1840 = {io_store_data_hi_r,io_store_data_lo_r}; // @[Cat.scala 29:58] + wire [7:0] store_byteen_ext_m = {{1'd0}, _T_996}; // @[lsu_dccm_ctl.scala 220:22] + wire _T_1023 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_lo; // @[lsu_dccm_ctl.scala 261:211] + wire [7:0] _T_1027 = _T_1023 ? io_stbuf_data_any[7:0] : io_sec_data_lo_m[7:0]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1028 = store_byteen_ext_m[0] ? store_data_lo_m[7:0] : _T_1027; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1032 = {{4'd0}, _T_1028[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1034 = {_T_1028[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1036 = _T_1034 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1037 = _T_1032 | _T_1036; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_64 = {{2'd0}, _T_1037[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1042 = _GEN_64 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1044 = {_T_1037[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1046 = _T_1044 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1047 = _T_1042 | _T_1046; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_65 = {{1'd0}, _T_1047[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1052 = _GEN_65 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1054 = {_T_1047[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1056 = _T_1054 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1057 = _T_1052 | _T_1056; // @[Bitwise.scala 103:39] + wire [7:0] _T_1065 = _T_1023 ? io_stbuf_data_any[15:8] : io_sec_data_lo_m[15:8]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1066 = store_byteen_ext_m[1] ? store_data_lo_m[15:8] : _T_1065; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1070 = {{4'd0}, _T_1066[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1072 = {_T_1066[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1074 = _T_1072 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1075 = _T_1070 | _T_1074; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_66 = {{2'd0}, _T_1075[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1080 = _GEN_66 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1082 = {_T_1075[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1084 = _T_1082 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1085 = _T_1080 | _T_1084; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_67 = {{1'd0}, _T_1085[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1090 = _GEN_67 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1092 = {_T_1085[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1094 = _T_1092 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1095 = _T_1090 | _T_1094; // @[Bitwise.scala 103:39] + wire [7:0] _T_1103 = _T_1023 ? io_stbuf_data_any[23:16] : io_sec_data_lo_m[23:16]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1104 = store_byteen_ext_m[2] ? store_data_lo_m[23:16] : _T_1103; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1108 = {{4'd0}, _T_1104[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1110 = {_T_1104[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1112 = _T_1110 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1113 = _T_1108 | _T_1112; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_68 = {{2'd0}, _T_1113[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1118 = _GEN_68 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1120 = {_T_1113[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1122 = _T_1120 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1123 = _T_1118 | _T_1122; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_69 = {{1'd0}, _T_1123[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1128 = _GEN_69 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1130 = {_T_1123[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1132 = _T_1130 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1133 = _T_1128 | _T_1132; // @[Bitwise.scala 103:39] + wire [7:0] _T_1141 = _T_1023 ? io_stbuf_data_any[31:24] : io_sec_data_lo_m[31:24]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1142 = store_byteen_ext_m[3] ? store_data_lo_m[31:24] : _T_1141; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1146 = {{4'd0}, _T_1142[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1148 = {_T_1142[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1150 = _T_1148 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1151 = _T_1146 | _T_1150; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_70 = {{2'd0}, _T_1151[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1156 = _GEN_70 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1158 = {_T_1151[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1160 = _T_1158 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1161 = _T_1156 | _T_1160; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_71 = {{1'd0}, _T_1161[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1166 = _GEN_71 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1168 = {_T_1161[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1170 = _T_1168 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1171 = _T_1166 | _T_1170; // @[Bitwise.scala 103:39] + wire [31:0] _T_1175 = {_T_1057,_T_1095,_T_1133,_T_1171}; // @[Cat.scala 29:58] + wire [31:0] _T_1179 = {{16'd0}, _T_1175[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1181 = {_T_1175[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1183 = _T_1181 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1184 = _T_1179 | _T_1183; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_72 = {{8'd0}, _T_1184[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1189 = _GEN_72 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1191 = {_T_1184[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1193 = _T_1191 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1194 = _T_1189 | _T_1193; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_73 = {{4'd0}, _T_1194[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1199 = _GEN_73 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1201 = {_T_1194[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1203 = _T_1201 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1204 = _T_1199 | _T_1203; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_74 = {{2'd0}, _T_1204[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1209 = _GEN_74 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1211 = {_T_1204[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1213 = _T_1211 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1214 = _T_1209 | _T_1213; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_75 = {{1'd0}, _T_1214[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1219 = _GEN_75 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1221 = {_T_1214[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1223 = _T_1221 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + reg [31:0] _T_1225; // @[lsu_dccm_ctl.scala 261:72] + wire _T_1229 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_hi; // @[lsu_dccm_ctl.scala 262:177] + wire [7:0] _T_1233 = _T_1229 ? io_stbuf_data_any[7:0] : io_sec_data_hi_m[7:0]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1234 = store_byteen_ext_m[4] ? store_data_hi_m[7:0] : _T_1233; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1238 = {{4'd0}, _T_1234[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1240 = {_T_1234[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1242 = _T_1240 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1243 = _T_1238 | _T_1242; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_76 = {{2'd0}, _T_1243[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1248 = _GEN_76 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1250 = {_T_1243[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1252 = _T_1250 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1253 = _T_1248 | _T_1252; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_77 = {{1'd0}, _T_1253[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1258 = _GEN_77 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1260 = {_T_1253[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1262 = _T_1260 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1263 = _T_1258 | _T_1262; // @[Bitwise.scala 103:39] + wire [7:0] _T_1271 = _T_1229 ? io_stbuf_data_any[15:8] : io_sec_data_hi_m[15:8]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1272 = store_byteen_ext_m[5] ? store_data_hi_m[15:8] : _T_1271; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1276 = {{4'd0}, _T_1272[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1278 = {_T_1272[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1280 = _T_1278 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1281 = _T_1276 | _T_1280; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_78 = {{2'd0}, _T_1281[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1286 = _GEN_78 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1288 = {_T_1281[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1290 = _T_1288 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1291 = _T_1286 | _T_1290; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_79 = {{1'd0}, _T_1291[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1296 = _GEN_79 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1298 = {_T_1291[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1300 = _T_1298 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1301 = _T_1296 | _T_1300; // @[Bitwise.scala 103:39] + wire [7:0] _T_1309 = _T_1229 ? io_stbuf_data_any[23:16] : io_sec_data_hi_m[23:16]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1310 = store_byteen_ext_m[6] ? store_data_hi_m[23:16] : _T_1309; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1314 = {{4'd0}, _T_1310[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1316 = {_T_1310[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1318 = _T_1316 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1319 = _T_1314 | _T_1318; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_80 = {{2'd0}, _T_1319[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1324 = _GEN_80 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1326 = {_T_1319[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1328 = _T_1326 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1329 = _T_1324 | _T_1328; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_81 = {{1'd0}, _T_1329[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1334 = _GEN_81 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1336 = {_T_1329[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1338 = _T_1336 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1339 = _T_1334 | _T_1338; // @[Bitwise.scala 103:39] + wire [7:0] _T_1347 = _T_1229 ? io_stbuf_data_any[31:24] : io_sec_data_hi_m[31:24]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1348 = store_byteen_ext_m[7] ? store_data_hi_m[31:24] : _T_1347; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1352 = {{4'd0}, _T_1348[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1354 = {_T_1348[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1356 = _T_1354 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1357 = _T_1352 | _T_1356; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_82 = {{2'd0}, _T_1357[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1362 = _GEN_82 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1364 = {_T_1357[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1366 = _T_1364 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1367 = _T_1362 | _T_1366; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_83 = {{1'd0}, _T_1367[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1372 = _GEN_83 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1374 = {_T_1367[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1376 = _T_1374 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1377 = _T_1372 | _T_1376; // @[Bitwise.scala 103:39] + wire [31:0] _T_1381 = {_T_1263,_T_1301,_T_1339,_T_1377}; // @[Cat.scala 29:58] + wire [31:0] _T_1385 = {{16'd0}, _T_1381[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1387 = {_T_1381[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1389 = _T_1387 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1390 = _T_1385 | _T_1389; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_84 = {{8'd0}, _T_1390[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1395 = _GEN_84 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1397 = {_T_1390[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1399 = _T_1397 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1400 = _T_1395 | _T_1399; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_85 = {{4'd0}, _T_1400[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1405 = _GEN_85 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1407 = {_T_1400[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1409 = _T_1407 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1410 = _T_1405 | _T_1409; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_86 = {{2'd0}, _T_1410[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1415 = _GEN_86 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1417 = {_T_1410[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1419 = _T_1417 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1420 = _T_1415 | _T_1419; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_87 = {{1'd0}, _T_1420[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1425 = _GEN_87 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1427 = {_T_1420[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1429 = _T_1427 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire _T_1431 = io_ldst_dual_m & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 262:295] + wire _T_1432 = _T_1431 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 262:316] + reg [31:0] _T_1436; // @[lib.scala 383:16] + wire _T_1437 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_lo; // @[lsu_dccm_ctl.scala 263:105] + wire [7:0] store_byteen_ext_r = {{1'd0}, _T_999}; // @[lsu_dccm_ctl.scala 222:22] + wire _T_1439 = ~store_byteen_ext_r[0]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1440 = _T_1437 & _T_1439; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1444 = _T_1440 ? io_stbuf_data_any[7:0] : io_store_data_lo_r[7:0]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1448 = {{4'd0}, _T_1444[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1450 = {_T_1444[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1452 = _T_1450 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1453 = _T_1448 | _T_1452; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_88 = {{2'd0}, _T_1453[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1458 = _GEN_88 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1460 = {_T_1453[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1462 = _T_1460 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1463 = _T_1458 | _T_1462; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_89 = {{1'd0}, _T_1463[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1468 = _GEN_89 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1470 = {_T_1463[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1472 = _T_1470 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1473 = _T_1468 | _T_1472; // @[Bitwise.scala 103:39] + wire _T_1476 = ~store_byteen_ext_r[1]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1477 = _T_1437 & _T_1476; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1481 = _T_1477 ? io_stbuf_data_any[15:8] : io_store_data_lo_r[15:8]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1485 = {{4'd0}, _T_1481[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1487 = {_T_1481[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1489 = _T_1487 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1490 = _T_1485 | _T_1489; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_90 = {{2'd0}, _T_1490[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1495 = _GEN_90 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1497 = {_T_1490[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1499 = _T_1497 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1500 = _T_1495 | _T_1499; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_91 = {{1'd0}, _T_1500[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1505 = _GEN_91 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1507 = {_T_1500[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1509 = _T_1507 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1510 = _T_1505 | _T_1509; // @[Bitwise.scala 103:39] + wire _T_1513 = ~store_byteen_ext_r[2]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1514 = _T_1437 & _T_1513; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1518 = _T_1514 ? io_stbuf_data_any[23:16] : io_store_data_lo_r[23:16]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1522 = {{4'd0}, _T_1518[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1524 = {_T_1518[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1526 = _T_1524 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1527 = _T_1522 | _T_1526; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_92 = {{2'd0}, _T_1527[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1532 = _GEN_92 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1534 = {_T_1527[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1536 = _T_1534 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1537 = _T_1532 | _T_1536; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_93 = {{1'd0}, _T_1537[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1542 = _GEN_93 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1544 = {_T_1537[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1546 = _T_1544 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1547 = _T_1542 | _T_1546; // @[Bitwise.scala 103:39] + wire _T_1550 = ~store_byteen_ext_r[3]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1551 = _T_1437 & _T_1550; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1555 = _T_1551 ? io_stbuf_data_any[31:24] : io_store_data_lo_r[31:24]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1559 = {{4'd0}, _T_1555[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1561 = {_T_1555[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1563 = _T_1561 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1564 = _T_1559 | _T_1563; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_94 = {{2'd0}, _T_1564[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1569 = _GEN_94 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1571 = {_T_1564[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1573 = _T_1571 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1574 = _T_1569 | _T_1573; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_95 = {{1'd0}, _T_1574[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1579 = _GEN_95 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1581 = {_T_1574[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1583 = _T_1581 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1584 = _T_1579 | _T_1583; // @[Bitwise.scala 103:39] + wire [31:0] _T_1588 = {_T_1473,_T_1510,_T_1547,_T_1584}; // @[Cat.scala 29:58] + wire [31:0] _T_1592 = {{16'd0}, _T_1588[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1594 = {_T_1588[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1596 = _T_1594 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1597 = _T_1592 | _T_1596; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_96 = {{8'd0}, _T_1597[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1602 = _GEN_96 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1604 = {_T_1597[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1606 = _T_1604 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1607 = _T_1602 | _T_1606; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_97 = {{4'd0}, _T_1607[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1612 = _GEN_97 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1614 = {_T_1607[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1616 = _T_1614 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1617 = _T_1612 | _T_1616; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_98 = {{2'd0}, _T_1617[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1622 = _GEN_98 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1624 = {_T_1617[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1626 = _T_1624 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1627 = _T_1622 | _T_1626; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_99 = {{1'd0}, _T_1627[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1632 = _GEN_99 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1634 = {_T_1627[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1636 = _T_1634 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire _T_1638 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_hi; // @[lsu_dccm_ctl.scala 264:105] + wire _T_1640 = ~store_byteen_ext_r[4]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1641 = _T_1638 & _T_1640; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1645 = _T_1641 ? io_stbuf_data_any[7:0] : io_store_data_hi_r[7:0]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1649 = {{4'd0}, _T_1645[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1651 = {_T_1645[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1653 = _T_1651 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1654 = _T_1649 | _T_1653; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_100 = {{2'd0}, _T_1654[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1659 = _GEN_100 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1661 = {_T_1654[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1663 = _T_1661 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1664 = _T_1659 | _T_1663; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_101 = {{1'd0}, _T_1664[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1669 = _GEN_101 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1671 = {_T_1664[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1673 = _T_1671 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1674 = _T_1669 | _T_1673; // @[Bitwise.scala 103:39] + wire _T_1677 = ~store_byteen_ext_r[5]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1678 = _T_1638 & _T_1677; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1682 = _T_1678 ? io_stbuf_data_any[15:8] : io_store_data_hi_r[15:8]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1686 = {{4'd0}, _T_1682[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1688 = {_T_1682[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1690 = _T_1688 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1691 = _T_1686 | _T_1690; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_102 = {{2'd0}, _T_1691[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1696 = _GEN_102 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1698 = {_T_1691[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1700 = _T_1698 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1701 = _T_1696 | _T_1700; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_103 = {{1'd0}, _T_1701[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1706 = _GEN_103 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1708 = {_T_1701[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1710 = _T_1708 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1711 = _T_1706 | _T_1710; // @[Bitwise.scala 103:39] + wire _T_1714 = ~store_byteen_ext_r[6]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1715 = _T_1638 & _T_1714; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1719 = _T_1715 ? io_stbuf_data_any[23:16] : io_store_data_hi_r[23:16]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1723 = {{4'd0}, _T_1719[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1725 = {_T_1719[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1727 = _T_1725 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1728 = _T_1723 | _T_1727; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_104 = {{2'd0}, _T_1728[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1733 = _GEN_104 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1735 = {_T_1728[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1737 = _T_1735 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1738 = _T_1733 | _T_1737; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_105 = {{1'd0}, _T_1738[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1743 = _GEN_105 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1745 = {_T_1738[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1747 = _T_1745 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1748 = _T_1743 | _T_1747; // @[Bitwise.scala 103:39] + wire _T_1751 = ~store_byteen_ext_r[7]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1752 = _T_1638 & _T_1751; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1756 = _T_1752 ? io_stbuf_data_any[31:24] : io_store_data_hi_r[31:24]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1760 = {{4'd0}, _T_1756[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1762 = {_T_1756[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1764 = _T_1762 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1765 = _T_1760 | _T_1764; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_106 = {{2'd0}, _T_1765[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1770 = _GEN_106 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1772 = {_T_1765[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1774 = _T_1772 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1775 = _T_1770 | _T_1774; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_107 = {{1'd0}, _T_1775[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1780 = _GEN_107 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1782 = {_T_1775[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1784 = _T_1782 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1785 = _T_1780 | _T_1784; // @[Bitwise.scala 103:39] + wire [31:0] _T_1789 = {_T_1674,_T_1711,_T_1748,_T_1785}; // @[Cat.scala 29:58] + wire [31:0] _T_1793 = {{16'd0}, _T_1789[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1795 = {_T_1789[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1797 = _T_1795 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1798 = _T_1793 | _T_1797; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_108 = {{8'd0}, _T_1798[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1803 = _GEN_108 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1805 = {_T_1798[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1807 = _T_1805 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1808 = _T_1803 | _T_1807; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_109 = {{4'd0}, _T_1808[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1813 = _GEN_109 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1815 = {_T_1808[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1817 = _T_1815 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1818 = _T_1813 | _T_1817; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_110 = {{2'd0}, _T_1818[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1823 = _GEN_110 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1825 = {_T_1818[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1827 = _T_1825 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1828 = _T_1823 | _T_1827; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_111 = {{1'd0}, _T_1828[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1833 = _GEN_111 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1835 = {_T_1828[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1837 = _T_1835 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] _T_1841 = {io_store_data_hi_r,io_store_data_lo_r}; // @[Cat.scala 29:58] wire [3:0] _GEN_112 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[lsu_dccm_ctl.scala 265:94] - wire [5:0] _T_1842 = 4'h8 * _GEN_112; // @[lsu_dccm_ctl.scala 265:94] - wire [63:0] _T_1843 = _T_1840 >> _T_1842; // @[lsu_dccm_ctl.scala 265:88] - wire [7:0] _T_1846 = store_byteen_r[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_1849 = store_byteen_r[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_1852 = store_byteen_r[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_1855 = store_byteen_r[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_1859 = {_T_1846,_T_1849,_T_1852,_T_1855}; // @[Cat.scala 29:58] - wire [31:0] _T_1863 = {{16'd0}, _T_1859[31:16]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1865 = {_T_1859[15:0], 16'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1867 = _T_1865 & 32'hffff0000; // @[Bitwise.scala 103:75] - wire [31:0] _T_1868 = _T_1863 | _T_1867; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_113 = {{8'd0}, _T_1868[31:8]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1873 = _GEN_113 & 32'hff00ff; // @[Bitwise.scala 103:31] - wire [31:0] _T_1875 = {_T_1868[23:0], 8'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1877 = _T_1875 & 32'hff00ff00; // @[Bitwise.scala 103:75] - wire [31:0] _T_1878 = _T_1873 | _T_1877; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_114 = {{4'd0}, _T_1878[31:4]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1883 = _GEN_114 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] - wire [31:0] _T_1885 = {_T_1878[27:0], 4'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1887 = _T_1885 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] - wire [31:0] _T_1888 = _T_1883 | _T_1887; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_115 = {{2'd0}, _T_1888[31:2]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1893 = _GEN_115 & 32'h33333333; // @[Bitwise.scala 103:31] - wire [31:0] _T_1895 = {_T_1888[29:0], 2'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1897 = _T_1895 & 32'hcccccccc; // @[Bitwise.scala 103:75] - wire [31:0] _T_1898 = _T_1893 | _T_1897; // @[Bitwise.scala 103:39] - wire [31:0] _GEN_116 = {{1'd0}, _T_1898[31:1]}; // @[Bitwise.scala 103:31] - wire [31:0] _T_1903 = _GEN_116 & 32'h55555555; // @[Bitwise.scala 103:31] - wire [31:0] _T_1905 = {_T_1898[30:0], 1'h0}; // @[Bitwise.scala 103:65] - wire [31:0] _T_1907 = _T_1905 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] - wire [31:0] _T_1908 = _T_1903 | _T_1907; // @[Bitwise.scala 103:39] - wire [63:0] _GEN_117 = {{32'd0}, _T_1908}; // @[lsu_dccm_ctl.scala 265:115] - wire [63:0] _T_1909 = _T_1843 & _GEN_117; // @[lsu_dccm_ctl.scala 265:115] - wire _T_1914 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_dccm_ctl.scala 272:58] - wire _T_1915 = _T_1914 & io_addr_in_pic_r; // @[lsu_dccm_ctl.scala 272:84] - wire _T_1916 = _T_1915 & io_lsu_commit_r; // @[lsu_dccm_ctl.scala 272:103] - wire _T_1918 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_load; // @[lsu_dccm_ctl.scala 273:58] - wire _T_1920 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 274:58] - wire [31:0] _T_1924 = {17'h0,io_lsu_addr_d[14:0]}; // @[Cat.scala 29:58] - wire [14:0] _T_1930 = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_addr[14:0] : io_lsu_addr_r[14:0]; // @[lsu_dccm_ctl.scala 276:93] - wire [31:0] _T_1931 = {17'h0,_T_1930}; // @[Cat.scala 29:58] - reg _T_1938; // @[lsu_dccm_ctl.scala 280:61] - reg _T_1939; // @[lsu_dccm_ctl.scala 281:61] + wire [5:0] _T_1843 = 4'h8 * _GEN_112; // @[lsu_dccm_ctl.scala 265:94] + wire [63:0] _T_1844 = _T_1841 >> _T_1843; // @[lsu_dccm_ctl.scala 265:88] + wire [7:0] _T_1847 = store_byteen_r[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1850 = store_byteen_r[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1853 = store_byteen_r[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1856 = store_byteen_r[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1860 = {_T_1847,_T_1850,_T_1853,_T_1856}; // @[Cat.scala 29:58] + wire [31:0] _T_1864 = {{16'd0}, _T_1860[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1866 = {_T_1860[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1868 = _T_1866 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1869 = _T_1864 | _T_1868; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_113 = {{8'd0}, _T_1869[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1874 = _GEN_113 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1876 = {_T_1869[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1878 = _T_1876 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1879 = _T_1874 | _T_1878; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_114 = {{4'd0}, _T_1879[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1884 = _GEN_114 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1886 = {_T_1879[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1888 = _T_1886 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1889 = _T_1884 | _T_1888; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_115 = {{2'd0}, _T_1889[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1894 = _GEN_115 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1896 = {_T_1889[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1898 = _T_1896 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1899 = _T_1894 | _T_1898; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_116 = {{1'd0}, _T_1899[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1904 = _GEN_116 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1906 = {_T_1899[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1908 = _T_1906 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [31:0] _T_1909 = _T_1904 | _T_1908; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_117 = {{32'd0}, _T_1909}; // @[lsu_dccm_ctl.scala 265:115] + wire [63:0] _T_1910 = _T_1844 & _GEN_117; // @[lsu_dccm_ctl.scala 265:115] + wire _T_1915 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_dccm_ctl.scala 272:58] + wire _T_1916 = _T_1915 & io_addr_in_pic_r; // @[lsu_dccm_ctl.scala 272:84] + wire _T_1917 = _T_1916 & io_lsu_commit_r; // @[lsu_dccm_ctl.scala 272:103] + wire _T_1919 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_load; // @[lsu_dccm_ctl.scala 273:58] + wire _T_1921 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 274:58] + wire [31:0] _T_1925 = {17'h0,io_lsu_addr_d[14:0]}; // @[Cat.scala 29:58] + wire [14:0] _T_1931 = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_addr[14:0] : io_lsu_addr_r[14:0]; // @[lsu_dccm_ctl.scala 276:93] + wire [31:0] _T_1932 = {17'h0,_T_1931}; // @[Cat.scala 29:58] + reg _T_1939; // @[lsu_dccm_ctl.scala 280:61] + reg _T_1940; // @[lsu_dccm_ctl.scala 281:61] rvclkhdr rvclkhdr ( // @[lib.scala 377:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -1087,45 +1086,45 @@ module lsu_dccm_ctl( assign io_dccm_data_ecc_hi_r = 7'h0; // @[lsu_dccm_ctl.scala 151:28] assign io_dccm_data_ecc_lo_r = 7'h0; // @[lsu_dccm_ctl.scala 152:28] assign io_lsu_ld_data_r = 32'h0; // @[lsu_dccm_ctl.scala 153:28] - assign io_lsu_ld_data_corr_r = _T_817[31:0]; // @[lsu_dccm_ctl.scala 157:28] + assign io_lsu_ld_data_corr_r = _T_818[31:0]; // @[lsu_dccm_ctl.scala 157:28] assign io_dccm_rdata_hi_m = io_dccm_rd_data_hi[31:0]; // @[lsu_dccm_ctl.scala 268:27] assign io_dccm_rdata_lo_m = io_dccm_rd_data_lo[31:0]; // @[lsu_dccm_ctl.scala 267:27] assign io_dccm_data_ecc_hi_m = io_dccm_rd_data_hi[38:32]; // @[lsu_dccm_ctl.scala 270:27] assign io_dccm_data_ecc_lo_m = io_dccm_rd_data_lo[38:32]; // @[lsu_dccm_ctl.scala 269:27] - assign io_lsu_ld_data_m = _T_820[31:0]; // @[lsu_dccm_ctl.scala 158:28] - assign io_store_data_hi_r = _T_1435; // @[lsu_dccm_ctl.scala 262:29] - assign io_store_data_lo_r = _T_1224; // @[lsu_dccm_ctl.scala 261:29] - assign io_store_datafn_hi_r = _T_1832 | _T_1836; // @[lsu_dccm_ctl.scala 264:29] - assign io_store_datafn_lo_r = _T_1631 | _T_1635; // @[lsu_dccm_ctl.scala 263:29] - assign io_store_data_r = _T_1909[31:0]; // @[lsu_dccm_ctl.scala 265:29] - assign io_ld_single_ecc_error_r = _T_872 & _T_873; // @[lsu_dccm_ctl.scala 171:34] - assign io_ld_single_ecc_error_r_ff = _T_889 & _T_890; // @[lsu_dccm_ctl.scala 178:31] + assign io_lsu_ld_data_m = _T_821[31:0]; // @[lsu_dccm_ctl.scala 158:28] + assign io_store_data_hi_r = _T_1436; // @[lsu_dccm_ctl.scala 262:29] + assign io_store_data_lo_r = _T_1225; // @[lsu_dccm_ctl.scala 261:29] + assign io_store_datafn_hi_r = _T_1833 | _T_1837; // @[lsu_dccm_ctl.scala 264:29] + assign io_store_datafn_lo_r = _T_1632 | _T_1636; // @[lsu_dccm_ctl.scala 263:29] + assign io_store_data_r = _T_1910[31:0]; // @[lsu_dccm_ctl.scala 265:29] + assign io_ld_single_ecc_error_r = _T_873 & _T_874; // @[lsu_dccm_ctl.scala 171:34] + assign io_ld_single_ecc_error_r_ff = _T_890 & _T_891; // @[lsu_dccm_ctl.scala 178:31] assign io_picm_mask_data_m = picm_rd_data_m[31:0]; // @[lsu_dccm_ctl.scala 277:27] - assign io_lsu_stbuf_commit_any = io_stbuf_reqvld_any & _T_904; // @[lsu_dccm_ctl.scala 179:31] - assign io_lsu_dccm_rden_m = _T_1938; // @[lsu_dccm_ctl.scala 280:24] - assign io_lsu_dccm_rden_r = _T_1939; // @[lsu_dccm_ctl.scala 281:24] + assign io_lsu_stbuf_commit_any = io_stbuf_reqvld_any & _T_905; // @[lsu_dccm_ctl.scala 179:31] + assign io_lsu_dccm_rden_m = _T_1939; // @[lsu_dccm_ctl.scala 280:24] + assign io_lsu_dccm_rden_r = _T_1940; // @[lsu_dccm_ctl.scala 281:24] assign io_dma_dccm_ctl_dccm_dma_rvalid = _T & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 145:41] assign io_dma_dccm_ctl_dccm_dma_ecc_error = io_lsu_double_ecc_error_m; // @[lsu_dccm_ctl.scala 146:41] assign io_dma_dccm_ctl_dccm_dma_rtag = io_dma_mem_tag_m; // @[lsu_dccm_ctl.scala 148:41] - assign io_dma_dccm_ctl_dccm_dma_rdata = _T_4[63:0]; // @[lsu_dccm_ctl.scala 147:41] - assign io_dccm_wren = _T_906 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 185:22] + assign io_dma_dccm_ctl_dccm_dma_rdata = io_ldst_dual_m ? lsu_rdata_corr_m : _T_4; // @[lsu_dccm_ctl.scala 147:41] + assign io_dccm_wren = _T_907 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 185:22] assign io_dccm_rden = lsu_dccm_rden_d & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 186:22] - assign io_dccm_wr_addr_lo = io_ld_single_ecc_error_r_ff ? _T_913 : _T_917; // @[lsu_dccm_ctl.scala 188:22] - assign io_dccm_wr_addr_hi = io_ld_single_ecc_error_r_ff ? _T_923 : _T_927; // @[lsu_dccm_ctl.scala 192:22] + assign io_dccm_wr_addr_lo = io_ld_single_ecc_error_r_ff ? _T_914 : _T_918; // @[lsu_dccm_ctl.scala 188:22] + assign io_dccm_wr_addr_hi = io_ld_single_ecc_error_r_ff ? _T_924 : _T_928; // @[lsu_dccm_ctl.scala 192:22] assign io_dccm_rd_addr_lo = io_lsu_addr_d[15:0]; // @[lsu_dccm_ctl.scala 196:22] assign io_dccm_rd_addr_hi = io_end_addr_d; // @[lsu_dccm_ctl.scala 197:22] - assign io_dccm_wr_data_lo = io_ld_single_ecc_error_r_ff ? _T_939 : _T_947; // @[lsu_dccm_ctl.scala 199:22] - assign io_dccm_wr_data_hi = io_ld_single_ecc_error_r_ff ? _T_957 : _T_965; // @[lsu_dccm_ctl.scala 205:22] - assign io_lsu_pic_picm_wren = _T_1916 | io_dma_pic_wen; // @[lsu_dccm_ctl.scala 272:35] - assign io_lsu_pic_picm_rden = _T_1918 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 273:35] - assign io_lsu_pic_picm_mken = _T_1920 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 274:35] - assign io_lsu_pic_picm_rdaddr = 32'hf00c0000 | _T_1924; // @[lsu_dccm_ctl.scala 275:35] - assign io_lsu_pic_picm_wraddr = 32'hf00c0000 | _T_1931; // @[lsu_dccm_ctl.scala 276:35] + assign io_dccm_wr_data_lo = io_ld_single_ecc_error_r_ff ? _T_940 : _T_948; // @[lsu_dccm_ctl.scala 199:22] + assign io_dccm_wr_data_hi = io_ld_single_ecc_error_r_ff ? _T_958 : _T_966; // @[lsu_dccm_ctl.scala 205:22] + assign io_lsu_pic_picm_wren = _T_1917 | io_dma_pic_wen; // @[lsu_dccm_ctl.scala 272:35] + assign io_lsu_pic_picm_rden = _T_1919 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 273:35] + assign io_lsu_pic_picm_mken = _T_1921 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 274:35] + assign io_lsu_pic_picm_rdaddr = 32'hf00c0000 | _T_1925; // @[lsu_dccm_ctl.scala 275:35] + assign io_lsu_pic_picm_wraddr = 32'hf00c0000 | _T_1932; // @[lsu_dccm_ctl.scala 276:35] assign io_lsu_pic_picm_wr_data = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_wdata[31:0] : io_store_datafn_lo_r; // @[lsu_dccm_ctl.scala 278:35] assign rvclkhdr_io_clk = clock; // @[lib.scala 379:18] - assign rvclkhdr_io_en = _T_813 | io_clk_override; // @[lib.scala 380:17] + assign rvclkhdr_io_en = _T_814 | io_clk_override; // @[lib.scala 380:17] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 379:18] - assign rvclkhdr_1_io_en = _T_1431 | io_clk_override; // @[lib.scala 380:17] + assign rvclkhdr_1_io_en = _T_1432 | io_clk_override; // @[lib.scala 380:17] assign rvclkhdr_2_io_clk = clock; // @[lib.scala 379:18] assign rvclkhdr_2_io_en = io_ld_single_ecc_error_r | io_clk_override; // @[lib.scala 380:17] assign rvclkhdr_3_io_clk = clock; // @[lib.scala 379:18] @@ -1166,7 +1165,7 @@ initial begin `endif `ifdef RANDOMIZE_REG_INIT _RAND_0 = {2{`RANDOM}}; - _T_817 = _RAND_0[63:0]; + _T_818 = _RAND_0[63:0]; _RAND_1 = {1{`RANDOM}}; ld_single_ecc_error_lo_r_ff = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; @@ -1178,16 +1177,16 @@ initial begin _RAND_5 = {1{`RANDOM}}; ld_sec_addr_hi_r_ff = _RAND_5[15:0]; _RAND_6 = {1{`RANDOM}}; - _T_1224 = _RAND_6[31:0]; + _T_1225 = _RAND_6[31:0]; _RAND_7 = {1{`RANDOM}}; - _T_1435 = _RAND_7[31:0]; + _T_1436 = _RAND_7[31:0]; _RAND_8 = {1{`RANDOM}}; - _T_1938 = _RAND_8[0:0]; + _T_1939 = _RAND_8[0:0]; _RAND_9 = {1{`RANDOM}}; - _T_1939 = _RAND_9[0:0]; + _T_1940 = _RAND_9[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin - _T_817 = 64'h0; + _T_818 = 64'h0; end if (reset) begin ld_single_ecc_error_lo_r_ff = 1'h0; @@ -1205,17 +1204,17 @@ initial begin ld_sec_addr_hi_r_ff = 16'h0; end if (reset) begin - _T_1224 = 32'h0; + _T_1225 = 32'h0; end if (reset) begin - _T_1435 = 32'h0; - end - if (reset) begin - _T_1938 = 1'h0; + _T_1436 = 32'h0; end if (reset) begin _T_1939 = 1'h0; end + if (reset) begin + _T_1940 = 1'h0; + end `endif // RANDOMIZE end // initial `ifdef FIRRTL_AFTER_INITIAL @@ -1224,23 +1223,23 @@ end // initial `endif // SYNTHESIS always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin - _T_817 <= 64'h0; + _T_818 <= 64'h0; end else begin - _T_817 <= lsu_rdata_corr_m >> _T_819; + _T_818 <= lsu_rdata_corr_m >> _T_820; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin if (reset) begin ld_single_ecc_error_lo_r_ff <= 1'h0; end else begin - ld_single_ecc_error_lo_r_ff <= _T_876 & _T_877; + ld_single_ecc_error_lo_r_ff <= _T_877 & _T_878; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin if (reset) begin ld_single_ecc_error_hi_r_ff <= 1'h0; end else begin - ld_single_ecc_error_hi_r_ff <= _T_879 & _T_880; + ld_single_ecc_error_hi_r_ff <= _T_880 & _T_881; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -1266,30 +1265,30 @@ end // initial end always @(posedge io_lsu_store_c1_r_clk or posedge reset) begin if (reset) begin - _T_1224 <= 32'h0; + _T_1225 <= 32'h0; end else begin - _T_1224 <= _T_1218 | _T_1222; + _T_1225 <= _T_1219 | _T_1223; end end always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin if (reset) begin - _T_1435 <= 32'h0; + _T_1436 <= 32'h0; end else begin - _T_1435 <= _T_1424 | _T_1428; + _T_1436 <= _T_1425 | _T_1429; end end always @(posedge io_lsu_c2_m_clk or posedge reset) begin if (reset) begin - _T_1938 <= 1'h0; + _T_1939 <= 1'h0; end else begin - _T_1938 <= _T_888 & io_addr_in_dccm_d; + _T_1939 <= _T_889 & io_addr_in_dccm_d; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_1939 <= 1'h0; + _T_1940 <= 1'h0; end else begin - _T_1939 <= io_lsu_dccm_rden_m; + _T_1940 <= io_lsu_dccm_rden_m; end end endmodule diff --git a/src/main/scala/lsu/lsu_dccm_ctl.scala b/src/main/scala/lsu/lsu_dccm_ctl.scala index 1dda5210..15554eb7 100644 --- a/src/main/scala/lsu/lsu_dccm_ctl.scala +++ b/src/main/scala/lsu/lsu_dccm_ctl.scala @@ -144,7 +144,7 @@ class lsu_dccm_ctl extends Module with RequireAsyncReset with lib else{ io.dma_dccm_ctl.dccm_dma_rvalid := io.lsu_pkt_m.valid & io.lsu_pkt_m.bits.load & io.lsu_pkt_m.bits.dma io.dma_dccm_ctl.dccm_dma_ecc_error := io.lsu_double_ecc_error_m //from ecc - io.dma_dccm_ctl.dccm_dma_rdata := Mux(io.ldst_dual_m,lsu_rdata_corr_m, Fill(2,lsu_rdata_corr_m)) + io.dma_dccm_ctl.dccm_dma_rdata := Mux(io.ldst_dual_m,lsu_rdata_corr_m, Fill(2,lsu_rdata_corr_m(31,0))) io.dma_dccm_ctl.dccm_dma_rtag := io.dma_mem_tag_m io.dccm_rdata_lo_r := 0.U io.dccm_rdata_hi_r := 0.U diff --git a/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class b/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class index 809db5e5d7d134e755f1e4dd0df5be28cb77e68b..3c7b59613a8b4b1d793fcd8dabf25ecc36e74d54 100644 GIT binary patch delta 6970 zcmW;RcXU+M*T(TPLEr`g83Tk&dhdNg3Q0&v@0E~*gp^4_8tH}fp$JItT?9cvil7MQ zX9bkvML|V+Qxuins~~y5^ZqgGne|y`GWYJY_nEt(_q6G?)2373QtZ|HDpUWAFxCqY zVrp6M;Y>XTW5u!FTa|iG6h=y`etV?5U+NFY9i~61JnHoKkV1A9ID{*r7j+h4H1EXfX5 z4&DxGveRL{!y-v5JDhd6?r=+z9V;B$9lOYbjwcLB3|bmZYz5q;HHQ zt8LY4wLh7xE>IVfJ?cej?NZ+CQy)_wC-3|9_md>qPwThbZWYIT9k1H$(bDxr5Mx(5BE z42rReu_aq$=EW?O_+Q*u?xqDwp_a;`fO^NODq|HmNMBf;YR94kaCx zay1OH3?K6C;U9&uL;*Bxxk{pw_p^xq+mVDM&B)QtII>8-NJ*~otBJ2kA}7^!*7T6qYF^Y(v0CF=w^~nf zdF{4ZZn*YH?Zw(Fj7wc?U49)+QP*C#u#R!6`?ub(-bj+`z3QXtIT`Cq>)Y%3;(EFM zO8r$yZg6NA-M|GlOlw%#z?L*TX<ZUN^cnhBZczg^kUP+)(48#`BGrB)KWDDY+?) ztZACwG?U!a)Z0YGng=$UH*2kU)7iYZc^P@F`9(8V+On+W!OM>jg=kvSG^JDZP^1X4>Y}=1XR@mA6sywq0%CwH=YnSR8Pu85nNF_k+|jv+gg`IfU(o}4)ydxybhhnqseDS`cz1ZxSDkMjZ*y8d^Z1q?!ws`CplE+E0&GQ!_ zd0rFSz5W!E*8{P``!^wZUlTih_`I(WAF1_)q&643{mq1=_4gDX`%e^-f3?^X5GFni z%oBTq=8Aoz_ldp1e&W;MjpFl=ZQ_g2@#3q{o8s#+Ux{zReivVbJBS0}pNoTI=ZSA4 zc8dcMkHw+L_r>9;apGvyb@6?4pO9lNitl43aV#!U{19&?j>RX5qw!0`@dO8PA~8h# zlw=~bCz7JY&&gB8FDd!r=af0(c*+SOrTi*RrHxMX=L1ruy7cz&7^OfY^_h^e zz7$up2MQ_MR4e|-nIxo~c5yBDu#j@kiNEsfg_IW{{>iH(yTtXpUF1P=Bkz%r@&|~U z`9WloxRtLZmx|l@d@lc-xHECEkS1D+yA#vNQgLtMDssEHKk+hoOFW$TNIWXc6pstv z6Hf~7il;?w;#sj)PdqQ4FJ5Sj#lM;+@v`K1@v1ab{8zeGye@NA=uLWC(Qncj#T(^z zivH!h6ay+xDh5{NE2OHw75X*qiorFP6b7~P6+`O66hrGCDwGX(6mK?pD~y_(6vJBP zDTYs3r5Mp>q%dyZsTkSbt1#(^P^dbND@;3G6=t2Y73Pke*Ay1r6BU*{=M}bE4~3m} zn!;YYRpFpLu5i>oQaDXjDV(Q<_4@Y=7~EgGT}VTp=$nY?Cd-A*(5J#*Ofxx+J;UBI z*^fQPW~fGBFR)oAeb~R)OjRNF5_?+}kG;ZXtF~ePVRKc>u-Die6;&SEhs{@A7B+?g zn`as-BtwP1iB>E$bprLk1!iNxek@#U=7zn2Ei!Aw`eRGY^05Kf60`N#Ky10$Y)rzI znLWq!v6W_jV1uv~=GNF?Y_<6y%m7BhHbPcz=mTREMu_|*d|LK%ouym$^aXQy>IyxGr=}nm0>Dui&ZLS zihW>hM8g@Hfgf7E0?om#*43B=w%s}lv&6R9L}OOiP8%=G8rxyB4zs~_+04Xjv5#zY zH)4lu}^HbU{08JukB*c8T{0?4|D-Pv%P`2V*BiNVs6;~ z>{ep#Sg(D5%me$v?jGieeQwW07_7iP$-}0ay}t)~y9g#x7{x3c(cc zyn8a33SM##z|ydb?whf6?27vWECajjuG^+e?00wFHjTr6^T@-pus=MavGLedkK0%_ zcFp4qmV^E2X@TWpe|rwZCJ3#+_{&pw)p_7`Pu*4Lv+y6!*Vshtrss960K4Jkg%x7A zy;N8ccFSuTR*c>Cs>U?f9dAxe!xHSim#(U%*gbDu_m^Q0y>;C`347q9$B&g^Ir!N7 zHdp~Z@^NKhCHB;3I97!{@wLRNvFE-LHW_>78-vwg|N44kwb%=_KURmmQd?v7*h_UW z)_}cMCt{7*f9j>ez_3Z*L?P7LF5zI<4ECvygDs$*`V--1*ed)L3O@;(g1w=>jkRI@ z{8nM@*Z{vytOM&m>I&A0Nu$2Sy0C%%DOfi)$ln+1!Swy-VOq?7Qk9C!ko zi;W1{j?KeNf)--)v5})kVA=(sY0wLBA*c#I04~DJgWty%V`jlGuqBvf@MUZ%W)YHw zEyJusg0SV7RfvqOz-&W4!d7B7p?cUV%s%9AY&B*V+KR2g9788)!L^`6=q_*_=p4Ef zdk1q08-lIJT*o}X-o;$P>ah)&d)PQ^Bjy$!jlG9?hPz;!FpuzVY%}H^UW~nud5yh< zeSrCnJ%DY&v_27G;8xHt!VdfpR7VcSwqgDe&#~>;sK|J12NoFVf$hWsA`f97VWT5I z#CBmpk-BQ_#zG=>)%q9xO-eCBzzH-(c~vbFuwc zQf#fzx)~k-6Jwu(2f>us3oQH=OOBg_eTSvRC18iJ)VPz_VJsu=GwcYK9{&k;6dM=6 z0{b4zjK6`&*!cM4*fA_CVFvaCmXlD9{fK326Yhb>!3hb!f+xV-MBPOEgykpdCgNu- zFYyQL7px$0H+B-6m{fuNiWMa#VW+Udq@&nrOp~+&JA)M`tFg0KY4S+y99ELN89T49 zHBn4To(*0A%Tf%%i!7{2euQ1Z%2S?Um$9mpi`W&cGBpVM4V#>5f&GqEr+$uI#cEU6 zV}D>ZX(ia7SbbV7b`7gbPsIMh8q>Xmo7V7eupxZ`_z&2e-oV1^SW||s`)^>a>ALQ} ziM3?(Vz;oijCI&;Y|1!e><-qE`7d@CYtQn>?qOY7rr3R~GwUMu0PD%xk3Gb?$7{!c zkHD$pZNbN&cD%0CPq69Zb)|laP0JpQJ;UD0w#1%eGqTrXFR)qJ)3ATBnK`c5OYH5O zq1Y>IcFr2?KWuJJH})Evlk*DexV7Q zy@b7iEt-&r^~aV@2*Uw2WUir3?018NX~wS8QK-B<64-i@d zWf=Hl)lD!Q{GmFSg=4W3)mB&pcD$OyLK%tuT+LabjKY4JJQ9n>PFBCfVz6IolCW6p zRE;+lhy7a9gT-TKYBX2^cDjb{S0-ZTv^Bc!PXf=@dVYpzu6QmwAJ z(lGvc2TRAU)ate*1G`+uiK)!Qey`)iRF1=btK%6$nT7pP$1{X-Ja)C-2+PK<)jh>> zc>YuTSsx4Lf`8Y$ffHExSG}%WdD!)OUAgkHf9kJd6S151hp__eMuTok3bES_x-BWf zZZ$CP%3|zp1M{xbV0Rih%#IvVU^g^#sgRt_M|BdtHz!;`C*f>XH7G)8tmVuN~{)p(WLACI_y=GuKVk;m(5mK z1NORE+Yf96|7%_bHtCz_iRLL-GuGFv+ou*xuUWTEt-@bV(Xs=Zg1ynQ2y4Uowd#7I z9UIW1>xB-ie`^!giAk;5SQj?1^#az74Ql-c>*4uNPk%};s09tCtOKXAaB!P1HVqrv zW{ge8hP3I%a|Wht(~aj_m|@!yY$j&ZwjG;=z1i-J&Blhe8(?o^!`jbcb1>ufFR{7U zhz}E-IcC+l3tNHNb}q$MVm4j6FJ6V&ciz_>g{wikE}rX@Ye2^?p6irrF^4YQY_G$d zyL7Yt4(8O|A6t*PcHO|<#ay~|`@R8l@7C@6M$E072N30Zm}fT+Aj(acM~@k{8T0P$ z!`{cndiCh$^8?VgM>n5aK%br$*jCK1=Q8#orq(87+c1Bv54If}_5c4lRws delta 6982 zcmW;R2Xs`$+sEigaI9QOS7e9mlk?laHK&N=+vZ`|8&JY~9Sx7NON-HpOP%U_5o z0li1lwd@R3D|`Q{)OxJy9WqJFvP!Nr>@e(>6vKsv>kL09e=@vbcuP`@%#A#ZyvYiq zcB3xx3#0Fhj*%~nhZw6R#YC74GkJ^jG6^$@Bqx~EnADTKCMQfzk=INfnEWj%rsGW~ znbwhCnI1PiNxm=}V#epqe9R)uq9sK(*D-(F+*ne~Pnlmfza}Xbwidn?0pvN0>lQa8 z#nRa_$a1u#SPifmVP#0>T2))sl3!UJx8e_454X0lwkON1Tdmv41J-A)`y|Dt+NR4! zCXd+k+nkpa+ZDE3ZFi85?6mE4B*iYtuE4HXk`=p6c6;p(NQ!-seS&>5xzK){J(X}6 z>yYMbaV^T9U=CO|Grv0oSvxeaz6!*v-Ywo!soU&+Q<2+3l{| z14(grcOT=a{9ThBhve)6{G zGtZZj;&sLAp4UT3@gC@H;B7=c_R;atl@uRKA1|MgWU5cGk6gx^Sw1U$R+HcPocFmT zDI?QHmXEBG6yIgO+kAIQil45Zxt|poQu7=2~*uaYvxWlZRpa7hUjp(8@+h0uV|#LyH; z8M|ccma*H(XJPtb!zCr`Y}oH%Hzg%}K)6x3DJh393|~U-4p+kY;s}q3hzOc5qC7(G zieL#MRgngflqj+$a$4jJ@=D~R$j6cr6&sZwRYd*~^*rj8q(nzX=SJs|JEM<9E0Pjp z72_8ZNY0D-G-jQo#7>Hx8atg_8@nfVpQOZ%j!TcrBsaw!j5{nT@y78U@l-DU-T05= zS4m2OjhqmW5X75l2}=@|llKy}6Y0*x{KTe2nk4aL;URg|27JpNG zwzyAHN+L>fO8CN(!zI6zT$Geji_(#${$xw(oYHyZrqY9@l(@{UY*bkYxvXqk8O=NK zRr#Cc29i?lQyxH_(sdT{knNvY1SZmMRfs{5*MR{tp}HG^tQYb?mX znxvXkvbW}R4Hc`oUGu6|B`LM#wOzG5aP6|%ZM8djQ)gV~QAbnMCDawwv7PF^ue)A% zLsIIs>ff&CWUTkEPpIdM>sQq8tlv#OZ5YzP1RKURR5q|A4JR6|G_ckUFB^w9j*yf_ z@5bmx9;k6i(mavuZ9d)1 zOj{@P2}nQK2BDZ%Okvon{N~d0@Ri`ak z+1b-Ml|0^gxswlcxpakgvERG$x*EG!impRl{au{p-J#tX-8@P6+U`BwY_sm4yZ`FG zCn-IFJxM*3yk~ch(sP>Tl4LX4Qc{Gr`hw72xlt?Rwa6D*gZL-)3)LV=dEIMqMQc*9 zcB`1SRuTfHv}$@{+8?t4#2vY$%q@beUs z-)QlL|8TJ@z+LPP%oAUZnk9Az4HCP8n#7*qF7Zu>lh_xsU+f?KfjBT`m)JMvsW=om zSA07*UmOmbCJu!i7e~S;i=z<^;&{YfaU!x$C{f$QiKt8BWQ>7074t}(jI|KQV@t*N zu}{UBcztm;{x2b)NiY;YB&LgVNgm>dqzU5tq%}fHS}%S|x+Q)}t`PmnWXf>ybIJnI zpR%9#H-(h)Oq@>*=Cw~;NF&oGii>GC#f9`?;(U6LkkXUHrSzHPB5`?KrI5zeiYwzb zkY9?c8MB3y@xJ&i^P-S4@5*Lf&ICR;VY9e9;VSu1+{?2SQl7uKpI1e8iwAi-$OGb0 z-ZAlafxY;rpjkXF_*OhAj2HhF%KhSLQGxic=(c!P93`HY>=G|Z)#7Dojd)f1T%|Q} zyh?lGM%93cPgDcTr>b-+*Qo|od8nkSJu2NvuT_I-JQc z_iRyF%R*%%k5k#o9V$C{waQ*TrgD()s2ryZ?=9)k89Y$#7Shnix`tw!VY#px`b7AN zsfM3p|6Pk8AjgNb8ME8DfR-JY1Duji~a_ z*VtU69l}aqVDA~Lg`}_2HI&8sM$bSkaGuFqpf&?PG=7B*z&?4zU zY!J54Bo~vg1tzC3U2L(*m)INFB9p(d!PruhE0`X(#Iz0@f-N`A!Q`RfGSicwKDfek zH>k!wHq*>-7`Dn(Gr{55O0#I}O>DK97xotRiMcU00{hf_0A_%#F~5erjjc6TFhlG! zivY|BTW?{F8Dr}#Zpfes__@Vd&=lNYIT|y=Hd#7i=GaCnL(Br(Vx@&yVw2Ta~=T>?6SyR7>_C-5uleV8-$ zrHy8SF4)&Lm6$8mYjYlR!@jXOjJacbY}pa|9@swH<(Mb7*G>oX!VcIzz`U{jcEy+v zcE~OP8!3Ya?GAvx;9 zvrdb#NUYCk3KoT(bGnU1V?R0lh{a$(I)`Dg*w4@O)&O2Yg60l!fVz5N) zqU_=gCV>}REx}~)vg;dI3UjEUMwHG z?V*JgV0YZ_V1?LU9^=@|iAN^jn3WO67A2 zn~V+cIf%7k+9Rv5c1&kv64rqY^xcVdVv_G7tP30DXN7fRZ}<(wdN5tTd`!ml{KBv) z!cSHW_V)&-f$d!Ft$2%p!ONwg|Hho`NmLtb+ToC75mS9&9OQ6B3Ip!|X%cWNQZQ?So4 z&(M+BTFhhYc5EHyJ$3=M9+SPohJzcxkz=2LpMySOH?fVFU)U*Z6XqLkifzUM!UeVk z^ABHwZN)}~cVgSHz;I2qwqwEJnriL9f+C`^o!ICI7wiixBtph^X__H4q6FLxj)^n^ zzr?~KUSeNiVfI0D+9dQ<$ z5U<%0KVakIS7GO{{P<~DAC{L8g#CyWCRkuUVFd}xv3{&Lp$GdJD@xSCe!)r;{>08> zC5f%r1zp)tH8C+4ya<*hUI#BRup;pob{Q*AI*DDus*<*0SFy@uJ?t7bDd_?BD^{I6 z7yAvXO|HkTV>Ky$*#EHl6l3gntS;3YyMZ;PY6%xv{|~SswGg}sHm61~@D|pTs_FjQ zSZk`L`~SpR(&k`)VQp!(*d1(g`fcnk){*`_b`NXM(8ca!UE}Uz53tURZP-JsCu2VL z20lq_?!^XS3v)lgBy2&xgy~|7^X_18V2kp*u))~U z{6b9D1D6#11`Yw27aRqLV#^AvF@0=BVKS!1J}zY2sfS^!3fXq*;n>O|_Obd+Y;_Ua zSp63ENwFFmfqh!^4`zU^DXzud#?}_6VTRae#j7RT;l*YG>@ras$i-`+DLt%oXb`kH_4w zZ^}I|cWh7j8q5RRS3U#t#P(KjRI9zP1LYjmYH#j;s{IvlpbvPc!W|rm9jw@d`C^AF z-pBl~Z!0xr^~a7>Xv!LZeOK9n1!Bi4bFfj^(aNJ(5O$(+BNmJuuS&*3u#;6j*l0|t zx*%jd^%(GU)qXG(JXJlEfn%{VRgbVR?E7jC3w1d5Lp5iGIs!Xe{U;WQ^;Mt2qOfx{ z7Faa)Q;jwjgZ)^Oip63-*Z5*_Sbq)Oua3vg%Qc$rPXK?ZRe_1%#hM#f5_X|h(;vy$ zIZZ~L_qyW29 zuUV2p?5_s)ySfOw*T8;P7h`uDILy=~*n&6Tv23LoLx9hc#obn>Fjyf@w8tmZ??vX{lOzu*ukfmSU_8 z({9o9LOZ6@qUnVWY+!2?)`>~2&R7>VsC6sWjlI$O5!S=~PfK_598d=JCf9;f7&y3X zAT|{nI{7v>4I9#?*`DuU>Nd^xoQ~ePJk63n*qJMMq#rJzk0_d4}5(7ua%oq9QD z*QMFpA7hSPn!UXObLcvct;C$W_F=0qr*6%