From 722993a718c2706d74747a3168c32225ab7f416a Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sat, 7 Nov 2020 19:54:45 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.anno.json | 32 + el2_lsu_bus_buffer.fir | 1325 +++++++++-------- el2_lsu_bus_buffer.v | 762 +++++----- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 5 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 500486 -> 501057 bytes 7 files changed, 1077 insertions(+), 1047 deletions(-) diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index d4e32574..27609fe0 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -114,6 +114,38 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_test", + "sources":[ + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_store_data_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rdata", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_wb_coalescing_disable", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_is_sideeffects_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_bus_clk_en", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_force_halt", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_commit_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_m_load", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bresp", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_store", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_word", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rvalid", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rready", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_load", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_no_word_merge_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_m", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_by", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_half", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bid", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_rid", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bvalid", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_axi_bready" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pmu_bus_busy", diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 8e65eba3..18e5ac6e 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2840,7 +2840,6 @@ circuit el2_lsu_bus_buffer : node _T_2001 = cat(_T_1986, _T_1993) @[Cat.scala 29:58] node _T_2002 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 418:11] - io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 419:11] node _T_2003 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2004 = cat(_T_2003, CmdPtr1Dec) @[Cat.scala 29:58] node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] @@ -5751,673 +5750,677 @@ circuit el2_lsu_bus_buffer : node _T_4325 = cat(_T_4324, buf_data_en[1]) @[Cat.scala 29:58] node _T_4326 = cat(_T_4325, buf_data_en[0]) @[Cat.scala 29:58] io.data_en <= _T_4326 @[el2_lsu_bus_buffer.scala 545:14] - node _T_4327 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4328 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4329 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4330 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 546:60] - node _T_4331 = add(_T_4330, _T_4329) @[el2_lsu_bus_buffer.scala 546:96] - node _T_4332 = add(_T_4331, _T_4328) @[el2_lsu_bus_buffer.scala 546:96] - node buf_numvld_any = add(_T_4332, _T_4327) @[el2_lsu_bus_buffer.scala 546:96] - node _T_4333 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4334 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:79] - node _T_4335 = and(_T_4333, _T_4334) @[el2_lsu_bus_buffer.scala 547:64] - node _T_4336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:91] - node _T_4337 = and(_T_4335, _T_4336) @[el2_lsu_bus_buffer.scala 547:89] - node _T_4338 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4339 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:79] - node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 547:64] - node _T_4341 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:91] - node _T_4342 = and(_T_4340, _T_4341) @[el2_lsu_bus_buffer.scala 547:89] - node _T_4343 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4344 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:79] - node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 547:64] - node _T_4346 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:91] - node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 547:89] - node _T_4348 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4349 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:79] - node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 547:64] - node _T_4351 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:91] - node _T_4352 = and(_T_4350, _T_4351) @[el2_lsu_bus_buffer.scala 547:89] - node _T_4353 = add(_T_4352, _T_4347) @[el2_lsu_bus_buffer.scala 547:142] - node _T_4354 = add(_T_4353, _T_4342) @[el2_lsu_bus_buffer.scala 547:142] - node _T_4355 = add(_T_4354, _T_4337) @[el2_lsu_bus_buffer.scala 547:142] - buf_numvld_wrcmd_any <= _T_4355 @[el2_lsu_bus_buffer.scala 547:24] - node _T_4356 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:63] - node _T_4357 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:75] - node _T_4358 = and(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 548:73] - node _T_4359 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:63] - node _T_4360 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:75] - node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 548:73] - node _T_4362 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:63] - node _T_4363 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:75] - node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 548:73] - node _T_4365 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:63] - node _T_4366 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:75] - node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 548:73] - node _T_4368 = add(_T_4367, _T_4364) @[el2_lsu_bus_buffer.scala 548:126] - node _T_4369 = add(_T_4368, _T_4361) @[el2_lsu_bus_buffer.scala 548:126] - node _T_4370 = add(_T_4369, _T_4358) @[el2_lsu_bus_buffer.scala 548:126] - buf_numvld_cmd_any <= _T_4370 @[el2_lsu_bus_buffer.scala 548:22] - node _T_4371 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4372 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:90] - node _T_4373 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:102] - node _T_4374 = and(_T_4372, _T_4373) @[el2_lsu_bus_buffer.scala 549:100] - node _T_4375 = or(_T_4371, _T_4374) @[el2_lsu_bus_buffer.scala 549:74] - node _T_4376 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4377 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:90] - node _T_4378 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:102] - node _T_4379 = and(_T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 549:100] - node _T_4380 = or(_T_4376, _T_4379) @[el2_lsu_bus_buffer.scala 549:74] - node _T_4381 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4382 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:90] - node _T_4383 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:102] - node _T_4384 = and(_T_4382, _T_4383) @[el2_lsu_bus_buffer.scala 549:100] - node _T_4385 = or(_T_4381, _T_4384) @[el2_lsu_bus_buffer.scala 549:74] - node _T_4386 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4387 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:90] - node _T_4388 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:102] - node _T_4389 = and(_T_4387, _T_4388) @[el2_lsu_bus_buffer.scala 549:100] - node _T_4390 = or(_T_4386, _T_4389) @[el2_lsu_bus_buffer.scala 549:74] - node _T_4391 = add(_T_4390, _T_4385) @[el2_lsu_bus_buffer.scala 549:154] - node _T_4392 = add(_T_4391, _T_4380) @[el2_lsu_bus_buffer.scala 549:154] - node _T_4393 = add(_T_4392, _T_4375) @[el2_lsu_bus_buffer.scala 549:154] - buf_numvld_pend_any <= _T_4393 @[el2_lsu_bus_buffer.scala 549:23] - node _T_4394 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 550:61] - node _T_4395 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 550:61] - node _T_4396 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 550:61] - node _T_4397 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 550:61] - node _T_4398 = or(_T_4397, _T_4396) @[el2_lsu_bus_buffer.scala 550:93] - node _T_4399 = or(_T_4398, _T_4395) @[el2_lsu_bus_buffer.scala 550:93] - node _T_4400 = or(_T_4399, _T_4394) @[el2_lsu_bus_buffer.scala 550:93] - any_done_wait_state <= _T_4400 @[el2_lsu_bus_buffer.scala 550:23] - node _T_4401 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 551:53] - io.lsu_bus_buffer_pend_any <= _T_4401 @[el2_lsu_bus_buffer.scala 551:30] - node _T_4402 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 552:52] - node _T_4403 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4404 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 552:119] - node _T_4405 = mux(_T_4402, _T_4403, _T_4404) @[el2_lsu_bus_buffer.scala 552:36] - io.lsu_bus_buffer_full_any <= _T_4405 @[el2_lsu_bus_buffer.scala 552:30] - node _T_4406 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 553:52] - node _T_4407 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 553:52] - node _T_4408 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 553:52] - node _T_4409 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 553:52] - node _T_4410 = or(_T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 553:65] - node _T_4411 = or(_T_4410, _T_4408) @[el2_lsu_bus_buffer.scala 553:65] - node _T_4412 = or(_T_4411, _T_4409) @[el2_lsu_bus_buffer.scala 553:65] - node _T_4413 = eq(_T_4412, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:34] - node _T_4414 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:72] - node _T_4415 = and(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 553:70] - node _T_4416 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:86] - node _T_4417 = and(_T_4415, _T_4416) @[el2_lsu_bus_buffer.scala 553:84] - io.lsu_bus_buffer_empty_any <= _T_4417 @[el2_lsu_bus_buffer.scala 553:31] - node _T_4418 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 555:51] - node _T_4419 = and(_T_4418, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 555:72] - node _T_4420 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:94] - node _T_4421 = and(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 555:92] - node _T_4422 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:111] - node _T_4423 = and(_T_4421, _T_4422) @[el2_lsu_bus_buffer.scala 555:109] - io.lsu_nonblock_load_valid_m <= _T_4423 @[el2_lsu_bus_buffer.scala 555:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 556:30] + node _T_4327 = cat(buf_data_in[3], buf_data_in[2]) @[Cat.scala 29:58] + node _T_4328 = cat(_T_4327, buf_data_in[1]) @[Cat.scala 29:58] + node _T_4329 = cat(_T_4328, buf_data_in[0]) @[Cat.scala 29:58] + io.test <= _T_4329 @[el2_lsu_bus_buffer.scala 546:11] + node _T_4330 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4331 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4332 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4333 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4334 = add(_T_4333, _T_4332) @[el2_lsu_bus_buffer.scala 547:96] + node _T_4335 = add(_T_4334, _T_4331) @[el2_lsu_bus_buffer.scala 547:96] + node buf_numvld_any = add(_T_4335, _T_4330) @[el2_lsu_bus_buffer.scala 547:96] + node _T_4336 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] + node _T_4337 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] + node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 548:64] + node _T_4339 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] + node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 548:89] + node _T_4341 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 548:60] + node _T_4342 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] + node _T_4343 = and(_T_4341, _T_4342) @[el2_lsu_bus_buffer.scala 548:64] + node _T_4344 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] + node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 548:89] + node _T_4346 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 548:60] + node _T_4347 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] + node _T_4348 = and(_T_4346, _T_4347) @[el2_lsu_bus_buffer.scala 548:64] + node _T_4349 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] + node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 548:89] + node _T_4351 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 548:60] + node _T_4352 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] + node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 548:64] + node _T_4354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] + node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 548:89] + node _T_4356 = add(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 548:142] + node _T_4357 = add(_T_4356, _T_4345) @[el2_lsu_bus_buffer.scala 548:142] + node _T_4358 = add(_T_4357, _T_4340) @[el2_lsu_bus_buffer.scala 548:142] + buf_numvld_wrcmd_any <= _T_4358 @[el2_lsu_bus_buffer.scala 548:24] + node _T_4359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] + node _T_4360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] + node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 549:73] + node _T_4362 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] + node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] + node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 549:73] + node _T_4365 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] + node _T_4366 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] + node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 549:73] + node _T_4368 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] + node _T_4369 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] + node _T_4370 = and(_T_4368, _T_4369) @[el2_lsu_bus_buffer.scala 549:73] + node _T_4371 = add(_T_4370, _T_4367) @[el2_lsu_bus_buffer.scala 549:126] + node _T_4372 = add(_T_4371, _T_4364) @[el2_lsu_bus_buffer.scala 549:126] + node _T_4373 = add(_T_4372, _T_4361) @[el2_lsu_bus_buffer.scala 549:126] + buf_numvld_cmd_any <= _T_4373 @[el2_lsu_bus_buffer.scala 549:22] + node _T_4374 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] + node _T_4375 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] + node _T_4376 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] + node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 550:100] + node _T_4378 = or(_T_4374, _T_4377) @[el2_lsu_bus_buffer.scala 550:74] + node _T_4379 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] + node _T_4380 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] + node _T_4381 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] + node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 550:100] + node _T_4383 = or(_T_4379, _T_4382) @[el2_lsu_bus_buffer.scala 550:74] + node _T_4384 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] + node _T_4385 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] + node _T_4386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] + node _T_4387 = and(_T_4385, _T_4386) @[el2_lsu_bus_buffer.scala 550:100] + node _T_4388 = or(_T_4384, _T_4387) @[el2_lsu_bus_buffer.scala 550:74] + node _T_4389 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] + node _T_4390 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] + node _T_4391 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] + node _T_4392 = and(_T_4390, _T_4391) @[el2_lsu_bus_buffer.scala 550:100] + node _T_4393 = or(_T_4389, _T_4392) @[el2_lsu_bus_buffer.scala 550:74] + node _T_4394 = add(_T_4393, _T_4388) @[el2_lsu_bus_buffer.scala 550:154] + node _T_4395 = add(_T_4394, _T_4383) @[el2_lsu_bus_buffer.scala 550:154] + node _T_4396 = add(_T_4395, _T_4378) @[el2_lsu_bus_buffer.scala 550:154] + buf_numvld_pend_any <= _T_4396 @[el2_lsu_bus_buffer.scala 550:23] + node _T_4397 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] + node _T_4398 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] + node _T_4399 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] + node _T_4400 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] + node _T_4401 = or(_T_4400, _T_4399) @[el2_lsu_bus_buffer.scala 551:93] + node _T_4402 = or(_T_4401, _T_4398) @[el2_lsu_bus_buffer.scala 551:93] + node _T_4403 = or(_T_4402, _T_4397) @[el2_lsu_bus_buffer.scala 551:93] + any_done_wait_state <= _T_4403 @[el2_lsu_bus_buffer.scala 551:23] + node _T_4404 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 552:53] + io.lsu_bus_buffer_pend_any <= _T_4404 @[el2_lsu_bus_buffer.scala 552:30] + node _T_4405 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 553:52] + node _T_4406 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 553:92] + node _T_4407 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 553:119] + node _T_4408 = mux(_T_4405, _T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 553:36] + io.lsu_bus_buffer_full_any <= _T_4408 @[el2_lsu_bus_buffer.scala 553:30] + node _T_4409 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 554:52] + node _T_4410 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 554:52] + node _T_4411 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 554:52] + node _T_4412 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 554:52] + node _T_4413 = or(_T_4409, _T_4410) @[el2_lsu_bus_buffer.scala 554:65] + node _T_4414 = or(_T_4413, _T_4411) @[el2_lsu_bus_buffer.scala 554:65] + node _T_4415 = or(_T_4414, _T_4412) @[el2_lsu_bus_buffer.scala 554:65] + node _T_4416 = eq(_T_4415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:34] + node _T_4417 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:72] + node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 554:70] + node _T_4419 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:86] + node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 554:84] + io.lsu_bus_buffer_empty_any <= _T_4420 @[el2_lsu_bus_buffer.scala 554:31] + node _T_4421 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 556:51] + node _T_4422 = and(_T_4421, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 556:72] + node _T_4423 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:94] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 556:92] + node _T_4425 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:111] + node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 556:109] + io.lsu_nonblock_load_valid_m <= _T_4426 @[el2_lsu_bus_buffer.scala 556:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 557:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4424 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:61] - node _T_4425 = and(lsu_nonblock_load_valid_r, _T_4424) @[el2_lsu_bus_buffer.scala 558:59] - io.lsu_nonblock_load_inv_r <= _T_4425 @[el2_lsu_bus_buffer.scala 558:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 559:34] - node _T_4426 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] - node _T_4427 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:127] - node _T_4428 = and(UInt<1>("h01"), _T_4427) @[el2_lsu_bus_buffer.scala 560:116] - node _T_4429 = eq(_T_4428, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:95] - node _T_4430 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] - node _T_4431 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:127] - node _T_4432 = and(UInt<1>("h01"), _T_4431) @[el2_lsu_bus_buffer.scala 560:116] - node _T_4433 = eq(_T_4432, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:95] - node _T_4434 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] - node _T_4435 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:127] - node _T_4436 = and(UInt<1>("h01"), _T_4435) @[el2_lsu_bus_buffer.scala 560:116] - node _T_4437 = eq(_T_4436, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:95] - node _T_4438 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] - node _T_4439 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:127] - node _T_4440 = and(UInt<1>("h01"), _T_4439) @[el2_lsu_bus_buffer.scala 560:116] - node _T_4441 = eq(_T_4440, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:95] - node _T_4442 = mux(_T_4426, _T_4429, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4443 = mux(_T_4430, _T_4433, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4444 = mux(_T_4434, _T_4437, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4445 = mux(_T_4438, _T_4441, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4446 = or(_T_4442, _T_4443) @[Mux.scala 27:72] - node _T_4447 = or(_T_4446, _T_4444) @[Mux.scala 27:72] - node _T_4448 = or(_T_4447, _T_4445) @[Mux.scala 27:72] + node _T_4427 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:61] + node _T_4428 = and(lsu_nonblock_load_valid_r, _T_4427) @[el2_lsu_bus_buffer.scala 559:59] + io.lsu_nonblock_load_inv_r <= _T_4428 @[el2_lsu_bus_buffer.scala 559:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 560:34] + node _T_4429 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] + node _T_4430 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:127] + node _T_4431 = and(UInt<1>("h01"), _T_4430) @[el2_lsu_bus_buffer.scala 561:116] + node _T_4432 = eq(_T_4431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] + node _T_4433 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] + node _T_4434 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:127] + node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 561:116] + node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] + node _T_4437 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] + node _T_4438 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:127] + node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 561:116] + node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] + node _T_4441 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] + node _T_4442 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:127] + node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 561:116] + node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] + node _T_4445 = mux(_T_4429, _T_4432, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4446 = mux(_T_4433, _T_4436, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4447 = mux(_T_4437, _T_4440, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4448 = mux(_T_4441, _T_4444, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4449 = or(_T_4445, _T_4446) @[Mux.scala 27:72] + node _T_4450 = or(_T_4449, _T_4447) @[Mux.scala 27:72] + node _T_4451 = or(_T_4450, _T_4448) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4448 @[Mux.scala 27:72] - node _T_4449 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4450 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 561:104] - node _T_4451 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4452 = eq(_T_4451, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:110] - node _T_4453 = and(_T_4450, _T_4452) @[el2_lsu_bus_buffer.scala 561:108] - node _T_4454 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4455 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 561:104] - node _T_4456 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4457 = eq(_T_4456, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:110] - node _T_4458 = and(_T_4455, _T_4457) @[el2_lsu_bus_buffer.scala 561:108] - node _T_4459 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4460 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 561:104] - node _T_4461 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4462 = eq(_T_4461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:110] - node _T_4463 = and(_T_4460, _T_4462) @[el2_lsu_bus_buffer.scala 561:108] - node _T_4464 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4465 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 561:104] - node _T_4466 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4467 = eq(_T_4466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:110] - node _T_4468 = and(_T_4465, _T_4467) @[el2_lsu_bus_buffer.scala 561:108] - node _T_4469 = mux(_T_4449, _T_4453, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4470 = mux(_T_4454, _T_4458, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4471 = mux(_T_4459, _T_4463, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4472 = mux(_T_4464, _T_4468, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4473 = or(_T_4469, _T_4470) @[Mux.scala 27:72] - node _T_4474 = or(_T_4473, _T_4471) @[Mux.scala 27:72] - node _T_4475 = or(_T_4474, _T_4472) @[Mux.scala 27:72] - wire _T_4476 : UInt<1> @[Mux.scala 27:72] - _T_4476 <= _T_4475 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4476 @[el2_lsu_bus_buffer.scala 561:35] - node _T_4477 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:79] - node _T_4478 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 562:102] - node _T_4479 = eq(_T_4478, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:92] - node _T_4480 = and(_T_4477, _T_4479) @[el2_lsu_bus_buffer.scala 562:90] - node _T_4481 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:109] - node _T_4482 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:124] - node _T_4483 = or(_T_4481, _T_4482) @[el2_lsu_bus_buffer.scala 562:122] - node _T_4484 = and(_T_4480, _T_4483) @[el2_lsu_bus_buffer.scala 562:106] - node _T_4485 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:79] - node _T_4486 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 562:102] - node _T_4487 = eq(_T_4486, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:92] - node _T_4488 = and(_T_4485, _T_4487) @[el2_lsu_bus_buffer.scala 562:90] - node _T_4489 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:109] - node _T_4490 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:124] - node _T_4491 = or(_T_4489, _T_4490) @[el2_lsu_bus_buffer.scala 562:122] - node _T_4492 = and(_T_4488, _T_4491) @[el2_lsu_bus_buffer.scala 562:106] - node _T_4493 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:79] - node _T_4494 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 562:102] - node _T_4495 = eq(_T_4494, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:92] - node _T_4496 = and(_T_4493, _T_4495) @[el2_lsu_bus_buffer.scala 562:90] - node _T_4497 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:109] - node _T_4498 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:124] - node _T_4499 = or(_T_4497, _T_4498) @[el2_lsu_bus_buffer.scala 562:122] - node _T_4500 = and(_T_4496, _T_4499) @[el2_lsu_bus_buffer.scala 562:106] - node _T_4501 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:79] - node _T_4502 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 562:102] - node _T_4503 = eq(_T_4502, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:92] - node _T_4504 = and(_T_4501, _T_4503) @[el2_lsu_bus_buffer.scala 562:90] - node _T_4505 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:109] - node _T_4506 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:124] - node _T_4507 = or(_T_4505, _T_4506) @[el2_lsu_bus_buffer.scala 562:122] - node _T_4508 = and(_T_4504, _T_4507) @[el2_lsu_bus_buffer.scala 562:106] - node _T_4509 = mux(_T_4484, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4510 = mux(_T_4492, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4511 = mux(_T_4500, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4512 = mux(_T_4508, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4513 = or(_T_4509, _T_4510) @[Mux.scala 27:72] - node _T_4514 = or(_T_4513, _T_4511) @[Mux.scala 27:72] - node _T_4515 = or(_T_4514, _T_4512) @[Mux.scala 27:72] - wire _T_4516 : UInt<2> @[Mux.scala 27:72] - _T_4516 <= _T_4515 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4516 @[el2_lsu_bus_buffer.scala 562:33] - node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4518 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 563:101] - node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] - node _T_4520 = and(_T_4517, _T_4519) @[el2_lsu_bus_buffer.scala 563:89] - node _T_4521 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:108] - node _T_4522 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:123] - node _T_4523 = or(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 563:121] - node _T_4524 = and(_T_4520, _T_4523) @[el2_lsu_bus_buffer.scala 563:105] - node _T_4525 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4526 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 563:101] - node _T_4527 = eq(_T_4526, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] - node _T_4528 = and(_T_4525, _T_4527) @[el2_lsu_bus_buffer.scala 563:89] - node _T_4529 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:108] - node _T_4530 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:123] - node _T_4531 = or(_T_4529, _T_4530) @[el2_lsu_bus_buffer.scala 563:121] - node _T_4532 = and(_T_4528, _T_4531) @[el2_lsu_bus_buffer.scala 563:105] - node _T_4533 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4534 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 563:101] - node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] - node _T_4536 = and(_T_4533, _T_4535) @[el2_lsu_bus_buffer.scala 563:89] - node _T_4537 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:108] - node _T_4538 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:123] - node _T_4539 = or(_T_4537, _T_4538) @[el2_lsu_bus_buffer.scala 563:121] - node _T_4540 = and(_T_4536, _T_4539) @[el2_lsu_bus_buffer.scala 563:105] - node _T_4541 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] - node _T_4542 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 563:101] - node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] - node _T_4544 = and(_T_4541, _T_4543) @[el2_lsu_bus_buffer.scala 563:89] - node _T_4545 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:108] - node _T_4546 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:123] - node _T_4547 = or(_T_4545, _T_4546) @[el2_lsu_bus_buffer.scala 563:121] - node _T_4548 = and(_T_4544, _T_4547) @[el2_lsu_bus_buffer.scala 563:105] - node _T_4549 = mux(_T_4524, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4550 = mux(_T_4532, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4551 = mux(_T_4540, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4552 = mux(_T_4548, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4553 = or(_T_4549, _T_4550) @[Mux.scala 27:72] - node _T_4554 = or(_T_4553, _T_4551) @[Mux.scala 27:72] - node _T_4555 = or(_T_4554, _T_4552) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4451 @[Mux.scala 27:72] + node _T_4452 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] + node _T_4453 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 562:104] + node _T_4454 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 562:120] + node _T_4455 = eq(_T_4454, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] + node _T_4456 = and(_T_4453, _T_4455) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4457 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] + node _T_4458 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 562:104] + node _T_4459 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 562:120] + node _T_4460 = eq(_T_4459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] + node _T_4461 = and(_T_4458, _T_4460) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4462 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] + node _T_4463 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 562:104] + node _T_4464 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 562:120] + node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] + node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4467 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] + node _T_4468 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 562:104] + node _T_4469 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 562:120] + node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] + node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4472 = mux(_T_4452, _T_4456, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4473 = mux(_T_4457, _T_4461, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4474 = mux(_T_4462, _T_4466, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4475 = mux(_T_4467, _T_4471, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4476 = or(_T_4472, _T_4473) @[Mux.scala 27:72] + node _T_4477 = or(_T_4476, _T_4474) @[Mux.scala 27:72] + node _T_4478 = or(_T_4477, _T_4475) @[Mux.scala 27:72] + wire _T_4479 : UInt<1> @[Mux.scala 27:72] + _T_4479 <= _T_4478 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4479 @[el2_lsu_bus_buffer.scala 562:35] + node _T_4480 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] + node _T_4481 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 563:102] + node _T_4482 = eq(_T_4481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] + node _T_4483 = and(_T_4480, _T_4482) @[el2_lsu_bus_buffer.scala 563:90] + node _T_4484 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] + node _T_4485 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] + node _T_4486 = or(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 563:122] + node _T_4487 = and(_T_4483, _T_4486) @[el2_lsu_bus_buffer.scala 563:106] + node _T_4488 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] + node _T_4489 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 563:102] + node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] + node _T_4491 = and(_T_4488, _T_4490) @[el2_lsu_bus_buffer.scala 563:90] + node _T_4492 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] + node _T_4493 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] + node _T_4494 = or(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 563:122] + node _T_4495 = and(_T_4491, _T_4494) @[el2_lsu_bus_buffer.scala 563:106] + node _T_4496 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] + node _T_4497 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 563:102] + node _T_4498 = eq(_T_4497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] + node _T_4499 = and(_T_4496, _T_4498) @[el2_lsu_bus_buffer.scala 563:90] + node _T_4500 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] + node _T_4501 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] + node _T_4502 = or(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 563:122] + node _T_4503 = and(_T_4499, _T_4502) @[el2_lsu_bus_buffer.scala 563:106] + node _T_4504 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] + node _T_4505 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 563:102] + node _T_4506 = eq(_T_4505, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] + node _T_4507 = and(_T_4504, _T_4506) @[el2_lsu_bus_buffer.scala 563:90] + node _T_4508 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] + node _T_4509 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] + node _T_4510 = or(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 563:122] + node _T_4511 = and(_T_4507, _T_4510) @[el2_lsu_bus_buffer.scala 563:106] + node _T_4512 = mux(_T_4487, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4513 = mux(_T_4495, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4514 = mux(_T_4503, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4515 = mux(_T_4511, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4516 = or(_T_4512, _T_4513) @[Mux.scala 27:72] + node _T_4517 = or(_T_4516, _T_4514) @[Mux.scala 27:72] + node _T_4518 = or(_T_4517, _T_4515) @[Mux.scala 27:72] + wire _T_4519 : UInt<2> @[Mux.scala 27:72] + _T_4519 <= _T_4518 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4519 @[el2_lsu_bus_buffer.scala 563:33] + node _T_4520 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4521 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 564:101] + node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] + node _T_4523 = and(_T_4520, _T_4522) @[el2_lsu_bus_buffer.scala 564:89] + node _T_4524 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] + node _T_4525 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] + node _T_4526 = or(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 564:121] + node _T_4527 = and(_T_4523, _T_4526) @[el2_lsu_bus_buffer.scala 564:105] + node _T_4528 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4529 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 564:101] + node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] + node _T_4531 = and(_T_4528, _T_4530) @[el2_lsu_bus_buffer.scala 564:89] + node _T_4532 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] + node _T_4533 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] + node _T_4534 = or(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 564:121] + node _T_4535 = and(_T_4531, _T_4534) @[el2_lsu_bus_buffer.scala 564:105] + node _T_4536 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4537 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 564:101] + node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] + node _T_4539 = and(_T_4536, _T_4538) @[el2_lsu_bus_buffer.scala 564:89] + node _T_4540 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] + node _T_4541 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] + node _T_4542 = or(_T_4540, _T_4541) @[el2_lsu_bus_buffer.scala 564:121] + node _T_4543 = and(_T_4539, _T_4542) @[el2_lsu_bus_buffer.scala 564:105] + node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] + node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 564:101] + node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] + node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 564:89] + node _T_4548 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] + node _T_4549 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] + node _T_4550 = or(_T_4548, _T_4549) @[el2_lsu_bus_buffer.scala 564:121] + node _T_4551 = and(_T_4547, _T_4550) @[el2_lsu_bus_buffer.scala 564:105] + node _T_4552 = mux(_T_4527, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4553 = mux(_T_4535, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4554 = mux(_T_4543, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4555 = mux(_T_4551, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4556 = or(_T_4552, _T_4553) @[Mux.scala 27:72] + node _T_4557 = or(_T_4556, _T_4554) @[Mux.scala 27:72] + node _T_4558 = or(_T_4557, _T_4555) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4555 @[Mux.scala 27:72] - node _T_4556 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4560 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 564:120] - node _T_4561 = and(_T_4559, _T_4560) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4562 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4563 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4564 = eq(_T_4563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4565 = and(_T_4562, _T_4564) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4566 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 564:120] - node _T_4567 = and(_T_4565, _T_4566) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4568 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4569 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4571 = and(_T_4568, _T_4570) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4572 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 564:120] - node _T_4573 = and(_T_4571, _T_4572) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4574 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4575 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4576 = eq(_T_4575, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4577 = and(_T_4574, _T_4576) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4578 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 564:120] - node _T_4579 = and(_T_4577, _T_4578) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4580 = mux(_T_4561, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4581 = mux(_T_4567, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4582 = mux(_T_4573, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4583 = mux(_T_4579, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4584 = or(_T_4580, _T_4581) @[Mux.scala 27:72] - node _T_4585 = or(_T_4584, _T_4582) @[Mux.scala 27:72] - node _T_4586 = or(_T_4585, _T_4583) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4558 @[Mux.scala 27:72] + node _T_4559 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4560 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 565:101] + node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] + node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 565:89] + node _T_4563 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 565:120] + node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 565:105] + node _T_4565 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4566 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 565:101] + node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] + node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 565:89] + node _T_4569 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 565:120] + node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 565:105] + node _T_4571 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4572 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 565:101] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] + node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 565:89] + node _T_4575 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 565:120] + node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 565:105] + node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4578 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 565:101] + node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] + node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 565:89] + node _T_4581 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 565:120] + node _T_4582 = and(_T_4580, _T_4581) @[el2_lsu_bus_buffer.scala 565:105] + node _T_4583 = mux(_T_4564, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4584 = mux(_T_4570, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4585 = mux(_T_4576, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4586 = mux(_T_4582, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4587 = or(_T_4583, _T_4584) @[Mux.scala 27:72] + node _T_4588 = or(_T_4587, _T_4585) @[Mux.scala 27:72] + node _T_4589 = or(_T_4588, _T_4586) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4586 @[Mux.scala 27:72] - node _T_4587 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4588 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4589 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4591 = mux(_T_4587, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4592 = mux(_T_4588, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4593 = mux(_T_4589, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4594 = mux(_T_4590, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4595 = or(_T_4591, _T_4592) @[Mux.scala 27:72] - node _T_4596 = or(_T_4595, _T_4593) @[Mux.scala 27:72] - node _T_4597 = or(_T_4596, _T_4594) @[Mux.scala 27:72] - wire _T_4598 : UInt<32> @[Mux.scala 27:72] - _T_4598 <= _T_4597 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4598, 1, 0) @[el2_lsu_bus_buffer.scala 565:83] - node _T_4599 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4600 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4601 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4603 = mux(_T_4599, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4604 = mux(_T_4600, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4605 = mux(_T_4601, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4606 = mux(_T_4602, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4607 = or(_T_4603, _T_4604) @[Mux.scala 27:72] - node _T_4608 = or(_T_4607, _T_4605) @[Mux.scala 27:72] - node _T_4609 = or(_T_4608, _T_4606) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4589 @[Mux.scala 27:72] + node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4591 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4592 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4593 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4594 = mux(_T_4590, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4595 = mux(_T_4591, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4596 = mux(_T_4592, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4597 = mux(_T_4593, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4598 = or(_T_4594, _T_4595) @[Mux.scala 27:72] + node _T_4599 = or(_T_4598, _T_4596) @[Mux.scala 27:72] + node _T_4600 = or(_T_4599, _T_4597) @[Mux.scala 27:72] + wire _T_4601 : UInt<32> @[Mux.scala 27:72] + _T_4601 <= _T_4600 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4601, 1, 0) @[el2_lsu_bus_buffer.scala 566:83] + node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4604 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4606 = mux(_T_4602, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4607 = mux(_T_4603, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4608 = mux(_T_4604, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4609 = mux(_T_4605, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4610 = or(_T_4606, _T_4607) @[Mux.scala 27:72] + node _T_4611 = or(_T_4610, _T_4608) @[Mux.scala 27:72] + node _T_4612 = or(_T_4611, _T_4609) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4609 @[Mux.scala 27:72] - node _T_4610 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4611 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4612 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4613 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4614 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4615 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4616 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4617 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4618 = mux(_T_4610, _T_4611, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4619 = mux(_T_4612, _T_4613, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4620 = mux(_T_4614, _T_4615, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4621 = mux(_T_4616, _T_4617, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4622 = or(_T_4618, _T_4619) @[Mux.scala 27:72] - node _T_4623 = or(_T_4622, _T_4620) @[Mux.scala 27:72] - node _T_4624 = or(_T_4623, _T_4621) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4612 @[Mux.scala 27:72] + node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4614 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4615 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4616 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4617 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4618 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4620 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4621 = mux(_T_4613, _T_4614, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4622 = mux(_T_4615, _T_4616, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4623 = mux(_T_4617, _T_4618, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4624 = mux(_T_4619, _T_4620, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4625 = or(_T_4621, _T_4622) @[Mux.scala 27:72] + node _T_4626 = or(_T_4625, _T_4623) @[Mux.scala 27:72] + node _T_4627 = or(_T_4626, _T_4624) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4624 @[Mux.scala 27:72] - node _T_4625 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4626 = cat(_T_4625, buf_dual[1]) @[Cat.scala 29:58] - node _T_4627 = cat(_T_4626, buf_dual[0]) @[Cat.scala 29:58] - node _T_4628 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4629 = bits(_T_4627, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4630 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4631 = bits(_T_4627, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4632 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4633 = bits(_T_4627, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4634 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4635 = bits(_T_4627, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4636 = mux(_T_4628, _T_4629, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4637 = mux(_T_4630, _T_4631, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4638 = mux(_T_4632, _T_4633, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4639 = mux(_T_4634, _T_4635, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4640 = or(_T_4636, _T_4637) @[Mux.scala 27:72] - node _T_4641 = or(_T_4640, _T_4638) @[Mux.scala 27:72] - node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4627 @[Mux.scala 27:72] + node _T_4628 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4629 = cat(_T_4628, buf_dual[1]) @[Cat.scala 29:58] + node _T_4630 = cat(_T_4629, buf_dual[0]) @[Cat.scala 29:58] + node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4632 = bits(_T_4630, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4633 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4634 = bits(_T_4630, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4635 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4636 = bits(_T_4630, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] + node _T_4638 = bits(_T_4630, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4639 = mux(_T_4631, _T_4632, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4640 = mux(_T_4633, _T_4634, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4641 = mux(_T_4635, _T_4636, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4642 = mux(_T_4637, _T_4638, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4643 = or(_T_4639, _T_4640) @[Mux.scala 27:72] + node _T_4644 = or(_T_4643, _T_4641) @[Mux.scala 27:72] + node _T_4645 = or(_T_4644, _T_4642) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4642 @[Mux.scala 27:72] - node _T_4643 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4644 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 569:121] - node lsu_nonblock_data_unalgn = dshr(_T_4643, _T_4644) @[el2_lsu_bus_buffer.scala 569:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 570:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 571:14] - node _T_4645 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:69] - node _T_4646 = and(lsu_nonblock_load_data_ready, _T_4645) @[el2_lsu_bus_buffer.scala 572:67] - io.lsu_nonblock_load_data_valid <= _T_4646 @[el2_lsu_bus_buffer.scala 572:35] - node _T_4647 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:81] - node _T_4648 = and(lsu_nonblock_unsign, _T_4647) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4649 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 573:131] - node _T_4650 = cat(UInt<24>("h00"), _T_4649) @[Cat.scala 29:58] - node _T_4651 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 574:45] - node _T_4652 = and(lsu_nonblock_unsign, _T_4651) @[el2_lsu_bus_buffer.scala 574:26] - node _T_4653 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 574:95] - node _T_4654 = cat(UInt<16>("h00"), _T_4653) @[Cat.scala 29:58] - node _T_4655 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:6] - node _T_4656 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:45] - node _T_4657 = and(_T_4655, _T_4656) @[el2_lsu_bus_buffer.scala 575:27] - node _T_4658 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 575:93] - node _T_4659 = bits(_T_4658, 0, 0) @[Bitwise.scala 72:15] - node _T_4660 = mux(_T_4659, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 575:123] - node _T_4662 = cat(_T_4660, _T_4661) @[Cat.scala 29:58] - node _T_4663 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:6] - node _T_4664 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 576:45] - node _T_4665 = and(_T_4663, _T_4664) @[el2_lsu_bus_buffer.scala 576:27] - node _T_4666 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 576:93] - node _T_4667 = bits(_T_4666, 0, 0) @[Bitwise.scala 72:15] - node _T_4668 = mux(_T_4667, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 576:124] - node _T_4670 = cat(_T_4668, _T_4669) @[Cat.scala 29:58] - node _T_4671 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 577:21] - node _T_4672 = mux(_T_4648, _T_4650, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4673 = mux(_T_4652, _T_4654, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4674 = mux(_T_4657, _T_4662, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4675 = mux(_T_4665, _T_4670, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4676 = mux(_T_4671, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4677 = or(_T_4672, _T_4673) @[Mux.scala 27:72] - node _T_4678 = or(_T_4677, _T_4674) @[Mux.scala 27:72] - node _T_4679 = or(_T_4678, _T_4675) @[Mux.scala 27:72] - node _T_4680 = or(_T_4679, _T_4676) @[Mux.scala 27:72] - wire _T_4681 : UInt<64> @[Mux.scala 27:72] - _T_4681 <= _T_4680 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4681 @[el2_lsu_bus_buffer.scala 573:29] - node _T_4682 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:62] - node _T_4683 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 578:89] - node _T_4684 = and(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 578:73] - node _T_4685 = and(_T_4684, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 578:93] - node _T_4686 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:62] - node _T_4687 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 578:89] - node _T_4688 = and(_T_4686, _T_4687) @[el2_lsu_bus_buffer.scala 578:73] - node _T_4689 = and(_T_4688, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 578:93] - node _T_4690 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:62] - node _T_4691 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 578:89] - node _T_4692 = and(_T_4690, _T_4691) @[el2_lsu_bus_buffer.scala 578:73] - node _T_4693 = and(_T_4692, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 578:93] - node _T_4694 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:62] - node _T_4695 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 578:89] - node _T_4696 = and(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 578:73] - node _T_4697 = and(_T_4696, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 578:93] - node _T_4698 = or(_T_4685, _T_4689) @[el2_lsu_bus_buffer.scala 578:141] - node _T_4699 = or(_T_4698, _T_4693) @[el2_lsu_bus_buffer.scala 578:141] - node _T_4700 = or(_T_4699, _T_4697) @[el2_lsu_bus_buffer.scala 578:141] - bus_sideeffect_pend <= _T_4700 @[el2_lsu_bus_buffer.scala 578:23] - node _T_4701 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:71] - node _T_4702 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 580:25] - node _T_4703 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 580:50] - node _T_4704 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 580:70] - node _T_4705 = eq(_T_4703, _T_4704) @[el2_lsu_bus_buffer.scala 580:56] - node _T_4706 = and(_T_4702, _T_4705) @[el2_lsu_bus_buffer.scala 580:38] - node _T_4707 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:92] - node _T_4708 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:126] - node _T_4709 = and(obuf_merge, _T_4708) @[el2_lsu_bus_buffer.scala 580:114] - node _T_4710 = or(_T_4707, _T_4709) @[el2_lsu_bus_buffer.scala 580:100] - node _T_4711 = eq(_T_4710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:80] - node _T_4712 = and(_T_4706, _T_4711) @[el2_lsu_bus_buffer.scala 580:78] - node _T_4713 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:71] - node _T_4714 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 580:25] - node _T_4715 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 580:50] - node _T_4716 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 580:70] - node _T_4717 = eq(_T_4715, _T_4716) @[el2_lsu_bus_buffer.scala 580:56] - node _T_4718 = and(_T_4714, _T_4717) @[el2_lsu_bus_buffer.scala 580:38] - node _T_4719 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 580:92] - node _T_4720 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 580:126] - node _T_4721 = and(obuf_merge, _T_4720) @[el2_lsu_bus_buffer.scala 580:114] - node _T_4722 = or(_T_4719, _T_4721) @[el2_lsu_bus_buffer.scala 580:100] - node _T_4723 = eq(_T_4722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:80] - node _T_4724 = and(_T_4718, _T_4723) @[el2_lsu_bus_buffer.scala 580:78] - node _T_4725 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:71] - node _T_4726 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 580:25] - node _T_4727 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 580:50] - node _T_4728 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 580:70] - node _T_4729 = eq(_T_4727, _T_4728) @[el2_lsu_bus_buffer.scala 580:56] - node _T_4730 = and(_T_4726, _T_4729) @[el2_lsu_bus_buffer.scala 580:38] - node _T_4731 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 580:92] - node _T_4732 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 580:126] - node _T_4733 = and(obuf_merge, _T_4732) @[el2_lsu_bus_buffer.scala 580:114] - node _T_4734 = or(_T_4731, _T_4733) @[el2_lsu_bus_buffer.scala 580:100] - node _T_4735 = eq(_T_4734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:80] - node _T_4736 = and(_T_4730, _T_4735) @[el2_lsu_bus_buffer.scala 580:78] - node _T_4737 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:71] - node _T_4738 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 580:25] - node _T_4739 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 580:50] - node _T_4740 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 580:70] - node _T_4741 = eq(_T_4739, _T_4740) @[el2_lsu_bus_buffer.scala 580:56] - node _T_4742 = and(_T_4738, _T_4741) @[el2_lsu_bus_buffer.scala 580:38] - node _T_4743 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 580:92] - node _T_4744 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 580:126] - node _T_4745 = and(obuf_merge, _T_4744) @[el2_lsu_bus_buffer.scala 580:114] - node _T_4746 = or(_T_4743, _T_4745) @[el2_lsu_bus_buffer.scala 580:100] - node _T_4747 = eq(_T_4746, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:80] - node _T_4748 = and(_T_4742, _T_4747) @[el2_lsu_bus_buffer.scala 580:78] - node _T_4749 = mux(_T_4701, _T_4712, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4750 = mux(_T_4713, _T_4724, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4751 = mux(_T_4725, _T_4736, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4752 = mux(_T_4737, _T_4748, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4753 = or(_T_4749, _T_4750) @[Mux.scala 27:72] - node _T_4754 = or(_T_4753, _T_4751) @[Mux.scala 27:72] - node _T_4755 = or(_T_4754, _T_4752) @[Mux.scala 27:72] - wire _T_4756 : UInt<1> @[Mux.scala 27:72] - _T_4756 <= _T_4755 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4756 @[el2_lsu_bus_buffer.scala 579:26] - node _T_4757 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 582:54] - node _T_4758 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 582:75] - node _T_4759 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 582:150] - node _T_4760 = mux(_T_4757, _T_4758, _T_4759) @[el2_lsu_bus_buffer.scala 582:39] - node _T_4761 = mux(obuf_write, _T_4760, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 582:23] - bus_cmd_ready <= _T_4761 @[el2_lsu_bus_buffer.scala 582:17] - node _T_4762 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 583:39] - bus_wcmd_sent <= _T_4762 @[el2_lsu_bus_buffer.scala 583:17] - node _T_4763 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 584:39] - bus_wdata_sent <= _T_4763 @[el2_lsu_bus_buffer.scala 584:18] - node _T_4764 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 585:35] - node _T_4765 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 585:70] - node _T_4766 = and(_T_4764, _T_4765) @[el2_lsu_bus_buffer.scala 585:52] - node _T_4767 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 585:111] - node _T_4768 = or(_T_4766, _T_4767) @[el2_lsu_bus_buffer.scala 585:89] - bus_cmd_sent <= _T_4768 @[el2_lsu_bus_buffer.scala 585:16] - node _T_4769 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 586:37] - bus_rsp_read <= _T_4769 @[el2_lsu_bus_buffer.scala 586:16] - node _T_4770 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 587:38] - bus_rsp_write <= _T_4770 @[el2_lsu_bus_buffer.scala 587:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 588:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 589:21] - node _T_4771 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:60] - node _T_4772 = and(bus_rsp_write, _T_4771) @[el2_lsu_bus_buffer.scala 590:40] - bus_rsp_write_error <= _T_4772 @[el2_lsu_bus_buffer.scala 590:23] - node _T_4773 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:58] - node _T_4774 = and(bus_rsp_read, _T_4773) @[el2_lsu_bus_buffer.scala 591:38] - bus_rsp_read_error <= _T_4774 @[el2_lsu_bus_buffer.scala 591:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 592:17] - node _T_4775 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 595:36] - node _T_4776 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:51] - node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 595:49] - node _T_4778 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:68] - node _T_4779 = and(_T_4777, _T_4778) @[el2_lsu_bus_buffer.scala 595:66] - io.lsu_axi_awvalid <= _T_4779 @[el2_lsu_bus_buffer.scala 595:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 596:19] - node _T_4780 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 597:69] - node _T_4781 = cat(_T_4780, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4782 = mux(obuf_sideeffect, obuf_addr, _T_4781) @[el2_lsu_bus_buffer.scala 597:27] - io.lsu_axi_awaddr <= _T_4782 @[el2_lsu_bus_buffer.scala 597:21] - node _T_4783 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4784 = mux(obuf_sideeffect, _T_4783, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 598:27] - io.lsu_axi_awsize <= _T_4784 @[el2_lsu_bus_buffer.scala 598:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 599:21] - node _T_4785 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 600:28] - io.lsu_axi_awcache <= _T_4785 @[el2_lsu_bus_buffer.scala 600:22] - node _T_4786 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 601:35] - io.lsu_axi_awregion <= _T_4786 @[el2_lsu_bus_buffer.scala 601:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 602:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 603:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 604:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:21] - node _T_4787 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 607:35] - node _T_4788 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4789 = and(_T_4787, _T_4788) @[el2_lsu_bus_buffer.scala 607:48] - node _T_4790 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:68] - node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 607:66] - io.lsu_axi_wvalid <= _T_4791 @[el2_lsu_bus_buffer.scala 607:21] - node _T_4792 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4793 = mux(_T_4792, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4794 = and(obuf_byteen, _T_4793) @[el2_lsu_bus_buffer.scala 608:35] - io.lsu_axi_wstrb <= _T_4794 @[el2_lsu_bus_buffer.scala 608:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 609:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 610:20] - node _T_4795 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:38] - node _T_4796 = and(obuf_valid, _T_4795) @[el2_lsu_bus_buffer.scala 612:36] - node _T_4797 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:52] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 612:50] - node _T_4799 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:67] - node _T_4800 = and(_T_4798, _T_4799) @[el2_lsu_bus_buffer.scala 612:65] - io.lsu_axi_arvalid <= _T_4800 @[el2_lsu_bus_buffer.scala 612:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 613:19] - node _T_4801 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:69] - node _T_4802 = cat(_T_4801, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4803 = mux(obuf_sideeffect, obuf_addr, _T_4802) @[el2_lsu_bus_buffer.scala 614:27] - io.lsu_axi_araddr <= _T_4803 @[el2_lsu_bus_buffer.scala 614:21] - node _T_4804 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4805 = mux(obuf_sideeffect, _T_4804, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:27] - io.lsu_axi_arsize <= _T_4805 @[el2_lsu_bus_buffer.scala 615:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 616:21] - node _T_4806 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 617:28] - io.lsu_axi_arcache <= _T_4806 @[el2_lsu_bus_buffer.scala 617:22] - node _T_4807 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 618:35] - io.lsu_axi_arregion <= _T_4807 @[el2_lsu_bus_buffer.scala 618:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 619:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 620:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 621:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 623:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 624:21] - node _T_4808 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:81] - node _T_4809 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 625:125] - node _T_4810 = and(io.lsu_bus_clk_en_q, _T_4809) @[el2_lsu_bus_buffer.scala 625:114] - node _T_4811 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 625:140] - node _T_4812 = and(_T_4810, _T_4811) @[el2_lsu_bus_buffer.scala 625:129] - node _T_4813 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:81] - node _T_4814 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 625:125] - node _T_4815 = and(io.lsu_bus_clk_en_q, _T_4814) @[el2_lsu_bus_buffer.scala 625:114] - node _T_4816 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 625:140] - node _T_4817 = and(_T_4815, _T_4816) @[el2_lsu_bus_buffer.scala 625:129] - node _T_4818 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:81] - node _T_4819 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 625:125] - node _T_4820 = and(io.lsu_bus_clk_en_q, _T_4819) @[el2_lsu_bus_buffer.scala 625:114] - node _T_4821 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 625:140] - node _T_4822 = and(_T_4820, _T_4821) @[el2_lsu_bus_buffer.scala 625:129] - node _T_4823 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:81] - node _T_4824 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 625:125] - node _T_4825 = and(io.lsu_bus_clk_en_q, _T_4824) @[el2_lsu_bus_buffer.scala 625:114] - node _T_4826 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 625:140] - node _T_4827 = and(_T_4825, _T_4826) @[el2_lsu_bus_buffer.scala 625:129] - node _T_4828 = mux(_T_4808, _T_4812, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4829 = mux(_T_4813, _T_4817, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4830 = mux(_T_4818, _T_4822, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4831 = mux(_T_4823, _T_4827, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4832 = or(_T_4828, _T_4829) @[Mux.scala 27:72] - node _T_4833 = or(_T_4832, _T_4830) @[Mux.scala 27:72] - node _T_4834 = or(_T_4833, _T_4831) @[Mux.scala 27:72] - wire _T_4835 : UInt<1> @[Mux.scala 27:72] - _T_4835 <= _T_4834 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4835 @[el2_lsu_bus_buffer.scala 625:36] - node _T_4836 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:87] - node _T_4837 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 626:109] - node _T_4838 = and(_T_4836, _T_4837) @[el2_lsu_bus_buffer.scala 626:98] - node _T_4839 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 626:124] - node _T_4840 = and(_T_4838, _T_4839) @[el2_lsu_bus_buffer.scala 626:113] - node _T_4841 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:87] - node _T_4842 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 626:109] - node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 626:98] - node _T_4844 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 626:124] - node _T_4845 = and(_T_4843, _T_4844) @[el2_lsu_bus_buffer.scala 626:113] - node _T_4846 = mux(_T_4840, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4847 = mux(_T_4845, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4848 = or(_T_4846, _T_4847) @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4645 @[Mux.scala 27:72] + node _T_4646 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4647 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 570:121] + node lsu_nonblock_data_unalgn = dshr(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 570:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 571:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 572:14] + node _T_4648 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:69] + node _T_4649 = and(lsu_nonblock_load_data_ready, _T_4648) @[el2_lsu_bus_buffer.scala 573:67] + io.lsu_nonblock_load_data_valid <= _T_4649 @[el2_lsu_bus_buffer.scala 573:35] + node _T_4650 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:81] + node _T_4651 = and(lsu_nonblock_unsign, _T_4650) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4652 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 574:131] + node _T_4653 = cat(UInt<24>("h00"), _T_4652) @[Cat.scala 29:58] + node _T_4654 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:45] + node _T_4655 = and(lsu_nonblock_unsign, _T_4654) @[el2_lsu_bus_buffer.scala 575:26] + node _T_4656 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 575:95] + node _T_4657 = cat(UInt<16>("h00"), _T_4656) @[Cat.scala 29:58] + node _T_4658 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:6] + node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:45] + node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 576:27] + node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4662 = bits(_T_4661, 0, 0) @[Bitwise.scala 72:15] + node _T_4663 = mux(_T_4662, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 576:123] + node _T_4665 = cat(_T_4663, _T_4664) @[Cat.scala 29:58] + node _T_4666 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:6] + node _T_4667 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 577:45] + node _T_4668 = and(_T_4666, _T_4667) @[el2_lsu_bus_buffer.scala 577:27] + node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4670 = bits(_T_4669, 0, 0) @[Bitwise.scala 72:15] + node _T_4671 = mux(_T_4670, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 577:124] + node _T_4673 = cat(_T_4671, _T_4672) @[Cat.scala 29:58] + node _T_4674 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 578:21] + node _T_4675 = mux(_T_4651, _T_4653, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4676 = mux(_T_4655, _T_4657, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4677 = mux(_T_4660, _T_4665, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4678 = mux(_T_4668, _T_4673, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4674, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = or(_T_4675, _T_4676) @[Mux.scala 27:72] + node _T_4681 = or(_T_4680, _T_4677) @[Mux.scala 27:72] + node _T_4682 = or(_T_4681, _T_4678) @[Mux.scala 27:72] + node _T_4683 = or(_T_4682, _T_4679) @[Mux.scala 27:72] + wire _T_4684 : UInt<64> @[Mux.scala 27:72] + _T_4684 <= _T_4683 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4684 @[el2_lsu_bus_buffer.scala 574:29] + node _T_4685 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] + node _T_4686 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 579:89] + node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 579:73] + node _T_4688 = and(_T_4687, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] + node _T_4689 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] + node _T_4690 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 579:89] + node _T_4691 = and(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 579:73] + node _T_4692 = and(_T_4691, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] + node _T_4693 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] + node _T_4694 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 579:89] + node _T_4695 = and(_T_4693, _T_4694) @[el2_lsu_bus_buffer.scala 579:73] + node _T_4696 = and(_T_4695, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] + node _T_4697 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] + node _T_4698 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 579:89] + node _T_4699 = and(_T_4697, _T_4698) @[el2_lsu_bus_buffer.scala 579:73] + node _T_4700 = and(_T_4699, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] + node _T_4701 = or(_T_4688, _T_4692) @[el2_lsu_bus_buffer.scala 579:141] + node _T_4702 = or(_T_4701, _T_4696) @[el2_lsu_bus_buffer.scala 579:141] + node _T_4703 = or(_T_4702, _T_4700) @[el2_lsu_bus_buffer.scala 579:141] + bus_sideeffect_pend <= _T_4703 @[el2_lsu_bus_buffer.scala 579:23] + node _T_4704 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] + node _T_4705 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] + node _T_4706 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] + node _T_4707 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] + node _T_4708 = eq(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 581:56] + node _T_4709 = and(_T_4705, _T_4708) @[el2_lsu_bus_buffer.scala 581:38] + node _T_4710 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:92] + node _T_4711 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:126] + node _T_4712 = and(obuf_merge, _T_4711) @[el2_lsu_bus_buffer.scala 581:114] + node _T_4713 = or(_T_4710, _T_4712) @[el2_lsu_bus_buffer.scala 581:100] + node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] + node _T_4715 = and(_T_4709, _T_4714) @[el2_lsu_bus_buffer.scala 581:78] + node _T_4716 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] + node _T_4717 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] + node _T_4718 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] + node _T_4719 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] + node _T_4720 = eq(_T_4718, _T_4719) @[el2_lsu_bus_buffer.scala 581:56] + node _T_4721 = and(_T_4717, _T_4720) @[el2_lsu_bus_buffer.scala 581:38] + node _T_4722 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 581:92] + node _T_4723 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 581:126] + node _T_4724 = and(obuf_merge, _T_4723) @[el2_lsu_bus_buffer.scala 581:114] + node _T_4725 = or(_T_4722, _T_4724) @[el2_lsu_bus_buffer.scala 581:100] + node _T_4726 = eq(_T_4725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] + node _T_4727 = and(_T_4721, _T_4726) @[el2_lsu_bus_buffer.scala 581:78] + node _T_4728 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] + node _T_4729 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] + node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] + node _T_4731 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] + node _T_4732 = eq(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 581:56] + node _T_4733 = and(_T_4729, _T_4732) @[el2_lsu_bus_buffer.scala 581:38] + node _T_4734 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 581:92] + node _T_4735 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 581:126] + node _T_4736 = and(obuf_merge, _T_4735) @[el2_lsu_bus_buffer.scala 581:114] + node _T_4737 = or(_T_4734, _T_4736) @[el2_lsu_bus_buffer.scala 581:100] + node _T_4738 = eq(_T_4737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] + node _T_4739 = and(_T_4733, _T_4738) @[el2_lsu_bus_buffer.scala 581:78] + node _T_4740 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] + node _T_4741 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] + node _T_4742 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] + node _T_4743 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] + node _T_4744 = eq(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 581:56] + node _T_4745 = and(_T_4741, _T_4744) @[el2_lsu_bus_buffer.scala 581:38] + node _T_4746 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 581:92] + node _T_4747 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 581:126] + node _T_4748 = and(obuf_merge, _T_4747) @[el2_lsu_bus_buffer.scala 581:114] + node _T_4749 = or(_T_4746, _T_4748) @[el2_lsu_bus_buffer.scala 581:100] + node _T_4750 = eq(_T_4749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] + node _T_4751 = and(_T_4745, _T_4750) @[el2_lsu_bus_buffer.scala 581:78] + node _T_4752 = mux(_T_4704, _T_4715, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4753 = mux(_T_4716, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4754 = mux(_T_4728, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4755 = mux(_T_4740, _T_4751, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4756 = or(_T_4752, _T_4753) @[Mux.scala 27:72] + node _T_4757 = or(_T_4756, _T_4754) @[Mux.scala 27:72] + node _T_4758 = or(_T_4757, _T_4755) @[Mux.scala 27:72] + wire _T_4759 : UInt<1> @[Mux.scala 27:72] + _T_4759 <= _T_4758 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4759 @[el2_lsu_bus_buffer.scala 580:26] + node _T_4760 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 583:54] + node _T_4761 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 583:75] + node _T_4762 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 583:150] + node _T_4763 = mux(_T_4760, _T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 583:39] + node _T_4764 = mux(obuf_write, _T_4763, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 583:23] + bus_cmd_ready <= _T_4764 @[el2_lsu_bus_buffer.scala 583:17] + node _T_4765 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 584:39] + bus_wcmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 584:17] + node _T_4766 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 585:39] + bus_wdata_sent <= _T_4766 @[el2_lsu_bus_buffer.scala 585:18] + node _T_4767 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 586:35] + node _T_4768 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 586:70] + node _T_4769 = and(_T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 586:52] + node _T_4770 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 586:111] + node _T_4771 = or(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 586:89] + bus_cmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 586:16] + node _T_4772 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 587:37] + bus_rsp_read <= _T_4772 @[el2_lsu_bus_buffer.scala 587:16] + node _T_4773 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 588:38] + bus_rsp_write <= _T_4773 @[el2_lsu_bus_buffer.scala 588:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 589:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 590:21] + node _T_4774 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:60] + node _T_4775 = and(bus_rsp_write, _T_4774) @[el2_lsu_bus_buffer.scala 591:40] + bus_rsp_write_error <= _T_4775 @[el2_lsu_bus_buffer.scala 591:23] + node _T_4776 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:58] + node _T_4777 = and(bus_rsp_read, _T_4776) @[el2_lsu_bus_buffer.scala 592:38] + bus_rsp_read_error <= _T_4777 @[el2_lsu_bus_buffer.scala 592:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 593:17] + node _T_4778 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 596:36] + node _T_4779 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:51] + node _T_4780 = and(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 596:49] + node _T_4781 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:68] + node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 596:66] + io.lsu_axi_awvalid <= _T_4782 @[el2_lsu_bus_buffer.scala 596:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 597:19] + node _T_4783 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 598:69] + node _T_4784 = cat(_T_4783, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4785 = mux(obuf_sideeffect, obuf_addr, _T_4784) @[el2_lsu_bus_buffer.scala 598:27] + io.lsu_axi_awaddr <= _T_4785 @[el2_lsu_bus_buffer.scala 598:21] + node _T_4786 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4787 = mux(obuf_sideeffect, _T_4786, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 599:27] + io.lsu_axi_awsize <= _T_4787 @[el2_lsu_bus_buffer.scala 599:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 600:21] + node _T_4788 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 601:28] + io.lsu_axi_awcache <= _T_4788 @[el2_lsu_bus_buffer.scala 601:22] + node _T_4789 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 602:35] + io.lsu_axi_awregion <= _T_4789 @[el2_lsu_bus_buffer.scala 602:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 603:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 604:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 606:21] + node _T_4790 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 608:35] + node _T_4791 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:50] + node _T_4792 = and(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 608:48] + node _T_4793 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:68] + node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 608:66] + io.lsu_axi_wvalid <= _T_4794 @[el2_lsu_bus_buffer.scala 608:21] + node _T_4795 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4796 = mux(_T_4795, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4797 = and(obuf_byteen, _T_4796) @[el2_lsu_bus_buffer.scala 609:35] + io.lsu_axi_wstrb <= _T_4797 @[el2_lsu_bus_buffer.scala 609:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 610:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 611:20] + node _T_4798 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:38] + node _T_4799 = and(obuf_valid, _T_4798) @[el2_lsu_bus_buffer.scala 613:36] + node _T_4800 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:52] + node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 613:50] + node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:67] + node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 613:65] + io.lsu_axi_arvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 613:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 614:19] + node _T_4804 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:69] + node _T_4805 = cat(_T_4804, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4806 = mux(obuf_sideeffect, obuf_addr, _T_4805) @[el2_lsu_bus_buffer.scala 615:27] + io.lsu_axi_araddr <= _T_4806 @[el2_lsu_bus_buffer.scala 615:21] + node _T_4807 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4808 = mux(obuf_sideeffect, _T_4807, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 616:27] + io.lsu_axi_arsize <= _T_4808 @[el2_lsu_bus_buffer.scala 616:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 617:21] + node _T_4809 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 618:28] + io.lsu_axi_arcache <= _T_4809 @[el2_lsu_bus_buffer.scala 618:22] + node _T_4810 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 619:35] + io.lsu_axi_arregion <= _T_4810 @[el2_lsu_bus_buffer.scala 619:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 620:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 621:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 623:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 624:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 625:21] + node _T_4811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] + node _T_4812 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 626:125] + node _T_4813 = and(io.lsu_bus_clk_en_q, _T_4812) @[el2_lsu_bus_buffer.scala 626:114] + node _T_4814 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 626:140] + node _T_4815 = and(_T_4813, _T_4814) @[el2_lsu_bus_buffer.scala 626:129] + node _T_4816 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] + node _T_4817 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 626:125] + node _T_4818 = and(io.lsu_bus_clk_en_q, _T_4817) @[el2_lsu_bus_buffer.scala 626:114] + node _T_4819 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 626:140] + node _T_4820 = and(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 626:129] + node _T_4821 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] + node _T_4822 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 626:125] + node _T_4823 = and(io.lsu_bus_clk_en_q, _T_4822) @[el2_lsu_bus_buffer.scala 626:114] + node _T_4824 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 626:140] + node _T_4825 = and(_T_4823, _T_4824) @[el2_lsu_bus_buffer.scala 626:129] + node _T_4826 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] + node _T_4827 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 626:125] + node _T_4828 = and(io.lsu_bus_clk_en_q, _T_4827) @[el2_lsu_bus_buffer.scala 626:114] + node _T_4829 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 626:140] + node _T_4830 = and(_T_4828, _T_4829) @[el2_lsu_bus_buffer.scala 626:129] + node _T_4831 = mux(_T_4811, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4832 = mux(_T_4816, _T_4820, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4833 = mux(_T_4821, _T_4825, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4834 = mux(_T_4826, _T_4830, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4835 = or(_T_4831, _T_4832) @[Mux.scala 27:72] + node _T_4836 = or(_T_4835, _T_4833) @[Mux.scala 27:72] + node _T_4837 = or(_T_4836, _T_4834) @[Mux.scala 27:72] + wire _T_4838 : UInt<1> @[Mux.scala 27:72] + _T_4838 <= _T_4837 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4838 @[el2_lsu_bus_buffer.scala 626:36] + node _T_4839 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 627:87] + node _T_4840 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 627:109] + node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 627:98] + node _T_4842 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 627:124] + node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 627:113] + node _T_4844 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 627:87] + node _T_4845 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 627:109] + node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 627:98] + node _T_4847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 627:124] + node _T_4848 = and(_T_4846, _T_4847) @[el2_lsu_bus_buffer.scala 627:113] + node _T_4849 = mux(_T_4843, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4850 = mux(_T_4848, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4851 = or(_T_4849, _T_4850) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4848 @[Mux.scala 27:72] - node _T_4849 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 628:72] - node _T_4850 = and(io.lsu_nonblock_load_data_error, _T_4849) @[el2_lsu_bus_buffer.scala 628:70] - io.lsu_imprecise_error_load_any <= _T_4850 @[el2_lsu_bus_buffer.scala 628:35] - node _T_4851 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4852 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4853 = mux(_T_4851, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4854 = mux(_T_4852, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4855 = or(_T_4853, _T_4854) @[Mux.scala 27:72] - wire _T_4856 : UInt<32> @[Mux.scala 27:72] - _T_4856 <= _T_4855 @[Mux.scala 27:72] - node _T_4857 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4858 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4859 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4861 = mux(_T_4857, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4862 = mux(_T_4858, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4863 = mux(_T_4859, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4864 = mux(_T_4860, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4865 = or(_T_4861, _T_4862) @[Mux.scala 27:72] - node _T_4866 = or(_T_4865, _T_4863) @[Mux.scala 27:72] - node _T_4867 = or(_T_4866, _T_4864) @[Mux.scala 27:72] - wire _T_4868 : UInt<32> @[Mux.scala 27:72] - _T_4868 <= _T_4867 @[Mux.scala 27:72] - node _T_4869 = mux(io.lsu_imprecise_error_store_any, _T_4856, _T_4868) @[el2_lsu_bus_buffer.scala 629:41] - io.lsu_imprecise_error_addr_any <= _T_4869 @[el2_lsu_bus_buffer.scala 629:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 632:23] - node _T_4870 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 635:46] - node _T_4871 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 635:89] - node _T_4872 = or(_T_4870, _T_4871) @[el2_lsu_bus_buffer.scala 635:68] - node _T_4873 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 635:132] - node _T_4874 = or(_T_4872, _T_4873) @[el2_lsu_bus_buffer.scala 635:110] - io.lsu_pmu_bus_trxn <= _T_4874 @[el2_lsu_bus_buffer.scala 635:23] - node _T_4875 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 636:48] - node _T_4876 = and(_T_4875, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 636:65] - io.lsu_pmu_bus_misaligned <= _T_4876 @[el2_lsu_bus_buffer.scala 636:29] - node _T_4877 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 637:59] - io.lsu_pmu_bus_error <= _T_4877 @[el2_lsu_bus_buffer.scala 637:24] - node _T_4878 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:48] - node _T_4879 = and(io.lsu_axi_awvalid, _T_4878) @[el2_lsu_bus_buffer.scala 639:46] - node _T_4880 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:92] - node _T_4881 = and(io.lsu_axi_wvalid, _T_4880) @[el2_lsu_bus_buffer.scala 639:90] - node _T_4882 = or(_T_4879, _T_4881) @[el2_lsu_bus_buffer.scala 639:69] - node _T_4883 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:136] - node _T_4884 = and(io.lsu_axi_arvalid, _T_4883) @[el2_lsu_bus_buffer.scala 639:134] - node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 639:112] - io.lsu_pmu_bus_busy <= _T_4885 @[el2_lsu_bus_buffer.scala 639:23] - reg _T_4886 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 641:49] - _T_4886 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 641:49] - WrPtr0_r <= _T_4886 @[el2_lsu_bus_buffer.scala 641:12] - reg _T_4887 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 642:49] - _T_4887 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 642:49] - WrPtr1_r <= _T_4887 @[el2_lsu_bus_buffer.scala 642:12] - node _T_4888 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:75] - node _T_4889 = and(io.lsu_busreq_m, _T_4888) @[el2_lsu_bus_buffer.scala 643:73] - node _T_4890 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:89] - node _T_4891 = and(_T_4889, _T_4890) @[el2_lsu_bus_buffer.scala 643:87] - reg _T_4892 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 643:56] - _T_4892 <= _T_4891 @[el2_lsu_bus_buffer.scala 643:56] - io.lsu_busreq_r <= _T_4892 @[el2_lsu_bus_buffer.scala 643:19] - reg _T_4893 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 644:66] - _T_4893 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 644:66] - lsu_nonblock_load_valid_r <= _T_4893 @[el2_lsu_bus_buffer.scala 644:29] + lsu_imprecise_error_store_tag <= _T_4851 @[Mux.scala 27:72] + node _T_4852 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 629:72] + node _T_4853 = and(io.lsu_nonblock_load_data_error, _T_4852) @[el2_lsu_bus_buffer.scala 629:70] + io.lsu_imprecise_error_load_any <= _T_4853 @[el2_lsu_bus_buffer.scala 629:35] + node _T_4854 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4855 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4856 = mux(_T_4854, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4857 = mux(_T_4855, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4858 = or(_T_4856, _T_4857) @[Mux.scala 27:72] + wire _T_4859 : UInt<32> @[Mux.scala 27:72] + _T_4859 <= _T_4858 @[Mux.scala 27:72] + node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4861 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4862 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4864 = mux(_T_4860, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4865 = mux(_T_4861, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4866 = mux(_T_4862, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4867 = mux(_T_4863, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4868 = or(_T_4864, _T_4865) @[Mux.scala 27:72] + node _T_4869 = or(_T_4868, _T_4866) @[Mux.scala 27:72] + node _T_4870 = or(_T_4869, _T_4867) @[Mux.scala 27:72] + wire _T_4871 : UInt<32> @[Mux.scala 27:72] + _T_4871 <= _T_4870 @[Mux.scala 27:72] + node _T_4872 = mux(io.lsu_imprecise_error_store_any, _T_4859, _T_4871) @[el2_lsu_bus_buffer.scala 630:41] + io.lsu_imprecise_error_addr_any <= _T_4872 @[el2_lsu_bus_buffer.scala 630:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 633:23] + node _T_4873 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 636:46] + node _T_4874 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 636:89] + node _T_4875 = or(_T_4873, _T_4874) @[el2_lsu_bus_buffer.scala 636:68] + node _T_4876 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 636:132] + node _T_4877 = or(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 636:110] + io.lsu_pmu_bus_trxn <= _T_4877 @[el2_lsu_bus_buffer.scala 636:23] + node _T_4878 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 637:48] + node _T_4879 = and(_T_4878, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 637:65] + io.lsu_pmu_bus_misaligned <= _T_4879 @[el2_lsu_bus_buffer.scala 637:29] + node _T_4880 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 638:59] + io.lsu_pmu_bus_error <= _T_4880 @[el2_lsu_bus_buffer.scala 638:24] + node _T_4881 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:48] + node _T_4882 = and(io.lsu_axi_awvalid, _T_4881) @[el2_lsu_bus_buffer.scala 640:46] + node _T_4883 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:92] + node _T_4884 = and(io.lsu_axi_wvalid, _T_4883) @[el2_lsu_bus_buffer.scala 640:90] + node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 640:69] + node _T_4886 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:136] + node _T_4887 = and(io.lsu_axi_arvalid, _T_4886) @[el2_lsu_bus_buffer.scala 640:134] + node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 640:112] + io.lsu_pmu_bus_busy <= _T_4888 @[el2_lsu_bus_buffer.scala 640:23] + reg _T_4889 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 642:49] + _T_4889 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 642:49] + WrPtr0_r <= _T_4889 @[el2_lsu_bus_buffer.scala 642:12] + reg _T_4890 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 643:49] + _T_4890 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 643:49] + WrPtr1_r <= _T_4890 @[el2_lsu_bus_buffer.scala 643:12] + node _T_4891 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 644:75] + node _T_4892 = and(io.lsu_busreq_m, _T_4891) @[el2_lsu_bus_buffer.scala 644:73] + node _T_4893 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 644:89] + node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 644:87] + reg _T_4895 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 644:56] + _T_4895 <= _T_4894 @[el2_lsu_bus_buffer.scala 644:56] + io.lsu_busreq_r <= _T_4895 @[el2_lsu_bus_buffer.scala 644:19] + reg _T_4896 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 645:66] + _T_4896 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 645:66] + lsu_nonblock_load_valid_r <= _T_4896 @[el2_lsu_bus_buffer.scala 645:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index ad0e32f0..80769b5f 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -141,7 +141,7 @@ module el2_lsu_bus_buffer( output [2:0] io_lsu_axi_arprot, output [3:0] io_lsu_axi_arqos, output io_lsu_axi_rready, - output [1:0] io_test, + output [15:0] io_test, output [31:0] io_data_hi, output [31:0] io_data_lo, output [3:0] io_data_en @@ -931,8 +931,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 250:32] wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 244:34] wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 244:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 642:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 641:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 643:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 642:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 260:77] @@ -973,33 +973,33 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4350 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 547:64] - wire _T_4351 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 547:91] - wire _T_4352 = _T_4350 & _T_4351; // @[el2_lsu_bus_buffer.scala 547:89] - wire _T_4345 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 547:64] - wire _T_4346 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 547:91] - wire _T_4347 = _T_4345 & _T_4346; // @[el2_lsu_bus_buffer.scala 547:89] - wire [1:0] _T_4353 = _T_4352 + _T_4347; // @[el2_lsu_bus_buffer.scala 547:142] - wire _T_4340 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 547:64] - wire _T_4341 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 547:91] - wire _T_4342 = _T_4340 & _T_4341; // @[el2_lsu_bus_buffer.scala 547:89] - wire [1:0] _GEN_365 = {{1'd0}, _T_4342}; // @[el2_lsu_bus_buffer.scala 547:142] - wire [2:0] _T_4354 = _T_4353 + _GEN_365; // @[el2_lsu_bus_buffer.scala 547:142] - wire _T_4335 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 547:64] - wire _T_4336 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 547:91] - wire _T_4337 = _T_4335 & _T_4336; // @[el2_lsu_bus_buffer.scala 547:89] - wire [2:0] _GEN_366 = {{2'd0}, _T_4337}; // @[el2_lsu_bus_buffer.scala 547:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4354 + _GEN_366; // @[el2_lsu_bus_buffer.scala 547:142] + wire _T_4353 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 548:64] + wire _T_4354 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 548:91] + wire _T_4355 = _T_4353 & _T_4354; // @[el2_lsu_bus_buffer.scala 548:89] + wire _T_4348 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 548:64] + wire _T_4349 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 548:91] + wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 548:89] + wire [1:0] _T_4356 = _T_4355 + _T_4350; // @[el2_lsu_bus_buffer.scala 548:142] + wire _T_4343 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 548:64] + wire _T_4344 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 548:91] + wire _T_4345 = _T_4343 & _T_4344; // @[el2_lsu_bus_buffer.scala 548:89] + wire [1:0] _GEN_365 = {{1'd0}, _T_4345}; // @[el2_lsu_bus_buffer.scala 548:142] + wire [2:0] _T_4357 = _T_4356 + _GEN_365; // @[el2_lsu_bus_buffer.scala 548:142] + wire _T_4338 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 548:64] + wire _T_4339 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 548:91] + wire _T_4340 = _T_4338 & _T_4339; // @[el2_lsu_bus_buffer.scala 548:89] + wire [2:0] _GEN_366 = {{2'd0}, _T_4340}; // @[el2_lsu_bus_buffer.scala 548:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4357 + _GEN_366; // @[el2_lsu_bus_buffer.scala 548:142] wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 296:43] - wire _T_4367 = _T_2531 & _T_4351; // @[el2_lsu_bus_buffer.scala 548:73] - wire _T_4364 = _T_2527 & _T_4346; // @[el2_lsu_bus_buffer.scala 548:73] - wire [1:0] _T_4368 = _T_4367 + _T_4364; // @[el2_lsu_bus_buffer.scala 548:126] - wire _T_4361 = _T_2523 & _T_4341; // @[el2_lsu_bus_buffer.scala 548:73] - wire [1:0] _GEN_367 = {{1'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 548:126] - wire [2:0] _T_4369 = _T_4368 + _GEN_367; // @[el2_lsu_bus_buffer.scala 548:126] - wire _T_4358 = _T_2519 & _T_4336; // @[el2_lsu_bus_buffer.scala 548:73] - wire [2:0] _GEN_368 = {{2'd0}, _T_4358}; // @[el2_lsu_bus_buffer.scala 548:126] - wire [3:0] buf_numvld_cmd_any = _T_4369 + _GEN_368; // @[el2_lsu_bus_buffer.scala 548:126] + wire _T_4370 = _T_2531 & _T_4354; // @[el2_lsu_bus_buffer.scala 549:73] + wire _T_4367 = _T_2527 & _T_4349; // @[el2_lsu_bus_buffer.scala 549:73] + wire [1:0] _T_4371 = _T_4370 + _T_4367; // @[el2_lsu_bus_buffer.scala 549:126] + wire _T_4364 = _T_2523 & _T_4344; // @[el2_lsu_bus_buffer.scala 549:73] + wire [1:0] _GEN_367 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 549:126] + wire [2:0] _T_4372 = _T_4371 + _GEN_367; // @[el2_lsu_bus_buffer.scala 549:126] + wire _T_4361 = _T_2519 & _T_4339; // @[el2_lsu_bus_buffer.scala 549:73] + wire [2:0] _GEN_368 = {{2'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 549:126] + wire [3:0] buf_numvld_cmd_any = _T_4372 + _GEN_368; // @[el2_lsu_bus_buffer.scala 549:126] wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 296:72] wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 296:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 393:54] @@ -1029,59 +1029,59 @@ module el2_lsu_bus_buffer( wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 299:95] wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 299:79] wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 299:121] - wire _T_4386 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 549:63] - wire _T_4390 = _T_4386 | _T_4367; // @[el2_lsu_bus_buffer.scala 549:74] - wire _T_4381 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 549:63] - wire _T_4385 = _T_4381 | _T_4364; // @[el2_lsu_bus_buffer.scala 549:74] - wire [1:0] _T_4391 = _T_4390 + _T_4385; // @[el2_lsu_bus_buffer.scala 549:154] - wire _T_4376 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 549:63] - wire _T_4380 = _T_4376 | _T_4361; // @[el2_lsu_bus_buffer.scala 549:74] - wire [1:0] _GEN_369 = {{1'd0}, _T_4380}; // @[el2_lsu_bus_buffer.scala 549:154] - wire [2:0] _T_4392 = _T_4391 + _GEN_369; // @[el2_lsu_bus_buffer.scala 549:154] - wire _T_4371 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 549:63] - wire _T_4375 = _T_4371 | _T_4358; // @[el2_lsu_bus_buffer.scala 549:74] - wire [2:0] _GEN_370 = {{2'd0}, _T_4375}; // @[el2_lsu_bus_buffer.scala 549:154] - wire [3:0] buf_numvld_pend_any = _T_4392 + _GEN_370; // @[el2_lsu_bus_buffer.scala 549:154] + wire _T_4389 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] + wire _T_4393 = _T_4389 | _T_4370; // @[el2_lsu_bus_buffer.scala 550:74] + wire _T_4384 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] + wire _T_4388 = _T_4384 | _T_4367; // @[el2_lsu_bus_buffer.scala 550:74] + wire [1:0] _T_4394 = _T_4393 + _T_4388; // @[el2_lsu_bus_buffer.scala 550:154] + wire _T_4379 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] + wire _T_4383 = _T_4379 | _T_4364; // @[el2_lsu_bus_buffer.scala 550:74] + wire [1:0] _GEN_369 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 550:154] + wire [2:0] _T_4395 = _T_4394 + _GEN_369; // @[el2_lsu_bus_buffer.scala 550:154] + wire _T_4374 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] + wire _T_4378 = _T_4374 | _T_4361; // @[el2_lsu_bus_buffer.scala 550:74] + wire [2:0] _GEN_370 = {{2'd0}, _T_4378}; // @[el2_lsu_bus_buffer.scala 550:154] + wire [3:0] buf_numvld_pend_any = _T_4395 + _GEN_370; // @[el2_lsu_bus_buffer.scala 550:154] wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 302:53] wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 302:31] wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 302:64] wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 302:84] wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 302:61] wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 317:32] - wire _T_4682 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 578:62] - wire _T_4684 = _T_4682 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 578:73] - wire _T_4685 = _T_4684 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 578:93] - wire _T_4686 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 578:62] - wire _T_4688 = _T_4686 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 578:73] - wire _T_4689 = _T_4688 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 578:93] - wire _T_4698 = _T_4685 | _T_4689; // @[el2_lsu_bus_buffer.scala 578:141] - wire _T_4690 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 578:62] - wire _T_4692 = _T_4690 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 578:73] - wire _T_4693 = _T_4692 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 578:93] - wire _T_4699 = _T_4698 | _T_4693; // @[el2_lsu_bus_buffer.scala 578:141] - wire _T_4694 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 578:62] - wire _T_4696 = _T_4694 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 578:73] - wire _T_4697 = _T_4696 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 578:93] - wire bus_sideeffect_pend = _T_4699 | _T_4697; // @[el2_lsu_bus_buffer.scala 578:141] + wire _T_4685 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] + wire _T_4687 = _T_4685 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 579:73] + wire _T_4688 = _T_4687 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] + wire _T_4689 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] + wire _T_4691 = _T_4689 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 579:73] + wire _T_4692 = _T_4691 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] + wire _T_4701 = _T_4688 | _T_4692; // @[el2_lsu_bus_buffer.scala 579:141] + wire _T_4693 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] + wire _T_4695 = _T_4693 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 579:73] + wire _T_4696 = _T_4695 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] + wire _T_4702 = _T_4701 | _T_4696; // @[el2_lsu_bus_buffer.scala 579:141] + wire _T_4697 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] + wire _T_4699 = _T_4697 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 579:73] + wire _T_4700 = _T_4699 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] + wire bus_sideeffect_pend = _T_4702 | _T_4700; // @[el2_lsu_bus_buffer.scala 579:141] wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 317:74] wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 317:52] wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 317:50] wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 405:58] wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 405:45] wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1909 = _T_1907 & _T_4351; // @[el2_lsu_bus_buffer.scala 405:88] + wire _T_1909 = _T_1907 & _T_4354; // @[el2_lsu_bus_buffer.scala 405:88] wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 405:58] wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 405:45] wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1903 = _T_1901 & _T_4346; // @[el2_lsu_bus_buffer.scala 405:88] + wire _T_1903 = _T_1901 & _T_4349; // @[el2_lsu_bus_buffer.scala 405:88] wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 405:58] wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 405:45] wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1897 = _T_1895 & _T_4341; // @[el2_lsu_bus_buffer.scala 405:88] + wire _T_1897 = _T_1895 & _T_4344; // @[el2_lsu_bus_buffer.scala 405:88] wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 405:58] wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 405:45] wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1891 = _T_1889 & _T_4336; // @[el2_lsu_bus_buffer.scala 405:88] + wire _T_1891 = _T_1889 & _T_4339; // @[el2_lsu_bus_buffer.scala 405:88] wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 410:31] wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 318:47] @@ -1112,28 +1112,28 @@ module el2_lsu_bus_buffer( wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 406:83] wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 406:81] wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1955 = _T_1953 & _T_4351; // @[el2_lsu_bus_buffer.scala 406:123] + wire _T_1955 = _T_1953 & _T_4354; // @[el2_lsu_bus_buffer.scala 406:123] wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 406:76] wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 406:45] wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 406:83] wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 406:81] wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1944 = _T_1942 & _T_4346; // @[el2_lsu_bus_buffer.scala 406:123] + wire _T_1944 = _T_1942 & _T_4349; // @[el2_lsu_bus_buffer.scala 406:123] wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 406:76] wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 406:45] wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 406:83] wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 406:81] wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1933 = _T_1931 & _T_4341; // @[el2_lsu_bus_buffer.scala 406:123] + wire _T_1933 = _T_1931 & _T_4344; // @[el2_lsu_bus_buffer.scala 406:123] wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 406:76] wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 406:45] wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 406:83] wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 406:81] wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1922 = _T_1920 & _T_4336; // @[el2_lsu_bus_buffer.scala 406:123] + wire _T_1922 = _T_1920 & _T_4339; // @[el2_lsu_bus_buffer.scala 406:123] wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 411:31] wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 320:181] @@ -1145,10 +1145,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 380:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 381:55] - wire _T_4757 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 582:54] - wire _T_4758 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 582:75] - wire _T_4760 = _T_4757 ? _T_4758 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 582:39] - wire bus_cmd_ready = obuf_write ? _T_4760 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 582:23] + wire _T_4760 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 583:54] + wire _T_4761 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 583:75] + wire _T_4763 = _T_4760 ? _T_4761 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 583:39] + wire bus_cmd_ready = obuf_write ? _T_4763 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 583:23] wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 321:48] wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 321:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1157,52 +1157,52 @@ module el2_lsu_bus_buffer( wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 321:77] wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 321:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4705 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 580:56] - wire _T_4706 = obuf_valid & _T_4705; // @[el2_lsu_bus_buffer.scala 580:38] - wire _T_4708 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 580:126] - wire _T_4709 = obuf_merge & _T_4708; // @[el2_lsu_bus_buffer.scala 580:114] - wire _T_4710 = _T_3471 | _T_4709; // @[el2_lsu_bus_buffer.scala 580:100] - wire _T_4711 = ~_T_4710; // @[el2_lsu_bus_buffer.scala 580:80] - wire _T_4712 = _T_4706 & _T_4711; // @[el2_lsu_bus_buffer.scala 580:78] - wire _T_4749 = _T_4682 & _T_4712; // @[Mux.scala 27:72] - wire _T_4717 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 580:56] - wire _T_4718 = obuf_valid & _T_4717; // @[el2_lsu_bus_buffer.scala 580:38] - wire _T_4720 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 580:126] - wire _T_4721 = obuf_merge & _T_4720; // @[el2_lsu_bus_buffer.scala 580:114] - wire _T_4722 = _T_3664 | _T_4721; // @[el2_lsu_bus_buffer.scala 580:100] - wire _T_4723 = ~_T_4722; // @[el2_lsu_bus_buffer.scala 580:80] - wire _T_4724 = _T_4718 & _T_4723; // @[el2_lsu_bus_buffer.scala 580:78] - wire _T_4750 = _T_4686 & _T_4724; // @[Mux.scala 27:72] - wire _T_4753 = _T_4749 | _T_4750; // @[Mux.scala 27:72] - wire _T_4729 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 580:56] - wire _T_4730 = obuf_valid & _T_4729; // @[el2_lsu_bus_buffer.scala 580:38] - wire _T_4732 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 580:126] - wire _T_4733 = obuf_merge & _T_4732; // @[el2_lsu_bus_buffer.scala 580:114] - wire _T_4734 = _T_3857 | _T_4733; // @[el2_lsu_bus_buffer.scala 580:100] - wire _T_4735 = ~_T_4734; // @[el2_lsu_bus_buffer.scala 580:80] - wire _T_4736 = _T_4730 & _T_4735; // @[el2_lsu_bus_buffer.scala 580:78] - wire _T_4751 = _T_4690 & _T_4736; // @[Mux.scala 27:72] - wire _T_4754 = _T_4753 | _T_4751; // @[Mux.scala 27:72] - wire _T_4741 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 580:56] - wire _T_4742 = obuf_valid & _T_4741; // @[el2_lsu_bus_buffer.scala 580:38] - wire _T_4744 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 580:126] - wire _T_4745 = obuf_merge & _T_4744; // @[el2_lsu_bus_buffer.scala 580:114] - wire _T_4746 = _T_4050 | _T_4745; // @[el2_lsu_bus_buffer.scala 580:100] - wire _T_4747 = ~_T_4746; // @[el2_lsu_bus_buffer.scala 580:80] - wire _T_4748 = _T_4742 & _T_4747; // @[el2_lsu_bus_buffer.scala 580:78] - wire _T_4752 = _T_4694 & _T_4748; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4754 | _T_4752; // @[Mux.scala 27:72] + wire _T_4708 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] + wire _T_4709 = obuf_valid & _T_4708; // @[el2_lsu_bus_buffer.scala 581:38] + wire _T_4711 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 581:126] + wire _T_4712 = obuf_merge & _T_4711; // @[el2_lsu_bus_buffer.scala 581:114] + wire _T_4713 = _T_3471 | _T_4712; // @[el2_lsu_bus_buffer.scala 581:100] + wire _T_4714 = ~_T_4713; // @[el2_lsu_bus_buffer.scala 581:80] + wire _T_4715 = _T_4709 & _T_4714; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4752 = _T_4685 & _T_4715; // @[Mux.scala 27:72] + wire _T_4720 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] + wire _T_4721 = obuf_valid & _T_4720; // @[el2_lsu_bus_buffer.scala 581:38] + wire _T_4723 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 581:126] + wire _T_4724 = obuf_merge & _T_4723; // @[el2_lsu_bus_buffer.scala 581:114] + wire _T_4725 = _T_3664 | _T_4724; // @[el2_lsu_bus_buffer.scala 581:100] + wire _T_4726 = ~_T_4725; // @[el2_lsu_bus_buffer.scala 581:80] + wire _T_4727 = _T_4721 & _T_4726; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4753 = _T_4689 & _T_4727; // @[Mux.scala 27:72] + wire _T_4756 = _T_4752 | _T_4753; // @[Mux.scala 27:72] + wire _T_4732 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] + wire _T_4733 = obuf_valid & _T_4732; // @[el2_lsu_bus_buffer.scala 581:38] + wire _T_4735 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 581:126] + wire _T_4736 = obuf_merge & _T_4735; // @[el2_lsu_bus_buffer.scala 581:114] + wire _T_4737 = _T_3857 | _T_4736; // @[el2_lsu_bus_buffer.scala 581:100] + wire _T_4738 = ~_T_4737; // @[el2_lsu_bus_buffer.scala 581:80] + wire _T_4739 = _T_4733 & _T_4738; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4754 = _T_4693 & _T_4739; // @[Mux.scala 27:72] + wire _T_4757 = _T_4756 | _T_4754; // @[Mux.scala 27:72] + wire _T_4744 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] + wire _T_4745 = obuf_valid & _T_4744; // @[el2_lsu_bus_buffer.scala 581:38] + wire _T_4747 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 581:126] + wire _T_4748 = obuf_merge & _T_4747; // @[el2_lsu_bus_buffer.scala 581:114] + wire _T_4749 = _T_4050 | _T_4748; // @[el2_lsu_bus_buffer.scala 581:100] + wire _T_4750 = ~_T_4749; // @[el2_lsu_bus_buffer.scala 581:80] + wire _T_4751 = _T_4745 & _T_4750; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4755 = _T_4697 & _T_4751; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4757 | _T_4755; // @[Mux.scala 27:72] wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 321:118] wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 321:116] wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 321:142] wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 323:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 583:39] - wire _T_4764 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 585:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 584:39] - wire _T_4765 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 585:70] - wire _T_4766 = _T_4764 & _T_4765; // @[el2_lsu_bus_buffer.scala 585:52] - wire _T_4767 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 585:111] - wire bus_cmd_sent = _T_4766 | _T_4767; // @[el2_lsu_bus_buffer.scala 585:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 584:39] + wire _T_4767 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 586:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 585:39] + wire _T_4768 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 586:70] + wire _T_4769 = _T_4767 & _T_4768; // @[el2_lsu_bus_buffer.scala 586:52] + wire _T_4770 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 586:111] + wire bus_cmd_sent = _T_4769 | _T_4770; // @[el2_lsu_bus_buffer.scala 586:89] wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 323:33] wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 323:65] wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 323:63] @@ -1240,7 +1240,7 @@ module el2_lsu_bus_buffer( wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 356:20] wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 356:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 382:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 586:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 587:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 383:55] wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 356:90] wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 356:70] @@ -1428,17 +1428,6 @@ module el2_lsu_bus_buffer( wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 409:65] wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 409:44] wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 409:70] - wire [7:0] _T_1979 = {4'h0,_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire _T_1982 = _T_1979[4] | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 413:42] - wire _T_1984 = _T_1982 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 413:48] - wire _T_1986 = _T_1984 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 413:54] - wire _T_1989 = _T_1979[2] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 413:67] - wire _T_1991 = _T_1989 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 413:73] - wire _T_1993 = _T_1991 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 413:79] - wire _T_1996 = _T_1979[1] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 413:92] - wire _T_1998 = _T_1996 | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 413:98] - wire _T_2000 = _T_1998 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 413:104] - wire [2:0] _T_2002 = {_T_1986,_T_1993,_T_2000}; // @[Cat.scala 29:58] wire [7:0] _T_2029 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 413:42] wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 413:48] @@ -1465,7 +1454,7 @@ module el2_lsu_bus_buffer( wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 470:183] wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:46] wire _T_3498 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 587:38] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 588:38] wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 495:73] wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 495:52] wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 496:46] @@ -1532,7 +1521,7 @@ module el2_lsu_bus_buffer( wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 435:41] wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:71] wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2067 = _T_4375 | _T_2066; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2067 = _T_4378 | _T_2066; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 436:17] wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 436:35] wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 436:52] @@ -1541,19 +1530,19 @@ module el2_lsu_bus_buffer( wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2092 = _T_4380 | _T_2091; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2092 = _T_4383 | _T_2091; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2117 = _T_4385 | _T_2116; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2117 = _T_4388 | _T_2116; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2142 = _T_4390 | _T_2141; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2142 = _T_4393 | _T_2141; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 433:113] @@ -1624,26 +1613,26 @@ module el2_lsu_bus_buffer( wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 433:94] wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:71] wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2169 = _T_4375 | _T_2168; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2169 = _T_4378 | _T_2168; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 436:52] wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2194 = _T_4380 | _T_2193; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2194 = _T_4383 | _T_2193; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2219 = _T_4385 | _T_2218; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2219 = _T_4388 | _T_2218; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2244 = _T_4390 | _T_2243; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2244 = _T_4393 | _T_2243; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 433:113] @@ -1714,26 +1703,26 @@ module el2_lsu_bus_buffer( wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 433:94] wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:71] wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2271 = _T_4375 | _T_2270; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2271 = _T_4378 | _T_2270; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 436:52] wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2296 = _T_4380 | _T_2295; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2296 = _T_4383 | _T_2295; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2321 = _T_4385 | _T_2320; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2321 = _T_4388 | _T_2320; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2346 = _T_4390 | _T_2345; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2346 = _T_4393 | _T_2345; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 433:113] @@ -1804,26 +1793,26 @@ module el2_lsu_bus_buffer( wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 433:94] wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:71] wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2373 = _T_4375 | _T_2372; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2373 = _T_4378 | _T_2372; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 436:52] wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2398 = _T_4380 | _T_2397; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2398 = _T_4383 | _T_2397; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2423 = _T_4385 | _T_2422; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2423 = _T_4388 | _T_2422; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 433:113] wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 436:97] wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2448 = _T_4390 | _T_2447; // @[el2_lsu_bus_buffer.scala 434:86] + wire _T_2448 = _T_4393 | _T_2447; // @[el2_lsu_bus_buffer.scala 434:86] wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 435:114] wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 433:113] @@ -2002,15 +1991,15 @@ module el2_lsu_bus_buffer( wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] - wire _T_4773 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 591:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4773; // @[el2_lsu_bus_buffer.scala 591:38] + wire _T_4776 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 592:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4776; // @[el2_lsu_bus_buffer.scala 592:38] wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 502:91] wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 503:31] wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 503:46] wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 502:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4773; // @[el2_lsu_bus_buffer.scala 590:40] + wire bus_rsp_write_error = bus_rsp_write & _T_4776; // @[el2_lsu_bus_buffer.scala 591:40] wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 504:53] wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 503:88] wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 502:68] @@ -2030,9 +2019,9 @@ module el2_lsu_bus_buffer( wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 493:90] wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 493:61] - wire _T_4398 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 550:93] - wire _T_4399 = _T_4398 | _T_2649; // @[el2_lsu_bus_buffer.scala 550:93] - wire any_done_wait_state = _T_4399 | _T_2646; // @[el2_lsu_bus_buffer.scala 550:93] + wire _T_4401 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 551:93] + wire _T_4402 = _T_4401 | _T_2649; // @[el2_lsu_bus_buffer.scala 551:93] + wire any_done_wait_state = _T_4402 | _T_2646; // @[el2_lsu_bus_buffer.scala 551:93] wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] @@ -2330,187 +2319,192 @@ module el2_lsu_bus_buffer( wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 544:86] wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 544:128] wire [2:0] _T_4325 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4331 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 546:96] - wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 546:96] - wire [2:0] _T_4332 = _T_4331 + _GEN_391; // @[el2_lsu_bus_buffer.scala 546:96] - wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 546:96] - wire [3:0] buf_numvld_any = _T_4332 + _GEN_392; // @[el2_lsu_bus_buffer.scala 546:96] - wire _T_4402 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 552:52] - wire _T_4403 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 552:92] - wire _T_4404 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 552:119] - wire _T_4406 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 553:52] - wire _T_4407 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 553:52] - wire _T_4408 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 553:52] - wire _T_4409 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 553:52] - wire _T_4410 = _T_4406 | _T_4407; // @[el2_lsu_bus_buffer.scala 553:65] - wire _T_4411 = _T_4410 | _T_4408; // @[el2_lsu_bus_buffer.scala 553:65] - wire _T_4412 = _T_4411 | _T_4409; // @[el2_lsu_bus_buffer.scala 553:65] - wire _T_4413 = ~_T_4412; // @[el2_lsu_bus_buffer.scala 553:34] - wire _T_4415 = _T_4413 & _T_765; // @[el2_lsu_bus_buffer.scala 553:70] - wire _T_4418 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 555:51] - wire _T_4419 = _T_4418 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 555:72] - wire _T_4420 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 555:94] - wire _T_4421 = _T_4419 & _T_4420; // @[el2_lsu_bus_buffer.scala 555:92] - wire _T_4422 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 555:111] - wire _T_4424 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 558:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 644:66] - wire _T_4442 = _T_2708 & _T_1130; // @[Mux.scala 27:72] - wire _T_4443 = _T_2730 & _T_3745; // @[Mux.scala 27:72] - wire _T_4444 = _T_2752 & _T_3938; // @[Mux.scala 27:72] - wire _T_4445 = _T_2774 & _T_4131; // @[Mux.scala 27:72] - wire _T_4446 = _T_4442 | _T_4443; // @[Mux.scala 27:72] - wire _T_4447 = _T_4446 | _T_4444; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4447 | _T_4445; // @[Mux.scala 27:72] - wire _T_4453 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 561:108] - wire _T_4458 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 561:108] - wire _T_4463 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 561:108] - wire _T_4468 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 561:108] - wire _T_4469 = _T_2708 & _T_4453; // @[Mux.scala 27:72] - wire _T_4470 = _T_2730 & _T_4458; // @[Mux.scala 27:72] - wire _T_4471 = _T_2752 & _T_4463; // @[Mux.scala 27:72] - wire _T_4472 = _T_2774 & _T_4468; // @[Mux.scala 27:72] - wire _T_4473 = _T_4469 | _T_4470; // @[Mux.scala 27:72] - wire _T_4474 = _T_4473 | _T_4471; // @[Mux.scala 27:72] - wire _T_4481 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 562:109] - wire _T_4482 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 562:124] - wire _T_4483 = _T_4481 | _T_4482; // @[el2_lsu_bus_buffer.scala 562:122] - wire _T_4484 = _T_4442 & _T_4483; // @[el2_lsu_bus_buffer.scala 562:106] - wire _T_4489 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 562:109] - wire _T_4490 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 562:124] - wire _T_4491 = _T_4489 | _T_4490; // @[el2_lsu_bus_buffer.scala 562:122] - wire _T_4492 = _T_4443 & _T_4491; // @[el2_lsu_bus_buffer.scala 562:106] - wire _T_4497 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 562:109] - wire _T_4498 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 562:124] - wire _T_4499 = _T_4497 | _T_4498; // @[el2_lsu_bus_buffer.scala 562:122] - wire _T_4500 = _T_4444 & _T_4499; // @[el2_lsu_bus_buffer.scala 562:106] - wire _T_4505 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 562:109] - wire _T_4506 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 562:124] - wire _T_4507 = _T_4505 | _T_4506; // @[el2_lsu_bus_buffer.scala 562:122] - wire _T_4508 = _T_4445 & _T_4507; // @[el2_lsu_bus_buffer.scala 562:106] - wire [1:0] _T_4511 = _T_4500 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4512 = _T_4508 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_393 = {{1'd0}, _T_4492}; // @[Mux.scala 27:72] - wire [1:0] _T_4514 = _GEN_393 | _T_4511; // @[Mux.scala 27:72] - wire [31:0] _T_4549 = _T_4484 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4550 = _T_4492 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4551 = _T_4500 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4552 = _T_4508 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4553 = _T_4549 | _T_4550; // @[Mux.scala 27:72] - wire [31:0] _T_4554 = _T_4553 | _T_4551; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4554 | _T_4552; // @[Mux.scala 27:72] - wire _T_4560 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 564:120] - wire _T_4561 = _T_4442 & _T_4560; // @[el2_lsu_bus_buffer.scala 564:105] - wire _T_4566 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 564:120] - wire _T_4567 = _T_4443 & _T_4566; // @[el2_lsu_bus_buffer.scala 564:105] - wire _T_4572 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 564:120] - wire _T_4573 = _T_4444 & _T_4572; // @[el2_lsu_bus_buffer.scala 564:105] - wire _T_4578 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 564:120] - wire _T_4579 = _T_4445 & _T_4578; // @[el2_lsu_bus_buffer.scala 564:105] - wire [31:0] _T_4580 = _T_4561 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4581 = _T_4567 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4582 = _T_4573 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4583 = _T_4579 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4584 = _T_4580 | _T_4581; // @[Mux.scala 27:72] - wire [31:0] _T_4585 = _T_4584 | _T_4582; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4585 | _T_4583; // @[Mux.scala 27:72] - wire _T_4587 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 114:123] - wire _T_4588 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 114:123] - wire _T_4589 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 114:123] - wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 114:123] - wire [31:0] _T_4591 = _T_4587 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4592 = _T_4588 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4593 = _T_4589 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4594 = _T_4590 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4595 = _T_4591 | _T_4592; // @[Mux.scala 27:72] - wire [31:0] _T_4596 = _T_4595 | _T_4593; // @[Mux.scala 27:72] - wire [31:0] _T_4597 = _T_4596 | _T_4594; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4597[1:0]; // @[el2_lsu_bus_buffer.scala 565:83] - wire [1:0] _T_4603 = _T_4587 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4604 = _T_4588 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4605 = _T_4589 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4606 = _T_4590 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4607 = _T_4603 | _T_4604; // @[Mux.scala 27:72] - wire [1:0] _T_4608 = _T_4607 | _T_4605; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4608 | _T_4606; // @[Mux.scala 27:72] - wire _T_4618 = _T_4587 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4619 = _T_4588 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4620 = _T_4589 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4621 = _T_4590 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4622 = _T_4618 | _T_4619; // @[Mux.scala 27:72] - wire _T_4623 = _T_4622 | _T_4620; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4623 | _T_4621; // @[Mux.scala 27:72] - wire [63:0] _T_4643 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 569:121] - wire [5:0] _T_4644 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 569:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4643 >> _T_4644; // @[el2_lsu_bus_buffer.scala 569:92] - wire _T_4645 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 572:69] - wire _T_4647 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 573:81] - wire _T_4648 = lsu_nonblock_unsign & _T_4647; // @[el2_lsu_bus_buffer.scala 573:63] - wire [31:0] _T_4650 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4651 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 574:45] - wire _T_4652 = lsu_nonblock_unsign & _T_4651; // @[el2_lsu_bus_buffer.scala 574:26] - wire [31:0] _T_4654 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4655 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 575:6] - wire _T_4657 = _T_4655 & _T_4647; // @[el2_lsu_bus_buffer.scala 575:27] - wire [23:0] _T_4660 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4662 = {_T_4660,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4665 = _T_4655 & _T_4651; // @[el2_lsu_bus_buffer.scala 576:27] - wire [15:0] _T_4668 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4670 = {_T_4668,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4671 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 577:21] - wire [31:0] _T_4672 = _T_4648 ? _T_4650 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4673 = _T_4652 ? _T_4654 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4674 = _T_4657 ? _T_4662 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4675 = _T_4665 ? _T_4670 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4676 = _T_4671 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4677 = _T_4672 | _T_4673; // @[Mux.scala 27:72] - wire [31:0] _T_4678 = _T_4677 | _T_4674; // @[Mux.scala 27:72] - wire [31:0] _T_4679 = _T_4678 | _T_4675; // @[Mux.scala 27:72] - wire [63:0] _GEN_395 = {{32'd0}, _T_4679}; // @[Mux.scala 27:72] - wire [63:0] _T_4680 = _GEN_395 | _T_4676; // @[Mux.scala 27:72] - wire _T_4775 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 595:36] - wire _T_4776 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 595:51] - wire _T_4777 = _T_4775 & _T_4776; // @[el2_lsu_bus_buffer.scala 595:49] - wire [31:0] _T_4781 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4783 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4788 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 607:50] - wire _T_4789 = _T_4775 & _T_4788; // @[el2_lsu_bus_buffer.scala 607:48] - wire [7:0] _T_4793 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4796 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 612:36] - wire _T_4798 = _T_4796 & _T_1275; // @[el2_lsu_bus_buffer.scala 612:50] - wire _T_4810 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 625:114] - wire _T_4812 = _T_4810 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 625:129] - wire _T_4815 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 625:114] - wire _T_4817 = _T_4815 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 625:129] - wire _T_4820 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 625:114] - wire _T_4822 = _T_4820 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 625:129] - wire _T_4825 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 625:114] - wire _T_4827 = _T_4825 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 625:129] - wire _T_4828 = _T_2708 & _T_4812; // @[Mux.scala 27:72] - wire _T_4829 = _T_2730 & _T_4817; // @[Mux.scala 27:72] - wire _T_4830 = _T_2752 & _T_4822; // @[Mux.scala 27:72] - wire _T_4831 = _T_2774 & _T_4827; // @[Mux.scala 27:72] - wire _T_4832 = _T_4828 | _T_4829; // @[Mux.scala 27:72] - wire _T_4833 = _T_4832 | _T_4830; // @[Mux.scala 27:72] - wire _T_4843 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 626:98] - wire lsu_imprecise_error_store_tag = _T_4843 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 626:113] - wire _T_4849 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 628:72] - wire _T_4851 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 114:123] - wire [31:0] _T_4853 = _T_4851 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4854 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4855 = _T_4853 | _T_4854; // @[Mux.scala 27:72] - wire _T_4872 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 635:68] - wire _T_4875 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 636:48] - wire _T_4878 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 639:48] - wire _T_4879 = io_lsu_axi_awvalid & _T_4878; // @[el2_lsu_bus_buffer.scala 639:46] - wire _T_4880 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 639:92] - wire _T_4881 = io_lsu_axi_wvalid & _T_4880; // @[el2_lsu_bus_buffer.scala 639:90] - wire _T_4882 = _T_4879 | _T_4881; // @[el2_lsu_bus_buffer.scala 639:69] - wire _T_4883 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 639:136] - wire _T_4884 = io_lsu_axi_arvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 639:134] - wire _T_4888 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 643:75] - wire _T_4889 = io_lsu_busreq_m & _T_4888; // @[el2_lsu_bus_buffer.scala 643:73] - reg _T_4892; // @[el2_lsu_bus_buffer.scala 643:56] + wire [3:0] buf_data_in_3 = _GEN_307[3:0]; // @[el2_lsu_bus_buffer.scala 151:25 el2_lsu_bus_buffer.scala 152:15 el2_lsu_bus_buffer.scala 473:24 el2_lsu_bus_buffer.scala 489:24 el2_lsu_bus_buffer.scala 505:24] + wire [3:0] buf_data_in_2 = _GEN_231[3:0]; // @[el2_lsu_bus_buffer.scala 151:25 el2_lsu_bus_buffer.scala 152:15 el2_lsu_bus_buffer.scala 473:24 el2_lsu_bus_buffer.scala 489:24 el2_lsu_bus_buffer.scala 505:24] + wire [3:0] buf_data_in_1 = _GEN_155[3:0]; // @[el2_lsu_bus_buffer.scala 151:25 el2_lsu_bus_buffer.scala 152:15 el2_lsu_bus_buffer.scala 473:24 el2_lsu_bus_buffer.scala 489:24 el2_lsu_bus_buffer.scala 505:24] + wire [11:0] _T_4328 = {buf_data_in_3,buf_data_in_2,buf_data_in_1}; // @[Cat.scala 29:58] + wire [3:0] buf_data_in_0 = _GEN_79[3:0]; // @[el2_lsu_bus_buffer.scala 151:25 el2_lsu_bus_buffer.scala 152:15 el2_lsu_bus_buffer.scala 473:24 el2_lsu_bus_buffer.scala 489:24 el2_lsu_bus_buffer.scala 505:24] + wire [1:0] _T_4334 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 547:96] + wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 547:96] + wire [2:0] _T_4335 = _T_4334 + _GEN_391; // @[el2_lsu_bus_buffer.scala 547:96] + wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 547:96] + wire [3:0] buf_numvld_any = _T_4335 + _GEN_392; // @[el2_lsu_bus_buffer.scala 547:96] + wire _T_4405 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 553:52] + wire _T_4406 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 553:92] + wire _T_4407 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 553:119] + wire _T_4409 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 554:52] + wire _T_4410 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 554:52] + wire _T_4411 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 554:52] + wire _T_4412 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 554:52] + wire _T_4413 = _T_4409 | _T_4410; // @[el2_lsu_bus_buffer.scala 554:65] + wire _T_4414 = _T_4413 | _T_4411; // @[el2_lsu_bus_buffer.scala 554:65] + wire _T_4415 = _T_4414 | _T_4412; // @[el2_lsu_bus_buffer.scala 554:65] + wire _T_4416 = ~_T_4415; // @[el2_lsu_bus_buffer.scala 554:34] + wire _T_4418 = _T_4416 & _T_765; // @[el2_lsu_bus_buffer.scala 554:70] + wire _T_4421 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 556:51] + wire _T_4422 = _T_4421 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 556:72] + wire _T_4423 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 556:94] + wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 556:92] + wire _T_4425 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 556:111] + wire _T_4427 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 559:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 645:66] + wire _T_4445 = _T_2708 & _T_1130; // @[Mux.scala 27:72] + wire _T_4446 = _T_2730 & _T_3745; // @[Mux.scala 27:72] + wire _T_4447 = _T_2752 & _T_3938; // @[Mux.scala 27:72] + wire _T_4448 = _T_2774 & _T_4131; // @[Mux.scala 27:72] + wire _T_4449 = _T_4445 | _T_4446; // @[Mux.scala 27:72] + wire _T_4450 = _T_4449 | _T_4447; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4450 | _T_4448; // @[Mux.scala 27:72] + wire _T_4456 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 562:108] + wire _T_4461 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 562:108] + wire _T_4466 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 562:108] + wire _T_4471 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 562:108] + wire _T_4472 = _T_2708 & _T_4456; // @[Mux.scala 27:72] + wire _T_4473 = _T_2730 & _T_4461; // @[Mux.scala 27:72] + wire _T_4474 = _T_2752 & _T_4466; // @[Mux.scala 27:72] + wire _T_4475 = _T_2774 & _T_4471; // @[Mux.scala 27:72] + wire _T_4476 = _T_4472 | _T_4473; // @[Mux.scala 27:72] + wire _T_4477 = _T_4476 | _T_4474; // @[Mux.scala 27:72] + wire _T_4484 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 563:109] + wire _T_4485 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 563:124] + wire _T_4486 = _T_4484 | _T_4485; // @[el2_lsu_bus_buffer.scala 563:122] + wire _T_4487 = _T_4445 & _T_4486; // @[el2_lsu_bus_buffer.scala 563:106] + wire _T_4492 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 563:109] + wire _T_4493 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 563:124] + wire _T_4494 = _T_4492 | _T_4493; // @[el2_lsu_bus_buffer.scala 563:122] + wire _T_4495 = _T_4446 & _T_4494; // @[el2_lsu_bus_buffer.scala 563:106] + wire _T_4500 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 563:109] + wire _T_4501 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 563:124] + wire _T_4502 = _T_4500 | _T_4501; // @[el2_lsu_bus_buffer.scala 563:122] + wire _T_4503 = _T_4447 & _T_4502; // @[el2_lsu_bus_buffer.scala 563:106] + wire _T_4508 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 563:109] + wire _T_4509 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 563:124] + wire _T_4510 = _T_4508 | _T_4509; // @[el2_lsu_bus_buffer.scala 563:122] + wire _T_4511 = _T_4448 & _T_4510; // @[el2_lsu_bus_buffer.scala 563:106] + wire [1:0] _T_4514 = _T_4503 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4515 = _T_4511 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_393 = {{1'd0}, _T_4495}; // @[Mux.scala 27:72] + wire [1:0] _T_4517 = _GEN_393 | _T_4514; // @[Mux.scala 27:72] + wire [31:0] _T_4552 = _T_4487 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4553 = _T_4495 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4554 = _T_4503 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4555 = _T_4511 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4556 = _T_4552 | _T_4553; // @[Mux.scala 27:72] + wire [31:0] _T_4557 = _T_4556 | _T_4554; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4557 | _T_4555; // @[Mux.scala 27:72] + wire _T_4563 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 565:120] + wire _T_4564 = _T_4445 & _T_4563; // @[el2_lsu_bus_buffer.scala 565:105] + wire _T_4569 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 565:120] + wire _T_4570 = _T_4446 & _T_4569; // @[el2_lsu_bus_buffer.scala 565:105] + wire _T_4575 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 565:120] + wire _T_4576 = _T_4447 & _T_4575; // @[el2_lsu_bus_buffer.scala 565:105] + wire _T_4581 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 565:120] + wire _T_4582 = _T_4448 & _T_4581; // @[el2_lsu_bus_buffer.scala 565:105] + wire [31:0] _T_4583 = _T_4564 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4584 = _T_4570 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4585 = _T_4576 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4586 = _T_4582 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4587 = _T_4583 | _T_4584; // @[Mux.scala 27:72] + wire [31:0] _T_4588 = _T_4587 | _T_4585; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4588 | _T_4586; // @[Mux.scala 27:72] + wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4591 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4592 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4593 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 114:123] + wire [31:0] _T_4594 = _T_4590 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4595 = _T_4591 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4596 = _T_4592 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4597 = _T_4593 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4598 = _T_4594 | _T_4595; // @[Mux.scala 27:72] + wire [31:0] _T_4599 = _T_4598 | _T_4596; // @[Mux.scala 27:72] + wire [31:0] _T_4600 = _T_4599 | _T_4597; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4600[1:0]; // @[el2_lsu_bus_buffer.scala 566:83] + wire [1:0] _T_4606 = _T_4590 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4607 = _T_4591 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4608 = _T_4592 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4609 = _T_4593 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4610 = _T_4606 | _T_4607; // @[Mux.scala 27:72] + wire [1:0] _T_4611 = _T_4610 | _T_4608; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4611 | _T_4609; // @[Mux.scala 27:72] + wire _T_4621 = _T_4590 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4622 = _T_4591 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4623 = _T_4592 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4624 = _T_4593 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4625 = _T_4621 | _T_4622; // @[Mux.scala 27:72] + wire _T_4626 = _T_4625 | _T_4623; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4626 | _T_4624; // @[Mux.scala 27:72] + wire [63:0] _T_4646 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 570:121] + wire [5:0] _T_4647 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 570:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4646 >> _T_4647; // @[el2_lsu_bus_buffer.scala 570:92] + wire _T_4648 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 573:69] + wire _T_4650 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 574:81] + wire _T_4651 = lsu_nonblock_unsign & _T_4650; // @[el2_lsu_bus_buffer.scala 574:63] + wire [31:0] _T_4653 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4654 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 575:45] + wire _T_4655 = lsu_nonblock_unsign & _T_4654; // @[el2_lsu_bus_buffer.scala 575:26] + wire [31:0] _T_4657 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4658 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 576:6] + wire _T_4660 = _T_4658 & _T_4650; // @[el2_lsu_bus_buffer.scala 576:27] + wire [23:0] _T_4663 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4665 = {_T_4663,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4668 = _T_4658 & _T_4654; // @[el2_lsu_bus_buffer.scala 577:27] + wire [15:0] _T_4671 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4673 = {_T_4671,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4674 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 578:21] + wire [31:0] _T_4675 = _T_4651 ? _T_4653 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4676 = _T_4655 ? _T_4657 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4677 = _T_4660 ? _T_4665 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4678 = _T_4668 ? _T_4673 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4679 = _T_4674 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4675 | _T_4676; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4680 | _T_4677; // @[Mux.scala 27:72] + wire [31:0] _T_4682 = _T_4681 | _T_4678; // @[Mux.scala 27:72] + wire [63:0] _GEN_395 = {{32'd0}, _T_4682}; // @[Mux.scala 27:72] + wire [63:0] _T_4683 = _GEN_395 | _T_4679; // @[Mux.scala 27:72] + wire _T_4778 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 596:36] + wire _T_4779 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 596:51] + wire _T_4780 = _T_4778 & _T_4779; // @[el2_lsu_bus_buffer.scala 596:49] + wire [31:0] _T_4784 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4786 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4791 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 608:50] + wire _T_4792 = _T_4778 & _T_4791; // @[el2_lsu_bus_buffer.scala 608:48] + wire [7:0] _T_4796 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4799 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 613:36] + wire _T_4801 = _T_4799 & _T_1275; // @[el2_lsu_bus_buffer.scala 613:50] + wire _T_4813 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 626:114] + wire _T_4815 = _T_4813 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 626:129] + wire _T_4818 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 626:114] + wire _T_4820 = _T_4818 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 626:129] + wire _T_4823 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 626:114] + wire _T_4825 = _T_4823 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 626:129] + wire _T_4828 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 626:114] + wire _T_4830 = _T_4828 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 626:129] + wire _T_4831 = _T_2708 & _T_4815; // @[Mux.scala 27:72] + wire _T_4832 = _T_2730 & _T_4820; // @[Mux.scala 27:72] + wire _T_4833 = _T_2752 & _T_4825; // @[Mux.scala 27:72] + wire _T_4834 = _T_2774 & _T_4830; // @[Mux.scala 27:72] + wire _T_4835 = _T_4831 | _T_4832; // @[Mux.scala 27:72] + wire _T_4836 = _T_4835 | _T_4833; // @[Mux.scala 27:72] + wire _T_4846 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 627:98] + wire lsu_imprecise_error_store_tag = _T_4846 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 627:113] + wire _T_4852 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 629:72] + wire _T_4854 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 114:123] + wire [31:0] _T_4856 = _T_4854 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4857 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4858 = _T_4856 | _T_4857; // @[Mux.scala 27:72] + wire _T_4875 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 636:68] + wire _T_4878 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 637:48] + wire _T_4881 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 640:48] + wire _T_4882 = io_lsu_axi_awvalid & _T_4881; // @[el2_lsu_bus_buffer.scala 640:46] + wire _T_4883 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 640:92] + wire _T_4884 = io_lsu_axi_wvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 640:90] + wire _T_4885 = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 640:69] + wire _T_4886 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 640:136] + wire _T_4887 = io_lsu_axi_arvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 640:134] + wire _T_4891 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 644:75] + wire _T_4892 = io_lsu_busreq_m & _T_4891; // @[el2_lsu_bus_buffer.scala 644:73] + reg _T_4895; // @[el2_lsu_bus_buffer.scala 644:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2583,61 +2577,61 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4892; // @[el2_lsu_bus_buffer.scala 643:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 551:30] - assign io_lsu_bus_buffer_full_any = _T_4402 ? _T_4403 : _T_4404; // @[el2_lsu_bus_buffer.scala 552:30] - assign io_lsu_bus_buffer_empty_any = _T_4415 & _T_1157; // @[el2_lsu_bus_buffer.scala 553:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 632:23] + assign io_lsu_busreq_r = _T_4895; // @[el2_lsu_bus_buffer.scala 644:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 552:30] + assign io_lsu_bus_buffer_full_any = _T_4405 ? _T_4406 : _T_4407; // @[el2_lsu_bus_buffer.scala 553:30] + assign io_lsu_bus_buffer_empty_any = _T_4418 & _T_1157; // @[el2_lsu_bus_buffer.scala 554:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 633:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 191:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 192:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 217:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4849; // @[el2_lsu_bus_buffer.scala 628:35] - assign io_lsu_imprecise_error_store_any = _T_4833 | _T_4831; // @[el2_lsu_bus_buffer.scala 625:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4855 : _T_4597; // @[el2_lsu_bus_buffer.scala 629:35] - assign io_lsu_nonblock_load_valid_m = _T_4421 & _T_4422; // @[el2_lsu_bus_buffer.scala 555:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 556:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4424; // @[el2_lsu_bus_buffer.scala 558:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 559:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4645; // @[el2_lsu_bus_buffer.scala 572:35] - assign io_lsu_nonblock_load_data_error = _T_4474 | _T_4472; // @[el2_lsu_bus_buffer.scala 561:35] - assign io_lsu_nonblock_load_data_tag = _T_4514 | _T_4512; // @[el2_lsu_bus_buffer.scala 562:33] - assign io_lsu_nonblock_load_data = _T_4680[31:0]; // @[el2_lsu_bus_buffer.scala 573:29] - assign io_lsu_pmu_bus_trxn = _T_4872 | _T_4767; // @[el2_lsu_bus_buffer.scala 635:23] - assign io_lsu_pmu_bus_misaligned = _T_4875 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 636:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 637:24] - assign io_lsu_pmu_bus_busy = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 639:23] - assign io_lsu_axi_awvalid = _T_4777 & _T_1165; // @[el2_lsu_bus_buffer.scala 595:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 596:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4781; // @[el2_lsu_bus_buffer.scala 597:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 601:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 602:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4783 : 3'h3; // @[el2_lsu_bus_buffer.scala 598:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 603:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 605:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 600:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 599:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 604:20] - assign io_lsu_axi_wvalid = _T_4789 & _T_1165; // @[el2_lsu_bus_buffer.scala 607:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 609:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4793; // @[el2_lsu_bus_buffer.scala 608:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 610:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 623:21] - assign io_lsu_axi_arvalid = _T_4798 & _T_1165; // @[el2_lsu_bus_buffer.scala 612:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 613:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4781; // @[el2_lsu_bus_buffer.scala 614:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 618:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 619:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4783 : 3'h3; // @[el2_lsu_bus_buffer.scala 615:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 620:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 622:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 617:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 616:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 621:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 624:21] - assign io_test = _T_2002[1:0]; // @[el2_lsu_bus_buffer.scala 419:11] - assign io_data_hi = _T_4585 | _T_4583; // @[el2_lsu_bus_buffer.scala 570:14] - assign io_data_lo = _T_4554 | _T_4552; // @[el2_lsu_bus_buffer.scala 571:14] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4852; // @[el2_lsu_bus_buffer.scala 629:35] + assign io_lsu_imprecise_error_store_any = _T_4836 | _T_4834; // @[el2_lsu_bus_buffer.scala 626:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4858 : _T_4600; // @[el2_lsu_bus_buffer.scala 630:35] + assign io_lsu_nonblock_load_valid_m = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 556:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 557:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4427; // @[el2_lsu_bus_buffer.scala 559:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 560:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4648; // @[el2_lsu_bus_buffer.scala 573:35] + assign io_lsu_nonblock_load_data_error = _T_4477 | _T_4475; // @[el2_lsu_bus_buffer.scala 562:35] + assign io_lsu_nonblock_load_data_tag = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 563:33] + assign io_lsu_nonblock_load_data = _T_4683[31:0]; // @[el2_lsu_bus_buffer.scala 574:29] + assign io_lsu_pmu_bus_trxn = _T_4875 | _T_4770; // @[el2_lsu_bus_buffer.scala 636:23] + assign io_lsu_pmu_bus_misaligned = _T_4878 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 637:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 638:24] + assign io_lsu_pmu_bus_busy = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 640:23] + assign io_lsu_axi_awvalid = _T_4780 & _T_1165; // @[el2_lsu_bus_buffer.scala 596:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 597:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 598:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 602:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 603:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 599:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 604:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 606:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 601:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 600:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 605:20] + assign io_lsu_axi_wvalid = _T_4792 & _T_1165; // @[el2_lsu_bus_buffer.scala 608:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 610:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4796; // @[el2_lsu_bus_buffer.scala 609:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 611:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 624:21] + assign io_lsu_axi_arvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 613:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 614:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 615:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 619:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 620:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 616:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 621:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 623:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 618:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 617:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 622:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 625:21] + assign io_test = {_T_4328,buf_data_in_0}; // @[el2_lsu_bus_buffer.scala 546:11] + assign io_data_hi = _T_4588 | _T_4586; // @[el2_lsu_bus_buffer.scala 571:14] + assign io_data_lo = _T_4557 | _T_4555; // @[el2_lsu_bus_buffer.scala 572:14] assign io_data_en = {_T_4325,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 545:14] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] @@ -2923,7 +2917,7 @@ initial begin _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4892 = _RAND_106[0:0]; + _T_4895 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -3244,7 +3238,7 @@ initial begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4892 = 1'h0; + _T_4895 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3943,14 +3937,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1231 & _T_4764; + obuf_cmd_done <= _T_1231 & _T_4767; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1231 & _T_4765; + obuf_data_done <= _T_1231 & _T_4768; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4348,9 +4342,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4892 <= 1'h0; + _T_4895 <= 1'h0; end else begin - _T_4892 <= _T_4889 & _T_4422; + _T_4895 <= _T_4892 & _T_4425; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 912deaed..cd872607 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -398,7 +398,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val found_array2 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | (io.lsu_busreq_m & (WrPtr0_m===i.U)) | (io.lsu_busreq_r & (WrPtr0_r === i.U)) | (io.ldst_dual_r & (WrPtr1_r===i.U))))->i.U) val WrPtr1_m = MuxCase(0.U, found_array2) - //io.test := WrPtr1_m + val buf_age = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_age := buf_age.map(i=> 0.U) @@ -416,7 +416,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val CmdPtr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) val RspPtr = WireInit(UInt(DEPTH_LOG2.W), 0.U) CmdPtr0 := Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr0Dec)) - io.test := CmdPtr0 + CmdPtr1 := Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr1Dec)) RspPtr := Enc8x3(Cat(Fill(8-DEPTH, 0.U),RspPtrDec)) val buf_state_en = Wire(Vec(DEPTH, Bool())) @@ -543,6 +543,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { buf_data := (0 until DEPTH).map(i=>rvdffe(buf_data_in(i), buf_data_en(i), clock, io.scan_mode)) buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & !buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) io.data_en := (0 until DEPTH).map(i=>buf_data_en(i).asUInt()).reverse.reduce(Cat(_,_)) + io.test := (0 until DEPTH).map(i=>buf_data_in(i).asUInt()).reverse.reduce(Cat(_,_)) val buf_numvld_any = (0 until DEPTH).map(i=>(buf_state(i)=/=idle_C).asUInt).reverse.reduce(_ +& _) buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) buf_numvld_cmd_any := (0 until DEPTH).map(i=>((buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 40b7ea3307f8bb51c39e9d0b2bcf0c86be9e28cf..dd2beb6d804ed16b1620dcf0b47e0a29b4e0a3d1 100644 GIT binary patch delta 110 zcmcaFcVBM9Jr+jO&G%U>*%&(}OLE%+$pT*S$$8wKP}X^FDJ8vwJqBBcNT delta 110 zcmcaFcVBM9Jr+je&G%U>*%;d=OLE%+$pT*S$$8wKP}X^FDJ8vw9qB9#CD diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 3dc65afdbfd3f2c386bcf86c722575da756865be..32dc62ff9ee2a732392bcfae7d51ecf411044dda 100644 GIT binary patch delta 19 Zcmey$`jvG<1QTP&eTJJzw{p;{e)#%N4CFCSPcs+tL@o^WEy|d)2l1^|J+=*7`BA4 zcFx?(tz;Lv+H;GgY;iHO&_0}<&&)g?Da@`eWW!caSWS+|cy@U;SInkX9xu*}XIHYN zuvIOr_(E=)wdbb8R#;e#l|>z1naM0{M(}8HPx~efC;)#KQ z)8G%qn13S%ek=1YC3YUjg~XtUpBh+h+1)6j!2?6#!RpXMk*G=R7NJKisj;zyXbEgS zFcbkl5^(v6dY3OX{+Pz!r12A*UHL5}eQ9BN3 z{LUtlXZ~4D&$8lky)J0{&h4)LQH{T>@yBiblPGs=r_z6*7Uka4_=#OEf5GOHony>b zb}ni96VJKjmUMZ=XZs)N@~?2^pXl<6&+?!AYBwR15XvAbI9$a*3!{TGi2(6Cse{8( z4qZO%${%4q+oSkwPp_sY5g~cjbDa6A-NqE3?Rk~1 zKkDi~t?|b+{&`)0%+){4e6~mN*`CYH=l+V5JeNDE=^0aew)48CCm~6m_1xC@V;cXi zrYBM3+B2*1$29&uji0D>F> zuhugt&&i6a+!2#ukIH=8qbi`VM`gb4QNy(aEaf3PA4dT!+jA;Ddrsyz>H}6JgW_K%}&j; zhHcNv`juzpa$lv(yB;)rTH}vt{PP+=;d;>Uu*M(L_?I<)Vu#!DlNx_a<6qbKiDp;+ zHuKqj#b^8P>hj8SbHB{$@`}&$wnyjoSDu^swnu0E8sGNlEU!E_%iA8ExH{j%oiBeth! zdF9brzwPN+zsA=*{j%oiBeth!d3Oeg*q)yCE050lZBNhg8ejAD%L&)hM{G~e@*3av z^sL|Y=n>7+FKeDYVtaa)S00`1u{}NO*Z8)lr$*x^o&pC(V1_1dY0Gtnx|jZJblFW^lZO714JB8&jlz?Zw~->1TV9vw+Dd6 zXHU<3$J46;pw`aZ5Sv(@8;EBBS{g;189>Qve0v69dz7bV{q_vN71sFL46w{=YnIn$ zfMsn4h-fpwGOw*!zD=taudSJH&j4(X^7PENX8^WG%1&xLkV%VEdJ)XTCNAENe4BM4JJYwHY8{ z&j1V{cV>v()$8ke`iM3IEc2S4%hhIpWnR-WUz-7zc}>rJZ3bB8H9hmS8DM$LodF{D z48Q}}_4E;Y2H^H_X9f%aw*sQx_4H9~1`y*KUz-6$y;{>N4-wU705Pud?HPay7WK;0 zs|rNz89?#JHNG|jho?bbQs67L){q9;ns?7kR-u3iR zdj?>6#pn8JGk~afXMm_S1Bh{rugw6W-u3iRZ3YnI8sDA)qSLTHsXTY8346lmBCiw_ zzo_wx?g~OA-8FjD307dhi=yJI0Uv!qmv=pP^r^-#YWx7>nH+~mDu>Q`Ld>UuA&QDm zxUGuD0xeN7^L%u3%Zch#XR0~?FB%A*84@BiB&Gx4kLdi1E`LhrkGT9i^P7~OOTuL!4v8W0Swd`(&{+f^~m-!Pef2YpB;_?sa{A(`%1oNA^tD*k}@l(^SWWO$d z%axyEKG%1O_*~yS^J`9!ooV7{S`IHKAzAKbHbcHewsPwBza&DRQ39WxKMAGtQNGZYNR zW1Zr5-@~wIDvH#=-teXEkHgi$s?nP2*}%ZS{%}t*m1)^pb-g>$*%=R2hYxN)wntQ* z$ew(1?$YJzV7M!`oN4O5Ki@Fhvo9E|x>NJg=1kz&^tr=w?a+4U@4Ar6WSXkxqMc*+ zo4X#~Xqbe)#;Uuimd?cJz|kGirpJ4cZ?Wd3=Ub{@IX824cwhU?meNXJ^S*;O`{r^3 zkh?T+9CA(PgMq4t)lI3xJCdXtntQ@wj;M69LdR&PUf&FY@uz`*73 z#jZ@IW_SyVl@a&i0c{NbBDb5rA`EtypJQi|JWa&w|-=s~72SQ9;XuXcOST;f1e z?m=e%U|@4~=kiq%o3EAgi`RRWh8o&-120scBc0c(tHTd=JU%OegXxpA;eo+{sqm@I z6eO+c2rUmBx|zJ2OSU~~gMAk-l(yB}?#K^qKYl*n*mZwCwB_94?bY${i#r})AU{!a zqM;?yHFxgm^XCuW>3DSJ>5jF-O+9n-wZrp^H#@QuqjF!(?XKw7n(ChAEh?D%_+ToL zy;%F?Y~AhtyYqWnuN~TcWd34p>mxbUTgo-GuF0F-rNP+jwVGh4>TK;xdzxAXMK~RA z3Eu3QJvBait#+H*?Z_t-`#Yq?Oy(RGI^?Ywx6SFQ;N{y`*pC>5gsl*OPfF zFEQno_na#4$jZfrCr3v{I%aPiKHW0i(pUA0Sa=A*@3~-Srlqu4IpQ@d`R(G=t<0aoa_FSNr#|!ih+&))m>_`1y>UH|9JylKh4;6Ow-_7nG zgS+GUh~0s}R@LsE*`W(ht{x5rsw0F?L~l1IT5e>3&*+tl+1g#z@#u3+Y+qt)A~o1m z71SbGxL*Qle&l z{0aO+M|N;rt_|-*d8h31fYVG>@6xF|PxjT_>{z^b;rO+DV_$S@q9*Co@1Tel(odgX zD>V0IPaSRBSGb9GgMoPKL#d=XAAv~4R~??L`UZvIp_KlHTgT3vI`-Lbu`kJ_41 ze%dWR6+DuEFnW5e?RLk*4Au9XtGBKRxZc^#NF-n?6$h~A%nx}&l7 zX4l-v_O_lH;8NLp-qpLUv$d!0PDl1ZXm*Y85e;v5@X?S7_GHmsXAj-!%%3`Xx+O4O z@8Iux@KEMlerNyPp{w%TqAK@L7q?T(ftFM>KQ!9IU;^sf0@H@S{ z_en#3SKr)V{cvO;IQUA<&!iTo`tJ@-53en_>20R;;ilu$H}ko}EZz8DO`ei}bi{@z17;C~V|N6P)2iV%()YSP_z2QO^7eyFMI1>oq|ygRcWx6n%mu3!kL{;IFoMr@HzOY>LnMSV*whMq0^0MH^L#=-Dk9Wr(^dn zx8068<6il%yBGVYUeCGdDS!Ht1{xoq{A$|(ILeeV01oKVR9ErT1ya!BDwrxO*b7HD zfAPYTP`esXrEQy_DB?J>bbQ(4$hKoZG#+_)Bl)CZyes{S+MNQc;p1FEt{tyQA z#iLc{+tVG>Q*%^)t6RQXfd-nePKCJKEq1vyz=O?QchAhVUe7mn-_Kz|a_MGAK1~C0 z!gUaPI$PHi0G|$SgM-6@`pr!aBV-0WN()b&iddY&geH;-qB zLamK?<*=a-?a=DBL#m28bnikK?KwL!+6KV)t)wqJIlFkf6OM@O-|xzgts{T5?oRK@ z#i#N4!_UFaYp$IlLXP_4wORn;+}`Hv`RiRP*^^rUz^-i8kxy$AZkXg()<&C?`E>1V zOa#5ta6AgAJP;3Yd(%MR{w}2ZwwznMom@DTe6p?PX2$kTwZ#$l&t^=s0{+?TG?wz@Dvbkrmv1e(p{z=1V^YOd6&~bLWU*+1%_4C{N z{I;4qop!;g~9Xt>z?Zc?nj&j!Q+EMstK_j3z;)ln;*5^ z#=<2zyf$*XiyX$WMc1L!lf#fxJ-jgB{w0UF=sG;1?8+Q%gJbP4(E_5KaB|9RmrND) zdpz86t7E#r6F)gNdxC~z7Gc-1-C@Uc&#n)~Ri^Biu6I5ftZ&^%j$^@1=lYW4LVC90 z_%`$}^q+9`V}dI?&O5W$MreYY*ZM)XZ*+KH2lZ>gP50OzYujJgi3LU?UtVAw6q;kc zGrP8U0}HT(vjCxqM^A6YLcJRc-UCe;cLCr!?$GhbrK#Rkq#x7LYs-#1biA=}8x!i* zL!GW4bM=?ock2l|oyvFXU#OSo+MBB5@qO-srp2xQqxtbI`+=vBvv7f5b|;4G$Mb`u zt&xGj!CJTfmpv1jnlJ|kH@WGL%js=ReQUrOO+2Aicj95c&;7SDQGdE+_jJtl13a;) z33+6S7HX6pchlJ~b2|LcrM*w);U7XBu71t_&XpStC2Sl9x4YxYwIBACChoUUdb6AE zv47^lh350XU+B0yZ|V44A-_W9zsfCtS;OzjxlnVMHX?CnK|_APZU5=)z?Mg};EdPV z3m%#e^z^e&dZ_$iQ~8a3D;GnpG#`d8yXB|Eovso#9Aljq-T9*~0UX@u$W4I1T*;?- zYpip)LVgZm2W%X>=9aNhtO-?BB^#4M z`pU$iz}B&gS6*5F%DX#4gCXd-via!2Jr5i`ENAO!5}PhGCHBCs&Mk;U)gd4?jSWO% zXKFcBT^;W~m^&}3t~?l+y_O#p;fZ?uy}mn8x2N^o;hP<&Q`qOW-{@XFRU2Q<-08%6 zVMq0zRB-lI{ga~yM3wp*9TMSeLvy4+`G(qh>ZT~)aw^~4J9lb8?yI}gJ$>Wpj;)!h zuBE94tcRNVRwuAvUPvSY+Y(Q(@$Psuhz0$^n5Y>#Swi{8rzggr93{Q{481G|y{Q)3 zK$3rL4+TPt)kEdyx*-w6`eCZlQ(!%*uG%wUOJv-_r--J;J*%$GYI| ztx$BJ_GaJeso3!O_M2S=%>Qd`%?ZlC#_J2LcXl1e1|IVu?7ceBIktRja5+5lprvJZ zPaJr;Hg;RYCXOy#tGm-VLjjtW>E6)O>aoszb59-{_eRVUgrDn)=0F_yxmJ_z%I<5B zjVW4x@kT8-F@EHF{sz`@zzMDIsC^2wzPs7hL+iZY5H=jw)dmvlxc)T+2=F%%*r%`a z$jd_(iRYR^!M znIDLCme;4(yX|#nKQ??aW#(6HP6a6tVE13>hQb4_Zx3znZ3%X&elIMKH=l2B>YodC zd!JJ_ehS!FkKB$2Yw84#_nI>ethaxE;d)mgRn2#>Qxs?8Zqp4N+PJ9-g*MnI*pCp&Vd75?-H%5};)xDWIA zid#HPd!wA zkNrpBfSKPyctSvTWq!c+C!ysQ3SebNs~%zFeLvTLc5&NF`Ja4a|MaC$Vz*cB$ywCT z!K(@gV*P&4#%uXGReen1I}5u6j)Q}$bXBX#dhlEsm zZ}!8lQ+{|e!XpJb_LhK8^?bDbM$g>P9q79)>Xcue>Rh~7fBX^f*b+R(<1lRpf-nx2 z)i~&Ta_#W;zIzv;7vB9+Iu+p&L1*@G}J>?vFrCS2X03gx`dff&zAS4%zZw~iESd_SBT#l8mZ z&U$&Av0fgJscM>MJbsk?bVGI1iR!_D!G-u>>#-IQLx4>AK?;!FYe%5@#!12-<_R@F zVIJdoA~z9ARO-9c-4Z;Z`ephO{QJ`F{=%h(EsX;B9eEmGJ9M+-h4j@D4i@?_pAM-& zu9}BMNa0N31NGazgXDR92M@8FY7dOpt&CH(zCM)Ve8a%a1aO&g=QGAF<_p?Si%@x< z)A_&|)t3XD6BIC3@r=Q3heh1TC)~0e&0lSVTcytqw87t1m;I`}UQhuh@aGHl>~Dj6 zI@jtr01A0Ye&cBVc4z+LX!Eww+rZ)8=Ee~O=z~+rFU+PxSWitQaiD?xxB8~fB-?0w zcmjOGQ=;xhee-$jgOyzfY_$ZN61*M>VZ5tx34e|DK|s+Nr=i2QI#z;bIFM-1cj)&g zd$A5mc=h!xow^`tU7)RVX4X_W2b#{q|IVEv|9nG8Ex-#qBcG*C%@Lm*;x-j>`LvSbdN0_1pj_|kebcvaFoAgi{%@$Ka28`#D;dz8gIjDD}~aygLSg?Jg}_nmAn2i}z*vfBxP`a^a*N%%*!-}1$s2UfFZU)YQGyPh3* zet-K&$4Z$$;PUGf{|2`!^yHxz{iEt_uPfj$>~_@ruiaj1J}Ao_V7dAGYChm`$o>=K zh{vHbzZ_0^pWXhm#|PPAm+Ro3)-x@3JJPxcKTc3~s<_jTsImK>a7^8sf9asTmp?PS|| z^uJ>duVZeO?Qz#NY!9t_wxyhU!B1ix%Kb5lgU;<;kDUIP4=rH49H;T4>OFOm>^_3^ zuJ^f|-b4O|4)B8fI8tWU(gHu-*=IgK@arnUzp7$RdJ#rrNnWG2msK?4~tiyR6 zgqD}pxWRaqce;UV%nxe4v$A&hcGt?K2FdGaXT5;_$&B@6Gviw#`$YBWTlH1vFYRn{ z))}RVdhFx2ADKHhZm%=E<1wKQ9PNWo)DH&(GBMLk^H|r~=u~p<6#JEy;DNH8*e?jl z2bITZ{Y*GsQtKS#FN84vr@YVPm&@|w!?ez!^}k&X_8E=JAMpCf+fK_D`?y_$W1jXa z^HbFs#)X;(lP_dKIqx&}RfDwt)#_bnJT^B~FH>edtrL$dJwUk&H=K5j%wzsXKPMN5 z23q&m)g)-$P6xG%Lr-_8{T0PkX}=V_qU==h0pI>dsC8a_8{+A_UzfCwbk;=hG1c#VH(|hBA!5z9&6&euMpliXT%P zdZDRzHT(3%`S$I7^EXZ&Z%l=!4}3L?E_l49yD)h zh3O5)h+^;k*j;Qsy_Zj}1>!)y&P4~57{^j;M z1pHAvsz&WgfP*`3|6pH&{yMJk4!o?Uszp)xFNH_7pQL%Gp2t7sdwy;7w%s4BpXY~q ziie3!j8nDlI!kdhwa>x6QpLq@bd=x^8fl+1|Fr+SiUW+c&DYXCklRhgCFITC0_~#? zi@m0F1;m}Oug-vfNJLe+!#lfZf2__y(f;NA@$gjF^bqZj?ESKOMm$c9iwlS+s&TCL z#R2T!?6|>ZI#8x_F!)=>jjf;d&w=OI{*@t>ANy?h74A=GKjQdrj1P>nJsx@ThpK*C zxc&RGw2znM573TShs2lldc%^p3xKgGJbn(g~XIai3Z)(3Yuhu_YAGLm> zaZ(-^YF(35`(6#VGcT$3spI-O>mgdFu^xS$CbWE{r^Wk>ezoIUSnnY2*IAw~n!E2~ z{le|V^YM7F)9Fu&-yOidl%Ii*ZTkfK9a{I&3t)@`URMVXv7GWJz(F13ptKrFvHsCX z>}xo`y+7P$+imZ^XuYZYf*QBZy1-s=SC9pF0=2(RrY`YbBI|oeBVkgD7`9PpyGqe z6ScRu8SBmY3a_Up87VZV;_B+e;X9_&0ub}gr_^ewkw9faS-#6 z_Zj`6;#k8|eamF`L6)a@C*mIx=PDc*<7dQ)w)Y2~Q|n#CFGI(1PJtIBF)kE7;cwu7 z=G3@Q@gu5#rxVADtM#*84zFYFbZ6b8^kKa4x<|!dC=QoU>nrFviyvoGyd83fwk84` z2XoflorRUqV-;s|*4u-9!@#e4Z^Zu|0k3zSZf-Q6>)Y=+V7>K9m-_=)8CM zc5mTK@<~tr7S10K59WDB`DyF}hSq%_0P*OhU{1X+<9~mG=dat?k7PGUzttV+RxkSX zE=|SMc{bu*h*LZ93Y>3r`qI_8$o1a&k$HcX+mDnkV&Bi_>F#?K3p-B+ zT73HE??bNI`@TrquHF*j7ZkU`y3oyU^Wp!azV|yQo^8L_sJ$OT@l=dsU;FXC@&R6m z#eOZ&<+F$UPyTv;VK8}|<}1}dZMS-s5eMIfb?sgCe#caY9oL~a^s>@FakOeb;)QsT z7=CnT-%=Lqc@-DJI;kcqtfX^y!I?)(3%QwGX|RxA$}MCsEtPVG#g$g?iL=Tc_oL1sSNI#4Jqw1%yEVb%GP!qyhtc%ae^`K}BtmXL27 zL=-8kEx!D%SF_Ht@n7P*$2E!_)S&kL*8%M;d~`;ku>j-YeSfRTv+AkNgv)Q@U zRIylCORcOFr~{7*OX{g%s~6X5Xd*CVF_X_N&JPxFOUI*9Yd$kmEVROkj$xKF)+|13$rVwG~GXvT}_m1MW%oRrq-!+|-hQ&$U+%pe0eDu~YuhrnsH`b- zb5v27>E*KBko9ON%Mv$68Mz^VOnO*+RPwf1I=i@TOP6hqQ`Xr`DWjw}(Ua1m?w}zB zO83I-+}dn9=Y6D9H#;TPQFbL;%FMgsSq!A2(qB(E+Y|w#Vm33IR(u_L$||6xEJcQ) z4V`C&0w)_6y_#7-SvE>k(HMwihC-{iDw{KlkJBzz?ba%nW@9YjElp{68$msWRRJp{ zbh+x3*-c)VOH zBd)YK<3xER##Dp=&13_0_)H;#>1+o6J3X6QA+Lar)V&SN3pslHZU|`}7Tge6v6WJx zn6(S3b01(bCF>l{z~$L!fP~Dgq*3Mh#q2C)h}d;mf@-^Q)S#+W4+?_}Ko%?#J~yef zxk7OUh7d|ou41`}akQ0kKpiTrk{N(B!u4m$&dSv=h+HdPE)_eKZHnw5lAGLtCzqxJ zmTh0L(Wpu*4-T#hH!~wwrE@>YGR+`YkIHKG%q7`*3#+I+n@*Y2>e*IMb{VV>kB-sY z^wjX$Fmw`~K@wJpD=%PyrleN$81?D3B2ANUFv5b9!x(0EnORdH?piTzH?wjI_CUvK zW-vdyR4R7Z<6G~XO)4YzAhJ`J#2j79KRXXJ|Dwa4N4H_%KFjtjWfyHLxsH@H{TPT+ zKGrQaO@e5_ISkF&G-|=tsTxzAypEN}m1;=kPn>r2WXJMDxmwCj?jJ416|skPTv@(Y zSWzW!b?!l%Su7RPg@;%gEfm&pPZ|wYg_nG$G;>eci9xI8DU4z=ExU+zh$wAp3^+}X z6@&sxy-L#RO0brSSq5T=8ix9qO|;Rqu3svx^pQSov@Cqq}|BV%bc zvfE|NHJ&@sNmp5FRThP1&Vz|yCB3E?T;qgSLglJcJjaJnJy{R8vL;fTrCFIf9W#VG zNdr;(V_FS-R>FA{!$G84q;dPY8dWh-WeGJ6CQU_`vuo+p{_s#L-R&TU!(*GT`@+wiGzw46NPB zK&pX6+cjcgDtS0%ip9)hsJim3D^1>bs6~6yx9;?>ooOAa7n5`h=%kggTDV}!MKuQV zvvA%Wr?WHVhfeiSN6=Sj$f?aSYBRpF1X-0zn5KN}vp}I9Ax=lZYShrOUHK{H`$75=nTtggU=kWyvZodz=S0 zcanH6zf{c5;3i030mBE=@&hZNm%h&6C*Y+sViVS$osJo~)e=*&2JZ z??`U-X;qN`$i)ZFPo`6+Pmib159v?Cmr$Nc8y`>INZXs}TH>5L58>3r`804WZUVv% zb7JTw8ZttUOvVQ$2Xy7m6Dy}DU3m>j4@{=crO#d(K8-4rpIO@$dZwggdT8|Ynd{03 zTTC%JRUK3|`c;L6bO>2&J+06;T|y2fnA^`Rs1 z`sIi8XliokD$>E4NM9bGqy{{jx-vWoPp)v68XP<`F`?Q9enDI?@X@*zvT#imyeO!K8+ZuORLJ#U0O~fL8BGlgpMjMIE^IV#VWoi!I}Kb|YT&|F0~gjBxUkn#hmq98 zMVc}7IuOs_p2YLFC-MC4Nj!gh63^eB#Phc&@nB!=>A}H~^gwF#VtQa`astx>GZbCN z^o_|g>1#u$DOANAWxvf~1!o2a9g(=o#9afJsi(7g4%Wfrgv(?dqgU7v7{NNm&zu{& zH0qc%kvctIrqys}MamA__?pursr0~=vyM}U@$*D_2!mES4}^LuL&;90F|6U*&ZZ_N zF?c7>jE`TrJeeLpb9!j}%pgWZ&Cp!c zzPy4*e#b9Ie#g)2GVsXn_~pp&_<7`a{53=Jj6 z?m@{-UYbk|ljBCuk&5!O(+aiYXRzp-#E7?-N>MV~9z$`P=B9|*PuvprfyNg zP(9tD&gW&V{0D7(Xur1BR;QW$2Q-dz?K;b2QM+Zoo@_7IH;IqqB#`%o#nnX$_Owrw zimNlYqdl`Qm|0kuz+OBoeg^a3bfJKgfnsJ!Sl_0Xy}@~&z8!#C1Xy&AC*$PfrR>Iu zy7_ii$H0Cm{)_n8nE0glR9#5?91fc@OG^ug47H-tFIPKc{O+hae<1!aCVpT15$4P6;tDS9PtD9^S5|T=sC`t|@5{QO*3XMSiJ=w# zR9Hc@fUwT#inyX$&Hqm{|C#s#wa%Yog@CraSC}2l%-qXjsO*sPbkxJRbmIhTReatJ3M_U@yX6jEKQjX`v^t)-X zke*#bs3OfVTNqe}c|`{uq#`z;KpNBlXPyjrb~1o8WB?L2;ULEiXCk;732gJJfs~^L zq(KcJ;VBo-P6m*M3_wMc0I>9+Lo}COJ4H;D1w z8{S6fa(kXgg93D~y99xPJ3a+261t2?=<*?fo}D@xk+fyl~!IpRYCJv$kUNH~J-)!|Ri zP6lJoA3=B4I@39(ah$J20#AKP+}-dCoJ zha*Nj9P#0So}HQ+@o>b42YPlg81ZlfonAkSmv2f7t7$$bzlYajB7txBnd;(%vKVUX z!^sgBCq!0e==R}+o^4Z!G-UAMq}#;_k%kODoOHW5A<~cm9j^nc+r?y%TK(`SA-97}+v(rjO1a$ilK+jGFBLce7ogS0io~9&29eh3A?e;X0l^Kru zdYYbXlZZ5A@b&ajx2K6TWbpO$QMadwG-UAg^ij8`i8N&J_4HABE>G?A4VdVM`j&vs7}X~=-?j3R=x zn8x{ZHWK(}Ze-ncUzn})!n(K zmkKK-yo_kSAsGpVd{uSv(QCv%#8SuP}NiRo&ai1C&HI%_%o)0y>E^3H0WB@e6 za|^30_ppWuZuS-DLa5gWpo8}|IMxPEBRU}<$!7)I`@JLhP6kV#fd)dPf>>C94;IoJM_>Pmc>T%CW@|ep2g+ zb3rcZ1iC<*Q~@wM9Vf6hZFogG62!Y6UbV0i&D;v?&h|o!yz1Z^>Yk^ME$x0hGLX zz$oVdZOQ}Kc=Lc!&SS<@C-C9PLkuSm(S~w5(fQsy8Zs!+l*f;ZP7N7EoAUUP(WxPW zXj2|PGCDP65N*oiM@FZH45CeW(AC~H&~VUc#z7~#x(;D#Pn0@{oxWF*1`f($D%=l_ zP7NGHoAUUNz?D-FJj8IyA=;G34+{#Tmh+flL4i_lIT|b|(Nqq)+M7qi z0R>J?dHgt_&}rE=GY%+N>McjZ0VSHs@#BC3sO56ZIG~WJw;T-zlxQl)j{^#$mdi2Y zfC8u9ax@%JqM;lLn0oRM!zqVoQyxDKD4tr*W5xkRP`%}7IG{vRIer{a*tA@Z83z<7 z^_HXIfD%pR_;Em?(sDUw98jFpTaJbUN;H+@#{tDo%jKAHK%r1?IT{Wq(NvBf2NWJH zmt)2O1w=jN5W~qsw4oe|iF)&BIG{vR9zPB!PFgOmZRZ-5>4g!aX{hJ zaye!kPyp3ij)ntDG?jy{-sNoI5Ff}E@pBUXeRes7Q`P9#t6_zLttP#GtWb=#Y`+;R z6jk-wuVIA}4dqZ^)su%9PB}!I^7yer!PasfGgc_P>McjZ3MHD#0UVr{7xL=GnyNOx z77aZVkTq!mK-5o$=8D;DdM3$=DypDCiUPGJMSe(8e70O|Go&aY>#ep1DM~bz14MZ9 zXmFv3ttpQmE)=LO+h&Ffg=W3wXmFuKLpcr*^_HW- zg%VBWp#QyjG;mPR)|3Zb?aia%fa12MJboNd9JX8^GY%-m>McjZ0VSHs@#BEvuH|yf zIH2IFw;T-zlxQl)j{}OXmdi2YfC8qTa){yNA=*$51xLMkG#pT(DG$0jtfF-|jIYZ1 z6=4+E3WsB+uy!0dVNY#p8p@tm_ zqnZ=~9)5)?Z<_5k%jTC#kGaua>({6Ol;XA~jedYqT(%50BS4cKK7cBQ zok!7?_N09A}~9wR`L9e|yVD#a-0F=8^=0kFmRq$HnSU5Zq_#jnFfrV=*U3VeCX zb-|bHFoG}H;egNkQ(pYK6{i|iZ}%&7!KZSXi~yVzn!O6~0y>U>s@~&Q=mIg>VFY5b z1Ca98%Eg;XG#LTV>>%J)8bLLKAwS|*>OwBrVT4?=1E^Z>m(A+(mPpkH{aRg6s_X_+ zDUQ3n(p2T&U~8o6r+hlKAaK$g1Wx*cz>47j&>RF#Qk>V*%vumwC7NspCcSwykeY+Q zNs9A&^JpM72Z56m=VgzNBb6%r>cFc&1Eo14oTQ*HE5M0a6@G-^RiJ^;93D}! z2#HjE-ls>4Eho*fa}}-GaQKp6k%m%pEILV{XK&#eFe%YgI8dfu zP*mM#myMqqc=c#dG{>it6pr@h(V$3)rm}%3x8g-Eyc$0}@G8nNu z#kXBOjLPaAemxp0DTB!#;4SXhQ_Rgqs&PA|r(-A*Uak~qlM;ZgtYn&$?C~qnAW9)~ zlM#<66Y?uUz1v3+*Q246GMMbq(V1CWDi%tSYWf+y_Kri6!tqXvnnUwRip0CFV{L|hcowO~ zPdB}aG=x$PlR?0odb7!ycII)#WTbk+uStWcIf|cDFF?CZy@1VS^>x1*4W=Y-G6+c2 zYBs%!yRahFQ+`DncFocLBn9`&*v+gh7gi$G(|$D?c1hk~k2(O*s)gHnBGtIJ%hS-> zp+M4nD3J6Y3Mhut%jQFYB%J}2tFVUO!cIr33;v=t@RGc#3c#YPhDUM9uSUbJ`RE`? zCk3t=TxX1adF)rCL6_uB)&Pj!#?w%0K21o{;eqQ6lsEnwzZMOlByK7hh;yAmk(~j4 z7~r)=gQ)q;AxTFJnjUrrulMWG5K0+L_5g939(D%b=GUV^)ODlB5F{oR+4m5;C-ccmk`7nAc58StpS&dLpv9X;@#fc{GfePhOIA(4uY+bn40>zv}<+tJ0uDc}&Iop+ko-<)$`6ht6NTCTq~4L_;}r z=HeM3h`v9LgrYu8+A&Sid`y${AJZs?W3l;|CP{}c9*c=l&SM4?9l3b(XrM8l$0X@g z#hXV1jrqtWNe3t1JQ`@srz%N0C-LUdKw~~cNz!o$|By48E~-Q3kbf6WBIQ;wlzOdv+nIPO#jA zC%U?XZtNUP4P$te@4HdAywa7OH+`(H?4_M{$*A8>Z~dHmcWgUk=tSrwRS28?O;m~L z(lp&Un!cA=xrf(F_IsPJqBR3cxL-Em&xm3VdW+pqjSQU*ogpL6;&Ok#F8t<~ZryJ% z@>^wSh_m9C3S~3Y0);D3?_?|UkY$9kAPi8h^8CG`U1i|G^QjE)Q$+)Ws2sZ9HV74( z4UR%I#j%Z=Vng*ZG#R==4RaO0r71qlKE!7+a3xW$^cZoBnFr2c0~H@-zPf35bEPP1 zeT!AyMy);R^K{L4!p}m6G&j>-C@(&orhOn?ARlt>!i|-gkey}aS@@yi!y-N>leH~; z#r_KwLyE6s;`@#<5>CF1$a+^Imp^h5p-cDpZagN{)p%9Z<=Ber_MkU#KbLlAZU4(y zCqwzrBJ}{p6N(S>nUx3lGLE{NTv&Y@LZ#fZ%FYVlRFN)t5sEXQRq2U30{7jyd_8BSID@<6m(x@l5TDQH=GSIQ#RdE@ zaY4aeO*Rg*ggd#^kI;y*fUe$Rs1+LU(K-$I|GbVe^y<)SsKvh){aS7*E*937Ia=$g z@spjI!37XA__Zn8XO>1cKZz$wb92{*6Cw|+Kr0zHG&MiA@oM-z&ELZ z>2^Vy0w3f?%RL1S`sFR$FK;c2vw=$d8NG$FxMHx_WtG*T%KtZh!K7Sv6vOG@OJpX+oYIO50h`56Qv8!jvCY;M%g~2eGqK|ia)2-^BUgz@nbZd4Q)AfGO zh41|but2!w<$VR$lcc@HXxgNGH#}QawSNfhEndML`SVh=F!hW0AUspQtor1p6wixR zYLj1+Vh^YMx)ghv`m7ZDnEIR)`HAVmjLe<4LDQ-38zlBxeLMHf?lBgGNc_jgisGxbF&jxzNRQuMIgmsD*o zNYN{fQEmQ7iaw_PKPmc|`d2BAGxhIMoM7t9Qk+y&SV(b-sh||EVyaq-lqxA4mSTXZ zs1$=t#icmSRE-p8n5vWFEK><7&M~!Fit|iumH3<-*%#g>ae2Fr%C>Y$agBLh zQe0=MTZ$V@^+<7(sbf;yVhY3YHd800m}2Ub6nB_PNs(sFgHqgO-We$}Or4Wrnkl%K z8Ky2uG0W756j`P&NioONm=yC&O-ON%sVh?Cn7Ss#eWq?m@qnpYQYs%3sO8}-m(;HOsz=qh^bX69y7Hj z#S2V5mf}UGUXQhW{Pc&!w#X6kiPyoOU> zFU8j~_03Xz9jAV)6kpH0ZN}#p{{+ZYjQrb9}E9 z-^|qaN%1XAy+ev`W$Im0d>d2mk>cB#`T;4vgQ@pQ@dl=TNQyVI<{y#bO-%i$6mMqg z$E5g9rhZ(Cw=ne+QoNO^pOWIcnEHqm-_6udOYuFd`QuW2FH=7w#oL(rFH(FTQ=gLJ z?M(ewDc-@<&r9)6rhZY1cQN(LQoNfr|Ed)4Vd^tdd_PmaA;k|c^_x=sAXC33#e13h z9Vy<&)bC00LrndF6hF+=A4%~e+#jEp;{D9~Qz?Fw%l$JcKES*`m*U5m`b#N3$kbm; z@#9SW4=Fyx)Za?+6HNV2DSncvzn9{tnEFR4KFrkrlHwyw{gV_QW$ORK<*qbgsQYu> znM{47gTLRwGscEO!=SwX-a#3197@fnuVWRN6M8j zR)Rhl^0GB`gf^@x3HfWDH<@HQd z+UO%F&!COIjq(g)^vRTG5_7Z}yB@9Vwww`hp6{-3fok>ShcL_Gb1W>g&(sT!Oq##a zvXP|s<1H+?-=I@1C-v_DuxQo(3p6O6B}eD8D^EVVXxFog+Aa1hwst?e)}zlZ+QXu+ ze3|Aw!=I!1KM}Uu_SrPst@~`kcKbe?u-(GXCTzFyvkBX+{A|K@JHK2<(qR)t55`9MelISzymqectza;vM_$5)hT}|$bzwqOH{)Zd&6(*ch(3L*+z#^te z+V`=bml1S7N5g{a8dv_b5X+gSU+s%SEM#)7{tpqojD7BxTVBS#@&{dB#yOW!+A2KZ(S;OtUV3{mA}O5{sFpEf%8>&ajMW73Ij#M>JT<*h%i^mRRVp z0cPubg^4qms$qXnD5*Zq#A2q-x5dieY+^|h=5$GC0r7Hj&I-chxO9EoFDj(-GDfQJ zL9vl0*XbzLJ`?rwb$7lV#gZG?s)ieB#t(Zo=Dtv=Z z=lPb!4j8~>tJ1z>#j>VxW6N??oPIZf-4;||y<&A6bfxkQ^f9cLEtn+f8(A!98b5Zy z^tq^)Ew}tdt(R5jE^aqyLGAlnFIzNyZA-(>2IwV8FLXBOYZ7)4w!v_;zwO0Vn&oI7 z^UinXZg%7xFqqrNk@8l=!Q#^|y20YpFS^0v(=WQg=1CWQ+09d>@CKWwO5qJQPnE)U`x>2> zh6T2HgUwT=<_$JamBJfro+^bm*gWaNv>(`D^W+zOM*Nc2XT&dwJ|lif^cnF>qR)t5 z5`9MelIRBQTJg<2_6xfVYY}`Pk3Pl60w$M6U(n;P(y@%mr8zPiyEI3Nrc5=DOkY!9O3C{-y zSCEU*{u5Xj~Yl|$G)ZR+K`$Fo*tMA;W+vM& zt$yn`PCp~xi8qS0>;tQdvkR1b!a7OG`1MW%-x$n3f-dz|sPp!N;T011-3ImA6JArH zw^OQBc6{8>$yv7W^kcGdhAo^ZWo90W8i;3UM+A6T`lDn+DBF&b)H8VKZsWt%4KLNO6B{ak@rS^2(Nm0KaV(` zo4JSPLvCDN(dhd`pOdH*{Ae`t{>TULG7c-aT+Gg9=a3I?TGZM3Y@QPTP&D%6k)Oc* zBdF{(e6MneUMHk?28Lwhry?JYAr&uFY-VM6#qM7F5?U%Vj}JOVewr#`NKuME9*uk~ z@`=!^EdCE(igFr*`Ys&#S#&Kv!FVkGBKNos$Eb^(yf5qYbQUPzhRqsE2`oL)! z`T58%#GnViY1u?y++1j-E7cZP@HOioyvo$lDS;BSv z^nzr$4-H_{K73d%gIP!;hX%8Rl(Rjl8dff!i z_eRg-^~#0pO6zc;@L+XG|MKXwe5h3al4iLvDvWeLPa65-$me5`KZ^VbTINrM)xKdv zJN^oUL9Xo0N>Je#`bBvIIDW# zpJMQ1|BPX~R9G6%;tRQpwtp1XRUKzGAj%5LK6m2@#8{bsiTo=$Ui#RkV-HOQ;Rt@G zvz7JPMzrQLGsQw{Qq{|my_%hIU!*m?lqaly7mJYgy+nEP<#HPwO44;GCtTfLhobCq z$4hw#aep}eBpQrX!JkB{>q60xunupe%>k*4Aq<*mq#oJv10iEmbxG@#dW|3|rIli; zR$2p0ZIad?Q}xn1&D0ijzBaY1I!WuCbsiIYbept>m}-*N1*UdL>mpM-r8UgdbJ7}N zszq9(Og)d8OjAtFL%%U`L1^k4XX5XqFx9@!Xm)28 z*MXq?W2TXBl666&mMJH(*tu$5Bg@dlH<;>{)=jptM_RYoo@3Iw%{=6oV%`a9-C^D- zX{DJ;ZO|6w67RC?X=zO}byiw4Or4k3EK?Vxm1SyJT60W|N^72}%hI~X)VQ~!RUy*K zS@)?@SETiTjlCwV1?Jt5R-UuolGY-pPD!i4ytK5ISS};2Wu|7NRb;uWv{qPdURoul za?)Dm)Cbaf$h^F?)|e_t>k+3eOY1RHE7E#_sa0vc$kdv&o^X!G(t3$`FG}kvQ!h#D zt2p(mr1jOz`xIDhOnsxYzMjkdCTYEv%lH;) zeFL}4w@K@DOnry6zLBXnO6&DZy;)k{#5vv~t#4*6-zBYYVcz#h>swjwZPNNS=Dl57 z-_F!KrS%<5y<1vuVCws&^+u+CP+D(d>V49BGgCh-t?y)g@0ZqFnD+r`y_I<%l-74K z^&x3}H&Z_;t?yy#!_xX*ramgIw=wlGX?-7S`GmCI&b&`b>m5w}thCer<8gG~LpwBF0qXQlN%ramXFA7bjarS-#1{jRir zgsI<`*87?ILuvgeQ-3V24>0v7()ux`{+qNu$kZ34_2W$ag|t4z)L%*KCz$&0()vlJ z{zh6q#nj(P>%-hzUzFBInD-CT`Y2OhlGaai8~kr+eT=Dpme$9a`WI<^g5~~AT0g_o ze@N?-Dm7+F>%TA+kk-#KRVA%YsnTL0Y5g2i5o!Hbref0iG|Ne8{XA2(()tCaHc9Ij znW~r8FEO=6TEEOxgS38ysYYr2DpT8~^=nKuOY1XC?UL57D@$Xqkk)T-xx1zHS?0A$ z>o-|$ue3hL)P8CG7N@>aTEERyo3wt1sl(FxU6$*R*6%Tul-BPvbwpZ!z|>J`{UKAm z()uH&`lR*8tmU}0KF_?9()tsoUL~zRWokfL|BdBNOY6^=IxDR&aO!z!{W((?r1ck^ zIxMZfWNK7ef5p^gY5g_JjZ5plGjCE_|AVQk()t^wu1o80nYt;hzhmmQwEibkcck@2 zZneA8`g_(lEvb|u8H&YAJ`X{EaZNB#G)0w^u@l9^! zRh{kM$iw}!@@p~p;(uWZzW86cT=?RD<9fgs|2y;Gi~oZu_~I{f>Pxuli+Nv#cm2;S z&h$RQPlXfCI!trjhJXZ><#hGkwajwul zp~&IfVm2ImZN$RjD0Doxm@A#6pB89)JbN32?dVk*`^MPoW3g|DeG_e}^Ggf4nOte% zv5b97d9E6!wxzW@=7(-y> z$7A>b&JnF{xzb91<+cx7S*@9P!7V-=`{5XnjrYA%W8Tk75odirCxv8R^l2$-nEC}N zYB}|nq^M)+SESg)6n>17U6bX}W`KVnDMRlF zy%XD`*dKTUj^p@=UU3#~=|tqMl>EoEmeqgUgkSN{i2jq9m5d=6vDw{+P2MXO*3|wu z_GdAxE%v7|t6hm0!ba*BuFg~Er0PYm&dO-@PWP+bi1gZgrj4qIjV`)KTov40TO9>n}AB<2v+ z7+Ne%7KU>a3|K%z?T&pZh8ze9h$}MoPtk^$)e^%-U(z5%EJgj#GZMY^{Ys6*e~DqU z|EU-@`!Tm2Wb8lK%YGSA(pE&ES65o+agQR5cO!>tD7pRUL?9|S&LDMm*l`gL#H^lp z5HP6hW5g2H{gpaxQP=HaWTY;}pSaU+kPpW(*(CHnaY8Hccntr=6xdug0v|EtpVH?_ z59!wK0s-a#aBRK=LF;JcB@u3I<$Dtjx{W}eIYdCUeQ5DvVJ1V9&19yCfbE$_Gg&qF zgyRVW5gn5`avzRw=CH1sDbP+Bh^|U|`iO6hH^kyw;@hP7Y4I_Px_A>X>xyxQgyTCf zRdEJ5erxx(mLd2`xt?Z>gCnU0{I^R zRMGgmF7oc>T05oqM=tPHQv5Sh1IP{&Rd)Qv5BZqJ-`X;W7qe>wTQ38Jc^SyA-G8Cr z>$)u)%?I}1ba{@mBUeW0+03Hu&Yp`8!=1%PVAX((Uy4q~tX=WT{!%Lp?e7#u8#wIeH<9<c9${#=>eqrTgJ2TIZOp{1cYw!aKKa-Bv&l{AijWg zU_9?{Ai5ws_=uc2{;lYkBu4CQZW8Qzrr6lk3LTsqod_N1IL~OCeq74Z_mFKr57Aey z@8>l~uk41#`xv0s)o3Sq7kn9FPeoAQ6tfJ+vC-N}lacE(?P(K%<`nc2|E}{M)Ix@2CsK->}{}oikCWESutQ;v0xXj>vf()PTj3(^n<=hjW&C@@$K&zuj(@M|_-L}2At_p%URs<%&hHaeufAX1 zV9is}!<*voknz`r#;6hAg+_SyrqCGA?A~f5v7G#L{0Hi-syI%#LpXO{oxw!A$A4gz z#2%%h5E*}8{DIpQyJ&)D&J9N;lH`I2hxo6vF?n;Y$4+ zV!k|-KK=i4A#LOPa2!YMpNjt?rYHE!)x}KlG3}-o=Vknt$+3Pp{woz0W4Km5YNanw z(4UG)iZ09FRvw!)u_wFTR{Jcs8V-2GO>?!J!O z=#MIFLa&lBKnVS*Y-KnXpH024O@GEVeE|midA$`Sn?BDr{S{b$U2nxIZKBKRo7ZNb89}90A>LVw8RnGgB*e{e?yKj?kDp8LH*|e|l|sRmT4z{*Tn3U&1i}m;S%~ zO+`23dm%^{;nzD(OvTC0<`x!O!|{L7uf%Z^Xy+LJw`lxdDw-J651Y$s7hTjiLhtJr-xxD9A%P)5|smtIkGy7inyx#slk249Yf zn$xx9l!I$dA6#$|pQF#))4f)>w1-?=d2@r;l3K$Oo15A)`iMncEcZp_UWtv#+ZB=| zHYV?23c>a_C`3yH+uzJo2f+@)@8d_*_XQW;YN=K(zzcTo(|>wZsMN{W+hlhP)}xWu zX$0;&8pUWc8WY>govs>-mRh*mt*~06_F6yD)?@!cwHv*9RHNHk)=RQ8GdSqu48E>L zkM3XB)%cbysIX@!k7wu4EYey4-FyZwdX#%Xjb}Gi_4v7{JS)%R_>4R80*>vt$A_i( zSGAjyqu6XZ1*m(o!t%1S;HGYtavbx99Fr5C+t|Fl?0r(`aI3*RC_XK(#9+-;Ec?(R zYWIl0{@%p)6n7)iviAGg=36pgv87YUiT+nP@pp@_Uyu{o0znp@*2V-G5SFtt5aF~r zD8krMitrbA%P@_<4PK=ynS6E@8(AENa=YbaAkIn($cQ1SlvEDQ#X>%d_zD?R%km`| z*v!;Jyv#_GZAk6KK?G>d-PXnjhqKWfM!U30^zH~D&DZ0 zhnJ9nU7Y>vvHZ_1&Md6XX3Mu~E%U z7)-#KfUhR#Nq2M<$llny;!&GcOXN`ROz0mBLjH1X4) zia|zq{!;?}_%fmoD8c?y(E@Zw9i>+^1l_hz38(}8sb~bcWxuJ6dDXf01V!^XPLH`0 zegmiF?09|?=g7?atqpS8^+3x|sUEka%9wRl3^;*iZ8$;kfy|L%UGxnR=%UYp_?o7& zPFyT~`oky6{YD@2AXOEuNH^+JLPaam75bFGtw`U%@G7PY@F~3l33SUnC2+y&s}$wN zrVR|;XpeOIWQ0#6_nQp}`hwg6R${v zgZM2@tJSAq)i#*8I|;nM!vYCRGv!uS0?wRheU6LXQlTE(=3nEqZ53(QE`Em7w(Apy z(!8Q3jL&kxJ1csP#}?W4cP#RX3hj7H?-QJcvjTTW%oXq>hIEEbe^uRH#}?q_m3OlA zei=BRrnwrdr{B%IHW@g~JgleR#XMj`J(0= z-sh;q5%;6=mQA z^RVcA6;lsoV34Ut1P7>QIDiPyhL@i}1ZYpoPap!cwdE&IFKSk@tF?VNd2C}{z~5Q! z4K24Df2;X=6}72(ZC$A58|tk%qBb?JR#BUp*Ms#<^_HxR+R&E-eC-Q6(P~L4V%%!q zQ6a&Qu_q6jDtsDHZ+AG-baAY^99yb+3tRbCnDkxsRt;JCMz->M!FpT0Rco*kNP$gV zG-N6c8R!as%tiK;L|;hpnFDD1%yC0qH9yGayccD^uimO7bKcG7{0La@umAs_^(fM-fG9;!v0=rA1rp95UJwuAb+Vr(b%pN%o-hx}}21zRda{A_{+QxNg9ITTDm#LuQuFa?q1 z%L4ne>xObH&=i37l6>7wE3SJtUiTij$h{89XV<+8uX{ga9dJm2?z({yt{cAYhOs_i z13?R8pQjQc8vDT*AY{J>lY9wq{mF#QD+s?u!tVg*dxsPEQAw?QO_Pz>) zsm*}Bx-txGF6`G}l4-z%Ni>ZcRnYu{H2=b>|8qz&qxpl=1g0=NvB~i zz>EW05DTCdlMBG(!!+Ki@^Zlc7h{zAd+-*Nmj@owm}f%^Vbe&wg|JAnB50mhV9`SA zKM%!=slPAAs#E_!jDg34pG`!eB8d3e#4M&D;%7e_im6nqHHIg{Rd|xR)ISsz9?OK_ z0#OJe$z)JH?BemBWdmSx0eD(`61AR~dJrm#NsH!{+VV@`nQ=8rgDvmkV@+N&>Muh& zc4x75AXaERtLlYnzRnOjoM?N`deRWIdDm z$DmgD0SiBSc|^ig^OQo(-i?=aHuaB3p>vrKTpJ2OBpC&*&3>MSp=hofp4^^7lz!Ad z3ALWjw1SCItH#z?3+%^em{tudJlwBAt;48)Dr$ufbis?j1CHj6gv+3d@^8pM@oFL=C|g(sT9 zG{xIFizL~L-4X1P#092aI=d~PtAVCEh5tuXc>GD@z2M+E2w`V z#uickRTzU!`)kMNH2Jh>XW_XE3&z-|RP?pYgZZqZ;1MEq=?0aFn1v&jWaLB!AI7%-LESei7Y{_BzFQR=@1V~SPLDCz(RT%R1jySCm6GTvm+0{WX74qDuQ3MK#bfeb0$-oJoiGpE2kJY)NmS0v zjTk+7^3<^>35mQ{@?Om$b@N_>tBE_4BrHeOOI1u`iyD3-?@jQL%zF#`@bMDg0pEPQ zh+W{Xk9qII0xYcI-PC_KnrAQdFT>bASd@iF55S@5>URsB(L1!g@9!~(GJgu_NduD1`-u{CcA>YLCa54eQMC^bWO_T6Zy!0$7EHCoO`tXLz(4 ztUW`C5?FhNM`7*C4;VX=y>+s$4t~@yu57TaQKj zY&Hrl$L=IH1%)YgC$X6*o?;O{n|{I+M3QaXocf=^Q@{t-ySe*c6!JD<9?Su3!5BF8 z`Pp<8Dq@w)X00&ADw(~6V+tb4Cuu?bFQS_E;3bZBfpsr!_^lX)k#h$gWg{nhjYmbS zeXt2kOljH&j7?L~py#HXlMOXV7)`;;Fq=C9M9)h-2yb#(sH|`5L6|R^jR#>wO;!uf z05kBK-~~VVAdK}h@!*iugYfh<3lGBH0LhXto?nayN2VNPPk1HD(9`px(Nl-Tx0A?< z!Z;j_AMY@>Y+M=ao)8@i-oiL%-+jV(_^=lp4>QXEML2!zl;dGo!O| zqBEiw`$*f08(Lgbd_4m$Y>B7Rk)m6E^pc$DnCPW;_(_^KC-N=3gXcxV(fMqL1n|

lJNjVs;RNpyXuPBNS7B(Bu#ZW_4=Pn0;BE7< z?C7IWc%pb2`-@dH+A}hg)!=I2d&XR9^65r{qf*V zIQX(dj%Eixz=N;R=)g#nUF#cg@J&0rmqY5Z<9qS=yEHl&kAp*06daxGD){V+17*l@#UDKW){8#XD& zQ!L_Vb90!2h@VY=VTz3zY!(btY|LPDQJ6{{GuWdcJf6e9$^9Y>CZ8hsUo`qVg8u{H z|2pJ&4$kJbP%T7~q}iio2>g54FIVyq7?XHo6t&@hKpo+VZQqk-mlwEYMCa~|23YWklHrCIJ+3mP|YFr@C@-r7Xc{-0pC|HMTk17W#G9B-T&=ls9g&ojsu9}9a0}5;*EjLUnQRb zviQm2a(S3q3H$>pK)|Rv7a;&RSq(gT{D_t~nc9sIqB%gca7Y7$h_^w_>=}FH;U|Y` z;9;t<*y9Vc1dQHu_|R^*O@U|zQw6^uLH?srLYT>|(}&r9jQ%*wIa?g`ds!|;5hmD10ER%!8Tw+*)0hx>xRH@9Ws{)SX4NiS86gy zYJITT)r6G_dVRQN@36(tJw3sDJyF|m?$9PK1}fdHSlpDNw%G@c)$ZKr`^jDdr^pUsdX z8ASYS;u}*C@v~34m`b%}%ce9?6V<#0McIrtFUle{D#nE5*$lSy*xr>xg7$kL0Nc=k zCzsOBHNWuKo7x}PyW!^??L9F02z#8uhJBNVjLWjYV?GaDNaGpo4GK!`kJ$U{0}#tO z06#Zre*`--!uEwQZhgYZ(!4P7MbY+WIpk#fbGV+)XDd{E?xo`H!>JhiOZzLP_iKxQ z>%ocfH(EJ|=DOckmi-+J98d)2s}Hk~MQp`zf@Y10{e%5u#Qxs?34Xkb&sW&Lz`J+q z+s%|7)5iCL*T5|MH|U6mPMFba0?Z?pjbvLR7O|PeigyQ*e+sq#u>Z`lf4Bd#$QiIC zdD{567<}EWgU_BeLJ$kKLY zqro>n25vaj_{ekl>5|0cW%7eY}X7ILRN}j|Iyj8Ix)EG z!ez|)c1oJ+>`!CI#$Xw5%@|DYQPj)5DVt}->iNh#O%q6HMQN8~{a=;g_iL;{PON^c zA@qC5*KG()Gj)JH1SV(2PD*oKtOtrU&WSaOLC@KNbcBr}VlZ6#2Q{X#W~{R6$C_KD z6U!;3u~wLKibXoJoF+7OI_8{V5jG(>s40!L#hi8)>B4eOrm>Eg)5#)TSxz$=I}3BV zSfm@vX-;F^G3RWHbWiX;?*qSX3(dC&%#g_&f#>m>>y;hr89NVdAbBkQWdPRKW97oW zYc*nhVICx<2z!FSGX1kjwb=R4ve`6#wb($mB&)M5&pS7nlD%2V9x{e|n*bTVi3a5W z*kEP#*Z=XEuvdorb!ZNlX_!U8wqQt$*{?GUG|;&ud>~=D?aM|CnKqVLB{niP3cjSo zMnk_5@0}7$WW~nh#KzJ`EYgz(YV&a~Ho+pjXaN1YW0Nfc6_fC^le&RH{DVJVLy%?| zO1(WQMjiglM`P1s(_z$!T@($+W*lzyCKs_|v-qx%X-*mM1LIRke817yY=<<8U1E{m zE*Hff-QpKTV{?%UrYmFf9nzQy_p?ZUi=1zf0Tvl(kqc<#%y3%<56(=nYwR$*)Uy2) z@a9#|zt|zAvFqW9FQrH8oUqGj>_!--Q#-wcBK*F&IXiY!?3SF^P1d*Y?S$5Qhh2TXCVLz=LQO}%MZ1>#M6 ze>TaFJpeZ?`-YPrd(a|-Y2>U(7iLcIe2P6{N4hwqY3zE745g7Cc;E>*u*xAPv)o}c z(i3ynK<-+HG-J8LX`~nC!kW(*todxta$z;@d6)~+gfW;VY{7Cz&`58D-U_)dIHV=Z zg;kY(Fn0&!zT}WrEO!)*^hFJ?Lhfr0Ifdnpw#XQZjJ3!(8tI2Z@513-4mp(_9&eEe zG}0gCz)Dv|+h3EHcv~vn+D4MP}2;AS?lscQKf}Ys*SN zi@ww%b7*8RKjs9YVJGO2cI?<(i_Ejgd>TMUSSKghVXbk?_^smg{^zfN)S*r;)VY%f zCyzR$ee4n%nZUh;90#)U9nvAPf(Fp{)`6!M)o-q};*NEyp{D8}R^pJ3Ow&cE=?KU= z(jlFg-;vU%B`wxZP*xk2)d9()9dahS{V#J7R{9R-I21k}gimltXC}PRBA3(1^JwW4 z;lN1_Ig8&U^7V;1+?7`NojIkbr3n;n>X0t6OHwYr!UfD}j)hx5;g$~R%1#OUp`G|&uV@DO_y4Yb5Wdm1hnmLhLk-h$3U#_WXFH^ua}K=rjDVFyLxzp2JFfRIuD>AOYgP23@Py>_ zaC*XK$WAYdTuUQ=pe_3VVPA)IXRY=+8aM+lWk9m6npAkDIv1d=27%;Yhnx)!g$A&p z41=uU4mpQ46t$r>NvN2SC_f4ck9NqpOgXi$XrK&ZAA-|G&Oa8pl?KoU&^bs0=(p#5 zOathQ=X^>7=s@RuP6O!l=6q?9+h_pY+?;P{0R7M$HpRdu_^9&(n)XK;KsPeyXN%lU z1M~63ztO-#jQwGeJ1lZ14P1$7pjk=-S7YK34P3`#`92!B0b>CgSb{Nlx4+9Gcf)Sk z{9Qjk+amW^)V&zd7<7M#K3hI%E*LIa=_WBfl|Zl{#c_WQ9fG6ENEc5}QYUGwzj=50hs+lg)3_ z{Fc1h^IKWuQ5tw0IZmU2Cou-Y${LKdp@DT6YexecG1h?wp2ZlvgVs@*6W4E7V0T9B zvw#tv0EaN_QxUs6WWkUzlwre7T1(Y7oXz_3RooT2dZMmgAb6fbhA~~OQCDBc>gSN* zOxNSE%`w*AKpNPLw1X&ZN87`bN&XNhIkYlw9Q;#vJ`5ve`DHY)74?mzv_I+_4f-y0 z$cX$hi#$OC+wk~!N{8U_iEw<9Lq@XWt7zaQJU)%mv3MNbl=5ddWE4C8Bn`ZR$7fSI z1&_lRkPl>gL?}PE~0cH9)vfUd{~w-h6z7K1MlMT z>nXhnk3+Yf58e7$Cj34gTtexccWF-o~-Ea_#Jxl|iU~DC&%TNH;K;*+3hzaZ@Yb{c4k#!bXPwAuli8+5Q z90o6giR^J;gGDx4%(_OJ-%*o5ZTM*}~gjsrCCGsZr$2n;dL zTV#txwoja<^GzyG3?b z;#92<(W>j~4jB3oskDEcF)zVQ*~A3sbt0KF#v1 zXt*HTrm!;Qq8PN-XZdYjkPEqa4w(Uu0dzAKj6s&;keRI17A#c=Sw#++#Y(-1rQj{1 z0Nx@lW~H`cDR_%0fVYU*P>S%^R)0ZlN_S%Uqv2p(hg`zSzk=oAGkyVl#=n%6-;TKO zI|k2U41UL8C&pmAz?U)Bm z_hM|gMLvXG=TQx81osBUMq6Z`MfO|dfJF{k1lrlh76EtJ11L2aHtfdOR2nQmxak)8 z)FPi*G4J3Km%8D;his6~X(_*ECoM z6IWT}8yY+Y6W7A-`KWWTMZSfJXgSjGn>Arscf5n31vm1crr;*9>&*_C%Z8fwupPkD zZ2>&p&SOIjJi;X1`Fz-7E5s{QEk#w}8ddKz*8C1)i(Cm=k2+)_%la6_Vg955=1(qX?ff$=^%P{SamW>{)E8K49b~O{ z$d#fd0&TOZ#d*y)~WwUgN^X`JCy#3$9KW;_Z)H^j1s|<@!)Ps|HFfO z;oyf3S9t`84>1P9^AhOTD^Pr-wq(cB;&gbu6#I|h%__!G^|;iFK&FL3Zzhup*t;)_!Q|8~gD>|iI9{Ez0^{9qx8 zh6`bz&$A6~5Zg?Et5R3459vqK4b6>j;6Usq0BKL zbF4$|U^2f_@;$#R3hU7zz91AfpuwRibD~9lqroyvG^V+APyx(d6vFJqolL>+iH%=f zg)JL@VGBMs6}AMqRt{Op#-=~uT`fL~%|Cv@_DAi8Oo1;8g>0X9IhCm#V>6|Nt+NZy zC~O0J+H+UrLikvhut_)0*QdN=vDwjzS?c&Kd|`(iP}MQb6~LgGRHNYYFvVP&;R7JQnyF$@mR0L19g+m>(j4A4aipn5sghTFQivF|6A)4DC zImf_(u@1SPPh!jNuwr3#!u3x;?eH912+y$(Fztg;`&7u9=8)x}9p0bGf7qI4T0WoU zgPnW=urV2CT}pH2;d$r6rstS9pTf&%5%d;?3oKud<__aMU*Q#?^Gb(22oDxMxQm9P z*fo%QtwSDSxnY`HhPmLSTL@mdD_Cw8%^iWcOCT3EcYK)TS~Pbg=E4L-AxuC#qE<}8 zGUtRV#%YK`n1(2XX^53jx3XN8lNWHzdp=P6u+rQzYY5FU=7(3Ngd(L6R_X;|o62#-^% zbfqiiU<#kZ(l8zr!g%ncuC$yhriELvGB1W)*z`?Q@D`MQ+aYUpr>j^9UbqWOzXzq?cSyOebi#%2!O}4N z6vFVcj=|MKIQU__!h;T3uY;?Y$1D5<6?_V%KXb?irl1ij_!6?da>z!Fg3^R){T3C# zNL2_U)zeG?zA6{O<8~oDZa6$4^(34Oux3d0uzAidJ8giwa;2EP^p`3sW!% z6*!QU?~tuJ1r^P&s0bAlL+NS`c|pV5q{6;MHL!F|D1C%OUSy}6ji;*xS+yOq4W4VF zqxn>MQC-M7#v$9;gmzMkY+6z2MaQGg6QFcGhwK2IwjXsigsc-C@{;BfPOj)|iW;MW zQYhWTAv-mfaB{`HT+|FpH;2+K9P%8odQ{>I^-3&1Tv3ztVQ4$lSF=UMSCx5 zgR0tsTsw!n%2YK(@{W+z$sw=l$Po{vZIXQ!fxDV5MXq4p=^iKl?v zRENCBRLw;jUIbY)9P&O>H4at5I-aEZY@X0GE_{Y=44sNinMy2l|0bP5uh=Y6OEW~7Q>M(Q9_a4RZ! z2(nf<-gcsUl|LhXC(d)digY%O}x zw&5%Mr|>3b--ie=h8DpX`WZVvPJkD|3<6Go7r~4HPJkD|jDKi4{9NsDTEw;~ql=2( zp~0K+6uW5fR*bz*gG({Cn+9_%Igzmh zkp%n;ljRb6yx&j`hQ}fp9=~LFd4t1oGe6-9=)X||e0DE_&+cC_=sW`@%ID-_A6HWB zkA{l_4*8lXiPGTH{0fS*ET2t-&tW3R^2KQIc}(P5K8Mz)OHLF;_P(saWwcQ=A8gPE5sW{aeW%xg?SBW@B@sU1V15!M`8VF3{TkvenJRi z;Mbg7SrzwxadUq66~hVe$PcWQ z;B<9y2O9hs0Xxy)7Z~eIgI{B;3k`mUF_=Na3De@UY48usJC_Fk##m1p!fj8B&!eFL z=JlZ=oVP3PM?*MiSA0GV*?4py4HaT+5Dk@JYzPhE{8jNV8mfzVWi*6-8pR`N=tRsL zO+$?_Him|pVQd@?wZzy28o~*W;z=}wyGRvJfnT3PLr2)~>aucx6ch_aD}Uc}flG_(U_&(YAU7=s`5 zDnvtWp`kZ0?*$rq8)MsO=zWasprH>jwv&bqVC)qd5>5Oy8u|?L-k_l`G4>V>eS@)g zXy|*4?V_PyF!nwT{f@ESH1rq7_R`S57~4m~IB8vcfQCbu_YnKOZuhHGN%2O1W)!cWxR%;%eme*p{r>X4sd1}rS@jz6gV zJRXFJxnh`@`-L4m4t4xT!}WNq8lmmoH~kDqwpEnT2?I=e)iC+76tcm<_Ql!0ybha_%tf+<#F%#-n3e*ngh=6 z)xdSz&sIXgj{1{#ru7^Hzm zq#*`rAQEYaK^mAu8e)(JDv{=VY}FU0SuDU;e~C2bV;d)nH0NVGM~O7&V>=v+H0NV` z9E&vPWBV41H0NWRI*K&sW7|54H0NX6GKw_kV~eXW&0>MZY+odi=6q~#K9S~pY<-kS zb3V2|pGb2)wnLvtb3V4eW=6q~BCz0lSY)2-M=6r0kC6VTQY?~yJ=6p>hABzPV zvu%s;IEz7A^064CB_E4HTJo_Nq$MAVL0a;$7^Ed1i$PlQu^6N!A72{Xn5|?+9u^BU zX4|lcH0NVGvWPV2V|%iQH0NWxvWPV2WBam*H0NVGvxqe3W1EhMH0NXckBBtqV>^(D zG`AmHiv=391)d_!`PjmBk>-4C>AFaBKDLfiq&Xj3buQAJk1ePa zY0k%1Pl`0>V@oGRn)9($%p%SC*dj?xvsj=pTM;SJoR2Ms6lu=K7Bh-8=VJ>LMVj-m zrFbIE`Ph0nk>-4Cm6}L%KDJIxq&Xj3sV35#kF7$(G>Ziqv*ALdIUgG^M4I!lAw#4& z9~(48n)9(?L!>z$8#qLo^Rb~rq&XiOJVcuFvEf6c8DA+|;m^}N2I)8-k3l-l$77I= z^YIv@<9s{@={O&cK|0RIV~~#X@ff7zd^`r}I3JG%O6B;$W0017ECy-G$6}C{d@KfO z$;V=lmV7J*Y01Z8kd}Nb25HI1Vvv@6EEXu0;|GsHTJo_Nq$MAVL0a;$7^Ed1i$PlQ zu^6N!AB#a+^064CB_E4HTJo`2pj3_@JO*jW$6}C{d@KfO$;WqoE0yC1kHK-t$6}C{ zd@KfO$;V=lmV7J*Y01Z8fl@hs@ED{eA0IzT<@mv4a9r~7@uO6ZA3O%fB_AI@O6B;$ zV{lyZ@$sWnjvqV*$0Z*hKT75J!DE3^IezdMq^12>4APR1#UL%~m&G70`B)6n(ta!k zY01Z8ke2piF-S{377LWh@q@=8E$zo*kd}Nb25HI1Vvv@6ECy-G$6}C{d@KfO$;V=l zmV7J*Y01Z8fl@hs@ED{eAB#a+^064CB_E4HTJo_Nq$MAVL0a;$7^Ed1i$PlQu^6N! zABzP_<@mv4kd}Nb25HI1Vvv@6ECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8kd}Nb7ATeD z2aiEo^064CB_E4HTJo_Nq$MAVL0a;$7^Ed1i$PlQu^6N!AB#a+^08Q;i5x$84AOBv z9*d={=FO@OtI*wczhdi6v#P_qDeQTv_~K<%-^4TH?gV@hN>=sfY0l?4U%-22^{wnz zMJi4x7Jkx5C=zCeVP(Xu$i*zSgGL_5*iIVRg0WXH1{`*?WK`CzP(2EeKc|g#tzWP5WfFM^^a&|GR8ikk!vva z8I7#N*cUYNCdR&^k&iI;4UPPXvF|9gF!lqb6k|V8YGdpdN((Ud8>Q7S_6MakG4>aw zwJ`P%rFAj(AEl@8SP7x@42=0HeT+U1wkioy`V_{%U#}cv7Nu`sxg1J&V+_1pj=`gO zG`AVXY?|8Wx;1#G;b)zTG6~|7(10lu|7&pr*;Z(C}kbxYb)yD1*+NbU9*JCoYo zFm@KTu|<@0rS^rG*PYr^Fm?{L=U}V{wJ*b1FKS~Lcv}$CzdHcdaWEq zwFHT!r4jXFA@2d zif~TS@wp;@o(SiQ@Unz@UH}{mlhT(b>A6D4T`8`6kqEC6;ngC%MwGiYN&Y&KzgU#J zUZigj;f*4^NrX3x@D>p+5#g;u{x*@mU8L_2;hiE}D#E)&c((}e5pws6^fD3NC-UzX z;R7OEF2V;z_>c%!i11+%J|e=EB79VYkBRVc5k4WpRU&*+gsVmPlnB>|aIFZ-MYv9c z>l5tD+Sdk=zfpuwi|cwugwKlbIdOcG2sexT=S8?hgj~S%j~M@Kq7MCc@W6_=eznGvPe!etApezb(qWBf@t@{w@)|C&Kr|@ef3}TZDT= zxL1T9ig2Hh+b_}wM0ikyABp21i|`YX|EUN+6ZxNu@Cy-sDUN?7(qD`48xejh!tX@* zy$F9uxPDg8KMFlRiQ_+u^e-a)s|bG+;qM~+BjNu36ZHI*l>S?k`zPUi%-;Ws{QpFF zNIeeUi}-lu^NG+e!hi^a3FU#`7fMKjeqT5#ot2cflG51;+|X~qY8qwS^F_pYDcZ%C zE5baH9~Gf3!k7qQJsBGpeEA|Q5c!27EbqSa1P_n& z9mVz3!tvc#TZDB)c(kuBKYolzA1lJ+M0mUiPY_`}QNF%NHxTKDB7LF=PZD7x5jGZK zsR)~hu&IzgS%l3**jyZM;cLnHT8Z!!5uPf-(?oc>2+t5d ze-WN9!T};2D8dUwI7sLjEYd?nI8=ngL^xc8Wg;9Q!jU2zCBo4nyikN=L^xK2<3u=K zoOglUJ))6;e8^!UxW_`Jrl;R+Ex zEW$@bxKf0VitsUEzsE)T388Fn*<5l_`Kmp-%EsatzWO)_e!@0+eoOvs@1-?>gD_1sbB7UzudR4-1kwp z`t=%=`#$T_U=;`iO6I`75#sxoI9a~US-x*e=0Zl8!0HmsZf7PsK4Bxz@f|(>R%6*@;Qp7{*zFLT%g!bdRHk_ej=3L7l-ou z-JrnD&JfBU@?xn11u86|vfQA+^~n&*=c)QssB8(9;|2xJhgAwys91_hs9ZOwJYy)o z?WOvP6sVYla@?T6H?2x7r9u@*s6sa=aFa8H@>la>sba-a)g@Gk8&nNrC~pm2g{mo` zj&OrI(iqBL%ZsI|DVC}&q3XCn9c>Kd%~C2R7*FgR_0KiEcKL9eWysMQ{A9WGl%kIDHZB;33Y}W zRBLl6PnKGvSgMVLYU>8o&K$~4 z&8lLkHxx@`59mjZQ}gj(PR1ly0eO*4k(sdDxvOjgM$46Oz%EV)%URi zb&rI)*9~f!Ih3dBQ=#sYQ1`n*!A>uxmhuGksbZ<+66!%WsE5pdqm${fm5_5GqiJt?79yFoo=4&}*GD%2VY zwbl))+#Jf2rG8Z`wN655gZcv-dp*&UJ zAq8r)gnHf$3O1Rk(jHxf+A5)5aD#&VZmJT>?^i6fO+syVgMzJhsuU`qK)ocPcDg~m zY!3CRhsR-mt^)O%gnHc#3br9KwUj5QJO%1a3H6p6)Z0}I6;+_#kx=itLG7wyC|iMg zPeQ%#2K7M|L&X%R-4be#8`Rz^hEm+${2xlFeQr?us~Adke>)(d4!S{oRK-vQO7(p# zp+0eg`m~CnRIi}VB-H0_P+wFrlQ%w#Tc|v9G2A^{a&X%?%26>NAD<)5}xB5en2_66$X^ zsDI3%JhiDK6{vqD)PHVJhs>coS?Z`nr~rvW1$-`00lztvCri~*paK#q=mr%sh6-eP zX;bYKC`&?RyFulcLs2hKlNG3336LzPIV8g5Xq@u*?v8934l)Iz0A9VMY^xk1%7hdSB=6q&0)N#Egso9{6D z9eGnrd4ig!KuO=>fSd0y`!Q=%C@&u&$tDF#`VI%&e23XjTbn{P@=|@96{yCtO_jQ} zsV2ry-d3$C&Juy966$0(sAlF+UL2Z<3e{XfwQz%KX%6LS)tchL5ojf$PH}@e)f~!` zrBtZXB-H6{P-hrJ1=@IN=pQHzy{&|5=LXf@9IB%isC^2Q^oR|(dBn0GtTycux_E(7 zzV`*XN=tQfvs8CuC{L?F$R~=W&X!Q;xIvv;#Zbye$UqMX)zb~CR~18jrdaAc3Dw&T zs*gF;ATMo7`7#>lE1~+iLG?F=3JmZ9^_60&ffDKhH>g3zP<~IAQlSP*s3C4pL#r6- zTg6htB-C&>s4{aXFAmK_g_0hz0XL6W_FMCYou{{c>N~|!(jzwD<`K(&_TCiAQ=3wu zq(^MP%_BBY36EGW&Zp#i#ZuBEHsIzF8>oawtS3vUP|_nd;N}q81t@E63 z-X(adzMqupyG%kYaD!TC4&}uomZ(sdOQjrh5F_fp(wMkHmCDiq9P&XJu1#a?k_orIibA!6i9LkgD zzTy=WxL-m&;0Cqa9Lmcxx=)3AP(nTA2DQQ*%F9Du64b*I>Jc}nmF7^MsxPG6eUD10 z$K0SEH;3}_l;EQZ)DseFl^fKP=1^Xq5|W@+OQ@&Zpw^f}dFrQBORbeqS+n}j2qOm=1`vQzFeiDKPRC!xj}6Oc;^`aZpwkn2FJk0{zCDaZ#sF$i3s!(ZDJ0;Z1Zcy-(xQ0_f-r7`= z0`;nddd&^$^(ux^eDVTsNT@g6px&xtD8(l)@V10{#|`S;Duz;g@&dag)O&7F@WZZE z+NKnryub$%YPTEI9%CrK7yl`r;*%HHE1^DggW6{dRV$dPZJzTP~SrGA%Cf4D*YX%6M-#X*IVzQX}G-{C+de22YE?)%y(mXf~10XN^_KqY*K zJwYiRu|erO9CY&?4pzc<*vmY+Plb}c!$CLS;h?$iu(vLuePZ(W_)ib^Qk4J!6Og>ocRz8h45Ih3cn z?*heA(#tyN=4Bl;_p%Op@v`;}Q=p`mbwaohYGBa)WAQ4pr&}YL)`kL_#%ngF3m2p)OXSnn|eUZcr`Ep*&UJ zYz3;NglgpmbxIXOU7|ppDxpqugF3y6p)OUR&X7>8-Jsf3G1MFdO8TP*-Tcvm=Kkp3 zx&&o0Pq2fuR7W>Ub*f@ZDT{f6XG*BfZct}cG1Ppe`npJ{u5M7>su=1r1**G*I@=BE zoGOM=*7pU^l~6t0pn6s@)I!Bly(H9mZcx3e80vBbs*i-~>ju@&7|Pr1unN^*LY?mh zHNY6kTR(M$VkzmlA9VBF51M=KdxN@Cff_6=HN?$QLyaxvt@>1`VG?S%8&sJwls8K) zQYLXC8T8f6Z3p@%0=e{BV7jD#BN1~twcYJwN2IttW82{p+LYO*=hR4-7+D^SuO zJ?Q3-9yIqy56<)gb%FvlOIqq;H%rYnx74MXL(P#;bKRiknL}NcIn)9Pwa^Xfa&xFF zy+GAds!w`Z2i?4^gXUh=-WJ>|-}{2n%R1=hWgRs4vi9Wq?N_0smvzw1%Q{%aUe-xa zH_A43lUtj**|<%4a{W$%xy+EC$Ks_X@Z-ra+J#1WkelMSE{VLQW z5^ALz)T72w!NB^BoSF`wj=6@&Z+=K&_FMTI*)1a&t?q_X5>Kf!ZLU zHo8GQUByt%6sTt;)U$3-&s8y0a|LRXgxc%|^?VgWwNRk8NT{uDP%l(5R7(ZwMG3Xd z4QjhN)Jt9(`Y8&O^oR|*dBg_IJz|5ed4W1rfqGq9>J2wby=iW#x4l3qzAnLcB-FcZ zP`k{bJawKb)O!-@eK)8N%%S#pvDE2G^+`X^pqrm((A>{6c)$x(Ck5)DwA4p#mipM- zQlDlH^_hhF+zslB|0&d$66z~AsIUL0P~S+XZ{482Gl%lxeCk)*l=NN~<$7sT zT@*{@$?A)`RiABMeNN_3`4XzY4XV%_s@MxuSH)7*Bvf@bs1kFinwdjMPqUDlr&-9{ z(=1fm3sg77Qgx)Ij&`$DU2{tv>jkR20wwqJ47u&+88Y9`GvsA)wEt`cs)4jrLpMvE zXl^M_Q0FL6CrPMAZcvTQp_+K9zH=3*rV{F8H>hUDP~IM0dMQxNB~%MHsFuc1p;NqA z>O2KX`cH-2{HH?Z{!^jWUZ8p_Q1TbC=pw5%k*W0c7`j}T= zKQB<{D^SwcCFJJo5;FI730>d?YJdVYNLp&Jo27=BTWXjWsDTR9a0ylB1~tMQYLpi! zWsO5mN(P@#!lEHy-dnk1nnyFpDchnnUEYN!G= zT|!;t1~tPRYL*u$Wr<2i?kE^?+fguNzN4VGH)LgrO6XE)sX1osDt;e%N~lF{P*<5lUE{@4qZCVBE1|A)gIa73b%PhE(F&CG;0U>S zaD>b~I6_OjKq)JXLbpmw-R5Sg+s!R?rx&O(ilvrHsJq;t?lyIU_gIn)zgpe86#t0dHuZcwYup*+n` zO;n(sl2B{hpw^m0d756Fq(GHRsC8~o>&>Ayda1t23Y6T_EabMQS;%}(v(P3lP}3Bs z&C*iOyIE?Bv8B8@;HXerCDaRUP%j!og*;WC3bjo_ZFhs(VGgy^OZ81xs_$h9^@r>w3G?UGRMxk0^e4z=40 zl(J?tv`0eib%XlQ7|Pp3tO~VHLhW~hI$#dv=^L^NB|SJoZXO(=N_cR1noCfjK9QFC z)Xh?#nOn-!H)Iv+a|!i@8`PJ^P~P5Nhv2-_4;s`6Z}Oe@Li5-Jt$5hw?PRp+fyF zq5g4$`qvmLbjVAaQWo5Y<^EG)xBaKW=KD{1dmL7w0&z=)gD#c|hs-VI>3K?plD;ls zH(!^qxvxt&$4m7oy8whE(o)pTQn|*K^7fRVLP=kju$!+-*xc78=;%)X301=ls-`iNx2FUZN_qu_ z-MoUr=3YTzPhLUFb{Apk6%=;!3JRNh1$l!~wz~))BdhONx9U62xca<3x+vRSgpZd{ zC%8e?GlueJDHW=|glgah)v$`8ls!1YCrYT3(nI;ejlzw^Ue+B*0RAH+t6q%;>%+}g zLDXL|7yg9@4)`})PM<)LaEowD$YZfm(wZO73O6BVg2a4Q)(_b>WZ1+PZWTU7oZ%!W z$W(>utq-@>>!(-%^_N@%h5g}EK}nl%TcM;C@xy;WRj>Z~a3{T%A}k>(!{K(o=HqN3 zA0!ypnR=C7GEmu7uk!2+RGt$)S6p~S{nUs0>7&B;TTH_aIanx3JbJVXD)GxUQq^yg=|KyQ{I`V*v99zQ|6@<1(A-q1AABlJ2`&B7Z5 zH_Na@XlNSYVf+ju!=p%`{JG=9Qa;fuuyr;;rAkB~fe!s@~5q3YrF z;f4Cso{1&pY4gIf!OY~}+ za^@U)y*c6Qg_(20>;%lrIpG^1#;!Lfd?Uo-HNBXhJQf5I8w%S{%!UH2CEu#Qwmb9? zM%Q*{c&WYt-K$rMEjO_N#jnhgS7zar#T!t9t!a%rUeDGBdKb%6aCcN@dKuR6Nk_f_&h948c?V$a#&eE>8Cj7*97z)>gpJGu4 z6DXO({w2Oh%BYA6ZP7G*;Wgp48ingn;YLunu96C$4nLz&_#7&H9uz)TNrhX&TSfCv z7*E%RS3IdTSW2rS9el+AgyZuI;?5!+c!Pd1yiK&e3e`2%hF2P^Ch$O^sPxs+*6t3Y z+V$1e3hy)%uA>!x#Z36<@T;QMDsGE4;n&TCkI|m_O*7$RwZdCfq=K=KW^E4Yg-JXeNB3R`_Ex;ght&pPC6b(h7fW zCfryn{H2+2saE)FGvOv$;cv}^n`-O%do$sawZcD|2{+RU|7<4QTzk#GnhCcE|0eq| zKOY-IFx>p{n0A~<8d!a;w8DRx37?`B{>M!CRITuTX2PfGgtLg5@abA%znSnETH&CX zaBHnFJlhyN1NqwMT3D84)=1iFg>%e=+i8WVnQ(ipaGsfP2d%JeCfrdg?3f96(h3)t z37@GIE;1ADtZgLK%o@pATHz8i;VxR?nr6aXwZcc533t;9*D@3Ct`)9hCVaM5xUQM- zIa=Xk&4ka@b^2Myn>CUiTH$(T!acRZ4a|glX@yTT6FyHX+{jG0xAv|oH52ZmJ#$kt z;l5hoW@f_uw8AaSg!^lSTbT);uN6MkOn87+_;fSjfm-3#X2KU}h1;4557IW0_GZF^ zwP)^VCOkwde5RT3P_6J;X2Qd?!d=aThiiqqn+ca`h0ie)9-$TPVJ19ME8NRWc$8MS zx0�t#Ds6;S06G{mq2OXoUxu36IqZUtlIYPAfdvOnAKZmKDn_-HWR)`D?HUqc!pMZx|#4y zt?&#p;aOVYS!Ti)YlUZ<3D4G6)um>_muSyC*G%|Qt?+y^;W=921!lr?wZfO13D46S z>`F7?`C8$t%!Dt~Vqaq>yg(~_otf}LE%x0)j+yWZt?({0;fJ-t z@0$rfq7~k4CcIKByw^(h7fLCcH)~{GFNbTCMO8X2RuK;h)Te*J*`+F%w>|75>dkc!O5> z4>RG7TH(KpgtPwE`!VhC?#Gn%k6z`@3{?KBSNTc?D*wwmq;ueU-B_isZju9+Mf8+! z8mmn43A229m2YRD(yv$fZU!m?dX?{Gpfade`9TIML;4!uW2`c@#>0Bb4~@}CS;7VB01mx0P^T9sKO1C`bFD*YL#EYYhBW}vc$US&7~l{K|@ zPnKn_GUb`*2))Xj3{)PeS4lHad6ZsdUIr>_=~dbpsI0A5>13d?j$UO!1}cx%t1QYu zWnFz!uV$_?rN2Bzud*ZqmB;E;*33ZVae9?UW}xzTy~*-b2%|K;+ zy~<-VP}xBHXpnWhvC7m(gNAyQ^)gU-qF!Z#3{;+^S9xLvDjVrlHp)O{V|`ClYOFH# zk+oD$+0ukx%6RGzL^*);=|XXsUS&p>5s zy~=YkP}xSWvPT9g+v-*J%0Oj1y~^GhsBEuS**61~9rP;uXP~m9UgdxcRCdyMGc9ve{@C;OT(O>uoW0k4>Wmmn*Q5mT0rdN4k1}eMjRgTR- z<=J|b<1Q!EqfyxW?7k-Vg%G4Skq*r-e1}X>ZFZ_CAm8rHIqNlvkSY_&k57kfV+-$5e z^-IbyJ>?Q(m8q1&^(t@6KxLU;s8*D zfyxW@Dj&!|m}j&eW^il7Y%udX+C^pz>n9%552_oUK>6BLkI}=vD5_K;@-+m9J!=a*n>Kzh`FfS_WT5ggy~`dX?X0pz?aX${#XNd4pc%PZ_AZQLpls3{>8vSNU58DsR@S{38RE zx9C;=n`D+dMn8$Y&+{ttE~sh zt%tfpu-bZbwe{p`t2|I{ZCq__DzG*;D7Usu#|Nbtmf{ zwhJ|Pe$DnnwhbAu!?d+D+b8z=^~9W=lZJV#j(Ktlb41I04&O^M&dfIw&Q4_~XXsY& zWak>K;2~|a!cjBfwp!tsnQ%L;aK4#vd#!MxnQ*7~%mQzojPwiziFi-*ny|lt7nhBq$6>elE+&eq|+a-wxY-%7Z3|I>I{j^G&RZdBN zZ7sAgSHi0De61~787Kka0a}7n4TKXK(?Bi3=>|%G-~ugy`R}~&<~&HNq^$u#!etEB zD(PUZg#8S_P_2?QKRBawq=JMg8KzazxpE}KwMx?bPE9(JGOdzsl_MFURg&iCZFD58 znUB;eIoF(oDH)|z($icCtDVu=y)u( zRjcGeb0w_#PSak-SOX;>JY7pL-at6vGA`08N%Q+iiXjt}%+OxOWCIeojG0>Dsb<2n zw8GQPgfG?#&oC37tu@>%1K|Y2U7{tJZJ-1Ucd1tRQZwN>TKu^N!U_0uwFL7GlmPxb zt?&Xf;rUwO%gux@(>^aQG7uKmeg)t!)GE2Ua!M}O*21;sO4vYph1M2}4U~ZJmD*nT z1~cJBTH%|_gs;*H-(n_wwO066GvRBr2D{x%_*!k1nan*U+&I^13GOl@SggJFd(4Ed z*PeNqneYu-;rq>mZ`2AeHxs@|EBug|@XcD`hs}g<(F(6L6JDYfe#}hxR&71=A2K`a z52BIVv}b0)x|#3_t?-*>!VhbO-!>C|L@WHRnea-j@Ox&$k7|WKFcW@E zE4;@{_;Ic9hi1Z0XodHi39r%$A2btwQY-wineb|@@TX?NPicieHxpi?75>spc&%3W zYct_;t?;*I!t1oc-H*Hxu5a6>exI{GL|$Bs1anwb$I(O!xz>a1%4(-CE(3 z&4l-8g`1lR@6`&oG!y<%D}0KX@IGx-on|JyUwh^=%!ChUh1-}3AJhuBGZX$ud(9op zgg@2_cQOwb$I!O!zD9na?v5 z{#q;C$4vMet#Cgx;cvCV=bH(CrxhM(Cj7ltc#xU!589!2h=FjzgYA#n`W|MW1cut5 zwD@Ia!ar-zJkm_~7wwrxn+gA_6&_0$){_u!GBs|!%x6-X*=7rm$$0j&_+sqL=0B+vQ`@0+S{?56)eik@?Kb>=BzxKCXVQdwH37g|<7=?Xi#lvTC1OJ6e8a9z_EPP)R*=}V>>u1EU9 zNovndUvhcRe!==d_2T-aFP>tuK`v!gtpn1RT%O#5%hH!ky0oF`OQu?EO!~q}YDc9n zxxDA*oQKMDR+i^H4ofm%!Nt~!o?kAw@ZA+yExuRPg1b8$cq-=!SiIn8_j!E5MPMT? zxDb1cvt<^<=ksZMl_x9C;WE4D^J|5lG7}DHh1Z%12em!wIs;+RqryD0FQiqn!CVQr zq4>gDB~MpQNtRa0vz1d~X_aiMoRVzqSpU4a5^xgo#h z+6Tq$X2Q8z;g`&W^R(D6n+Zp?!mpYM+gjn*&4goG;Wy2M9j(FMHWSX*3cqV6T%g5% z&rG;bEBt|hu-zuSEN6F$3wC+VUL#?0TF!?W;r#}}#0jqoKPiOEU~wJv@uXJ0eqFcJ zTN8d_JBikNnw0i~2d$6RhM%av^&t|jzjYIohmyslCX@}7%z=M%N#Gw+oJIWYvvNL3 z=VDSz3>{8*ecDs5!&5e3r~Gtnc-{ZmDL17(<#Tw-lh`RgTN{4v|K^l-^_B%act|GWR_A;Nj}`S+=loo=JmgIbXsON%p^SIbVroljCx}hUM9~^e{I71#CEEL#Az4kAxy&aV-nP zwRCj5mdWEZBN$|JcN$jJ$i9V1x+vSY*|xK6U80tp3dI24D>i$oF9KNBFsg!s1B zE6>^AtwDLD+WJUIgTS+qn&pvN50UKd4a*~SH^IZt=E$-3QHjsoX^~xjl zYXG`VF8zaNOpSsmeqsO;*nON>LIlGAz0+AXpE6-T~w}uE-6F`NO8pR zP4ivuTNH6br_-JU4?!;!fNsZ^6)7O_kJBM5QuB~+3< zz~_g{c-uQ2QpF@3X%#sIZj~UZ899}l4rf?ItjKAQ7xGOZwIioP9=!Ydwnpr5OLiam zw(^#VKe?0bkJxs+Ri5G6D%+T~$^{-<}1|5&y3IDkdxsCWB!Q|7?lDzDyc&UAy;t*bX|-< zJBOh|y!MIVp>t)lU2`~XXGOY*!A50^bT5yjd_%Y{N!x|VY&|NY?fS!M>sc9XHy%z~ zugYk<`Ec6KtBkfKhtt-(GIe@elD3o<(x)=o?l_#bzLmMsrAgXST1dajuq{i{mU5;2 zE5r6clC~7K^DD#laFVtZwgHu4TbZOSg>7JE*d9;Pmcn*HWwfn2oVG!g(N=yqZG$VL zZR6pz4T%gD{oA*&cM5ys+5k^v!^Oi{;Y%9#9n8_-Y<}CLk4?+nXI+>RE^CyAI|2}6`OB1w)tjb zn{Td^%{N!h<`;RldE%L>M`lQy&rG!W46yl3Zu41@i?McnjiH^h_s*Z8$zED>L*z0) zfwuriEQA*__A;fIbc;PceUrO9v2kv()6d}ZH=Zk1#WAuVt!DKG*5Hb^AzOHD;cNKM@(%eqG8+b5r zy1NSM?rL6l*U0;2Jk%V!Gh0<`=>!$G81`|hS7T%3_QXArB6n_x-0dTqBlm8MJPf^B zu#N4GkPXnGuoWK86~0^ zG^6J$Glp;D=5=}F*&-X|m)N)YR>EEJVh!xuBF84o)y2qmdtmw=;_?JJJ~gwQ_lefl z#7<_rJuAJ2ol40Vxjuc-QA$yJSo)H$swIb{FUe+>)aQ`xG4e>-@@hW|MVF*48c`u) z2-NCy^(k` zy$(0i8~kQ^)9_~6mF{NRo&IJ@L@~IT_Gp{`K7I4wak%CmmrL9HcNjPS9p=q{hjH`Y zVIQXXtB-`hVA}klsA=ahtRyBaP&%-dlEcC??#qBEQ0wOozYUQ?BT3<12dma95;0 zSiNn0MQ__iSM;`eMHM@(@=7Cb!GCY_#?Kp}Z@KLIm#2GU{i8BZ5xWle6!E8Q(z~!7 zk^5Fh{_@2rcJoj)0-(wF>+b~iM zo_O|#G*22lnrQGmFnE+3+?ED^S9B@MWS0`q7<_MK44xH8Y~Xv1?Y*~B_THOd?`5(_ zS(dIxi5aJsTloG; zwebCwGkEpG8GL`Gy70uaH>4%f;58BrUIGTM!3|#1#o)Rw{6J+4p45dOFgEysN*Vk> z7o>y^l_`cO9_z(cIp3gT)k+0_-mLj z;`uC>Hy`*az=cjqeEaid^EZ%mYhOTrW811R%FY_hg2Yp0+YQq{B$R?+)3k!=S8UKy z^%Pe6Q#6N(d)Kp!O)smQ#j;OKUy_}r;*p*&K=4`QWM8)L44+lehJ54Vj{!b(Q%w9! z0M7;N(Y}RjLzVrO=)p<+HOdFQKVSlucz~x+lg{y*HJFGm+}_G}%;6Pi!iuK~p)MHDpC9N^cSo&m8e^HukD1QuHUF#I-#hGPcn7ugrYIBIPK-Ay-?IXH+{*& z^g?i&htmry-B8r6KUKRS?hfjUCbX&BWt+M^U7Kp{y-g*Y3EET}*{0eiwy8GIrrPp0 z)sD6olaIO3{jksKH?5|fp%%MTc<@208*|3(TA#V%(zEMJPuy(g4h_D~^j!FV;%MO5 zGt!%~ms--rskBi!?ZZ2DA1NUxkOtCS_eA19L>dr3_<2+~<%VQBltnvcSzG!4RmWVP z!)t1MRjfV5V+z`#oR z;JsQKK!2kt+=CSmZWUBX9oyUt4XF(rRbO zRy$L++F7#IUMyPeT3xH1eYonlw6fJP*Qh#*&8wsM|NZJHR;pv3td9AzIxdsdvB0f5 z7Ru`Q|G0Y(Fe!>AV0dQt61%s%v%7a3jpQgt&PtAg3X(yPC{Yklkc^4~MkI)26IqGg z0Sg>K6af`M-dDw(vl2uFMMS>pp42rv-Mh!@_dWmf`@Hwg&^ujST~%FOU0q#0#TXsC z@aT9ro*kKw9>{z&mN_S0%@|sJZc-d67FHfga)1<*#W=bQkS5B_$}NRf>(!A8*R{Wk zT8E=R)bw!J9mNnw=2;w>&p2`msPzSmBaK0=KWT7em)ihYXmUi^3bO#!{>?B)7701B z6m!HjuFUC9KY$4rs3kGY*@vA}V(DEvWi7M%ww(115OnLu3acMCKtHJIRf6>+^BH$6 z6|hrhz*o9KU7R(k$5fSY1OKcD{PW3xFOdlRN(+21Fcv8G)$=pgfu;O{4c^=fS4(M) zL6D8T@XU?KO`&(E6xUvLx9)5zM6kVDo`Xh@*f@jjGdE{$(XD>v0b^gMqj3T=miZEN z;2P(F(y&@Zw(6&+Frn}HbhkMEAf{S{;iJ1mI_kXxf^`(FezsZIyPa^DcwOfU% z!e?N&1NqW&wXxzFE=OL~T&@%MSe>{>)QOnYiEW@bA*w^UKT#*Dg_RRxob+A@PK>Hh z_Zwkde-#&|RO9+{ztx}nMg7@X7y+!4NC0;g23oyD&~_IFT7yK;3NrT?gQF*$BcMaO z8a+f{jppVumx(hRP;JgNS1ofdHx}U^bZxos>eu=@Y}3`a-&*Ux%&+zL!&?7k*3y9l z9c!VL6N^w>$6BC{y~20w71rFVSKl!mw%M_RR>xlBI|fmcnXj>y4khf^9ar74!+ggM zv*wQcTOE7d>ew56$6kkyy}?>~)9P3+LlmncQEU-bzPieuRnI)i_v|QZ@2!8UXUD9b z9p`&?40?8)we)r&LZ8(3oT#}S8O=Olc}BC18RJWsj{b`j{unk1OEyp5g(@cn!F2A5E-|k@)7(VJY~s5QnAIF^op~konS5=U)Uiy1A>HD4X~uIpp<3owye|8SA^-K&lSzkdPCwsRWcrpT(>Fk-Z&^#< zCFs~h*=|hSygCte?0ddr-?Qd^xcZLiu+5H{X3^$dXDpVT(|;+~!3dv=bs_v^pa zv)`m{=f>1Pim1Ibm-g;WC`9)rYA;>XUJ;k}?$fSIz^?lewdWIfZvvUDJ(f+&qUZh2 z{&7Jj`XISKQF{TaJ%@ON?Dj12hyy=^1Meyxnh1O(8SoDz0w1-w9I>AW`z?ZYYI}}R= z8@x3T9(5{~I7xk!YtL1&R5ZO}-hPnh53;IxMw?zSQ9?2Gafz)@Q=A1V!(SD^*Trl3 zxMT;?hvt{ZWueq87nz>=El|}Z$repR21rzQM`DgvRyfyTB<5&Eg z4?@}EoVC~vMk(;+c-6<1=J2;xoulTekE`9)Ii3vlanAr%&uB$6$L&?p0(D?B#jCui zlnB&?%@mJ1M#%`&gR)fhR;6s9K9oV`IL~Nv)#wpLGzJ=&BQIqXjJym;wh0=9C6E-R z@>A#j4RDZVxByJ zm~&arla4&5Ez$@aFe$bx(2S&UAvftH-A=S9))w=$yTl_KX^VN9IH5V#aPws6H<7lO zo3yr=TS#r;b!rPw&{Nt|&e9rdl(hdBT7&AEbUq0sA669igGA@d1)Vb&bk01`Idehh z%=7G3=X#^+JT`B41+_qRPIF7=w6JteOH1dpqB_S@4)joKC`$_hq1iYY+Me2U(yaMnt?DiU-*u?H(b_cn8iR#t!B_QahNe zRy&ATeaqE5J-C(>66j<)Jp!FMrw0^kPymjqKo?UCJbiUB@LbD@0laqO$+KAEj|=p~ zU($_x_dNqYpD9IMEOA|9@micfyaL_O9>ija!y5a<7fTc-HkuYoqNLgR0Fi_*mZV0- z624dxB`%ck#oE0>a$^Z!EIAa2C46yMSt0})FXD@B5%*kcin!-mk%;%YdJ*SZ0ujH} z67k-=h~Ek#-kXVdpTg+PL>V*#x0wS*EvpY0TUCY`@A%wa;9@mybKb6q)Ya$Pws;`+d==Q`gK2@JBheml?gLBRFf8P^9F zW(Q`yW43hezyyXAMurmQ{|pQ*3^Zr{PiMx?z_7wV+wACR9JJwufwnafv=L^4qVyWz zI7s4pake@oR)knX=WEvs!D9`buay>lNnII%v2=l=hmHpBb_*SaaBO_yYnzrM z1j%Nf@ode*(#XS_X97v();w$_L=*bQL#;1_ins2!X;p+@vi1dQzim>{;n}`TYbS4k zI>h4cg$S$LB(cj_*KU)X3Uo41oJfW-P^UIqO9Hhgk#vsQ86?AAVe&oPu7#yN1P@mc zQiQEpr;s8XL?7{qAeNUx6#2roYm%GO(CT)(R!`mn<+}@4wkJIUZkKomFjuz80yfe5 zIZtBMF=^G;Q#cQyx?PgA-_Ivjg)b#xGzgRJ5}fI*ouZCYA5dq3_wKOzzWR|r;;rXx z>unFuhrCO?%dK_!k4nZBbruWPR>3c)U*|w?ZJ_6J^pX_AlV>>dIx1<_PkaSjnrc_l z>#0MP3{;P=8~=-=(Hj+CTxA}B`>zNHb11@M9I}SxDPz=p^$vBLS5fo5x$5?m#pGD9iBH zfwKFdEZw^t%4R^B&pQjs9)PkUbS^Xvrql_6JM=7XP)75w@`-2RCnE=I;K8dmpY(nh z0StkemiaV`H=kyL`811}PqR%kR~ZLhR2qcqns_$wm>|nrV6I!1H$6#Po>I*qtBwwr z=}lxe&MS;n5=&&2%r~tP^*Z1KJqYj@OqL6oap4%NJ0WW>`GBmZT}r}9@|fi9oQU-9y}z>?3Wu3kR%c1`(Q zWXb1ZUOpFrd@g44xx|uBX=3ES(<1qN-c3IBO=%vLBxG}?B~5D~Ob?_<9S%VpoLk*> z;@Tx)Aea-@B_JS}u9L_vO|ncwlgKVvR0s3QS#~Zq$9HK{#B(x#9g(_A;@QX2xJxpg zX!Hu7ZdctUi;@bgc1GOnvPD-NZi=otJg`a^T_}rFt*b22U32xKtG8>4?pjN9*YTpe z7DRU)6W#SmitZ+n=t92*IlWaLl_W$r&k|jap$K0CdE4%$2zN^iEvF(xK8oEEordk^ z-LhMf(0=G{nPkSr1@5-xLV3-U3+1&yzM;}yig%g0^cV|Rphb)e>`QtDzE`pn?6Lwsn%oL} zzZR9!kXwNtOKt_eU#lpEC|ZH~GxzA&fjlrI9tH2_c+ zXU4&SHYlW%=*g>}uJwMH)Ajq-bWP9cn2F8;@56KrCv>2t53T81zC!*?G+lq?HeKs0 zOL$Ia|{NP?oaug6PI9}Go+wFL+d0BQh zOq?C}GH1uVVhV=xO5hvAj(a6uFyN?(As9biyWcN zr!2vcFHO&h1mnD$VCYLz9+f17;({p@>U=oeN0+8ufxWKw{Q=oIJcpwLnN$I>B)Nz1)O0`=B!#Af12WM@XB`emrY*c=JrGvri09roDLr6IsoK?)QnhQ~4`UVD zHC{^pu%z_QtCv!}T~kUgT2lHKFQpejO8;W&>r!%38U#_#&-f{paVD1W`vLA|sUSp8 zidLaKDv6~um};SN2vnB`T3i593VTs1IQA7V__iM_yN zva&$nz6_>aJzM#fNYK2Bn*=jBwnDK61u!cGiS4*af;@sW<7$BIRq3JL!H^)}lsEDYOPxjlP!M2!SmqVNisP^}*af;7 zc62kWJSUU_cF476T!omV0`9IjrDvSy>#*d;4)*a&aA0hVa<4MOvPIi@(laZuU6_N$3xDmls$aDQ`PTqOS}+2Zm{%FCln6$_5`!VBo;0OgJt>lMD+jyh zJv~rbUE(HtM`W9VRQ8I-%Tuu=Nrx+vX2cz#vAY8othimO%C8kR7!|Rd=lsIvCT@p}8o#?94Sh-2*Xz{)}RU{oHyic(?fC#VO-b7Uh>FUMBv{q7e}dKciLxq8l-1ZoS>0rva@a_G@uVB; z-q2bL^*du-O*p?dh99bLNZgEZym>=nJjZWvyun!=Pg=x$Qbf#JS5Hj7B@(P{5wi|Y z%-TTAI*gcgO=7BpjdAdW8!_M1+Q^AnAGAN(Sb9@tccgQp=K3T?&6`>sVe@gEc~h3G zQy(^WD3g_Gc-Tz4ied96<0>6C(_q*%V#8(x44cNru(5m~$`>L|{|}k8_12ALL(s~k z9pp1WU}$qmq=$c!EDF zi_AgZ#G{27zcJuH2$@v1F+hRl!{(vIJRhO1;nWp6Q^b% zPOWuuQd^mdLv1Azr#4rwIP}&{acXNRjvIKz(H6w%1}0AJEXDBwn2c1MI=P9{TZzQ! zMpg?+)Xhmq)LXKbv-jW>?VY?|zZn+a@Z%KARTJCygFIp)k!2?w^&S$y-f5u714gt()Vur!`)C@#D28 zjMrXlyxwZDuAwo}L!NS2{5#wW#}kd$J{;@%#>q$2!x4W4ayit&jOO z#(Z0Nj=n89Bg53wx1}l%wFpG{+?pGePX4CQXgnH-XW>eoxmWRG-5+~Gs_8bhg zU>b%q?!kNDf8cp3!D-C5nTXIeWoH=RW0{CF1&w(Z6OjjD?Md^krz&^C+LPv6r_0Er zrWRF>io|Au#Z2i?Jdw!LH;Loq6cHygm-4(gk-$5J6zK^`{LjCtNKc@lNF#N<3SEBE zNL}hwPGTrdzxtu5w{8x_d##~(A3qfDg`s#K8;bXvR~M&`1;Zy3f`O-i7JZOzys;r^ z4X#=7EP>+{{z^PQ-eY-v+_&z7v%$c)3I5R8m-i$mN7!hxQkT5P-`yLeyMycy4jRvo zxQ=Ib3WR-UoRAMb=I-oro)$SNJLgGlCjC?dJO5B@S8xu=;Lb3xlMQZXP)^D=4c5Xc zp1=N9Jt>L2$45d>%Hj%YesCB(-O z!%zI~2Rcql?`P+*u8pt`E9;U)JFKh}eu{Tq0GC<9Pw{^7$wa5W$J+Gful7};jpO1r z(3TN=`iAh+ILvnnKlQD(#?VRU7z!?<1Kk`;)_3o-R2oK7IXc#YD_p<(Kr05#^4~pU zDUN`M1RqLH*kFwra_^WQG8dF zauS2Wn+{HCSfSG^ZJtfSlz{ths1v(zj&Rn9bqJ>jJr6^V!0>-&Xp-xX;Kqe(bj+kY zYKr1_1HqrF5q<#f2osd;jwlLrRn+$&X~s$KkLvp=VfBNQ>(vk0EIk#bymDX-tz@%w z2xjS3Y?eL(*3fEZ4dsC~w1!zjXQ6B@l%*>lLfJYfOH0AE`9ofZ!a{lpP$;lka(S_ znGrkyf3M;i(}nsL&nI8VRshtV8vw9h!?14_!jAKSeUbF|`2tHRw9K-_KY;&7!S~?r{iFbm5cUpJ?n~Lx9psPxGLdzQa>#xuyRwO~ z`$N?8u=11;V}C7cV*QdO4dd;Sj}7~U(b@X$E3G7eVx}ZzaAI#{IoKUB8v4DP(jtmtx-vmtyE#*SC_CKR6e{x02&xoZx*MN7wIl zx&~jR!#Q;bGD5$T5DMFr-$^zs1FJ2zey5ed@@(4eSf0%_+K$AMD{-AYDMpOL|CouL3O79~Zi@|e_<;ahc<03{JoORDoDv#HX369S}OU<=1xQ6%= z3%XQt!R?FFi-puRLefuf6-#Z@fRK-=?-uze{~t z{XT7}`a}BN>W|DWo(pCwwTpkX?Bd_3UF9e8oWU5VlSj! z4gNvx;*`Ts_9v7TNqGs%E<#yG>M1Du3(EYdC!y>Tl=;#QLD}C>7D(F)WtX809HkjY zOq)96h{&e?$F!-#&ngjGDbybZOuTnBq`2DD)c$Zx!>1(b6{cZoHikTA!v0VSKafvJ zG67(B$|=dX#54FQ$=;|FW<#M?z|OT(k{oi>nFG=LbgM6vMyCL#9zz-WE1KL-OY{Yv z+)uM<)7@=NHM@farzLrjuqAX_Hglp=0H+hUn*E??Lq5BghRy1mf57)lECf_I2)~DT zZl9KTxcn%#>9k}nq1^|#jNV~n51!Gk5%UwGXG2jQn=_KN5k20Rh?!?37BFV!nFQ0c zgPCU}{&mdEGqS*Z#LP3YIkr|V^fnBCCO2cDY)mOxdR-94LQBG#u(DpvD&%v9PahM0 z%47j^HLUEBe2%*%j(K3`TVd@TK<9bRXqpgqoN;hk3nu^ZDTy%RO{Y^%^DLC3+npf- z9HiF|(9Gnr#rj!^D#dH;vl72JvoH6<)Nvq*CV!DEE2w`Uga`6D**SI^1`i&WP)S?$ zSVs9diFU(XJ15ZJu+R1KBoCH+@P zrfxQbjLFJ@xA-z0#(c^IQ)A%P#J8bgCj2U0!tyZBNhWnXRsX`qhiK;HVV>2*r#3kk z##wfOCFhaRSFX@`Ht;Oj`>jx(n^5`WJU725?YRk6OwM!jyJX(ty8XLT&$ukyv7hw3 zWbjde0Vk8;3<*^hIzx~Mo|i=1a4wGXcyR^#!F4Ha_!vS}lJhZKNVF6`o22r)AiES8 z&2<-~K22y2nslhjKO{pNtBXG*Q;ap9-IVGdvM?Eh{~r=HkCp0$urg1m6`A#wf))<^ zxcyTrBdp4_Su&I0pAyxBmDHb-&`8{=KV zr1$?D7mu>)e{=CD_xvj^9_Er6rVwz+oKoAUr#;)%GpRSJr&A}Xr&9N-XVV(0zoa#W zzZvSe^oaUPdK2~6j3w&1j91iOGJaOi7OAfOR-}jeyRU+J-uJe8!Cw#l)~kPH4p%Q^ zKB@j0XrlfR7^Yqbyr5p>H{(OO;A&ypoS~}VYVj0-(8N$RC`&B@p^2gDP?l2UT_~#o zW$8usLs?BIOY_|iWwoHJi0=+4s|{rtzQ3WY4wU(Q-$7YjC<7N$sK4pD3H2AaZbJ1; z*G+0S@G`+>d`fu`Y8Tf{16S7#4vhO-vUS02hdQR4za@u`X#6W$M)FGgZ^>z{&~^Ar zS&9s30Ukpy_V*~*tCGk#z-PnOHl zSy)2(f3$2Ng+kYX0I|gs#xLHN!=v(##6f|P{zq~@3Z7;E(JBeC#Ix*WNl+nP3S5?Y zu&i13a^Vd3M#&lOSCTi}nAlwrr{cZ)y>EN}YtA>ylZA*k z@%H+EGT$&guLnu}tu9k9!!2h2c)nLJd%LMu`0$fZQ_!QjUTy|@)Z?82x|QBElRX4m32KN_*dyHoUlJHR+!ECc8VI%Hoim{u-GVnfUP=Bm=A7ZY+NKTzb|1E z;qXNR9llKRQhWHar5GkSXzf!Ip_`K$lK@ecJIz!G@EIa!9)vX+n|11Zb)~w-8aaLF z>4_vGwbW^a8aZ~*mB*v3^>~@oQ^|$RrI3EK41%sg>}Z*~1|<9zD)n#=SJ_Y}D)m%Y zO$k@eizK|WDdB1eqO<5pfTN~_(@hPFB59Z+!2Pb&(*?qn0?x*m@1pf(I=eX{P840f z%y7cALPNazW|0N6NZgkwG{pMOhri2%?s#lX;z?5~c+!PlPZ4F6$H!=@A4}j4 zm56B7%{)}1%m#yv(yB{-=8Yz~po3nW6flh0F#voJ!4uH#6iRb&HoL;vdGo0!z+u?M zCOAjDx%+rpr>2!lQr2AEZ$z8C{w9*Z`2 zuu790br!Ko<1kEuRsH-S<3jaKhA>TL!W0_7?`V0#GA{+)S~Z4>pd(?)Q9q+2&YP7z zVXh;SEmBVwk$Rx0Be$cDL}V$w6L%ycaiNJi5-D6q28%i}6m=vjnZCf^mWU%!$*mZo zjzkOBkzt~aj6fa9`rkN`brl@RDqKhIFej(9eK0vi;3mkHdqSgn)BQ%a%@P`&9~uWn zNGvol7Mi{@bU&T4a<3@}J)$VF&>Yaiqv^lqoBz6r{_82@U%}Vtzm^*R3WeyuAR)e9 zZvg$*^X9(}(SNOB|LT<=+R)2HAV0K~8X#JE4 zuIbl*!_}{E!95lSSBrzoj7<}+>9T*@)n#wNeK!tn*hvRH9>audMCFA}x<=($a6gKJ z8)4atIr?VivnfRvg+@UFf$qh2g+3ucF4`|dLM++=Ro(-*F4)vhS(6P@!3ZDL1vIwfoRTHnXrKWoO4#r?qIwXAwq z8HZ-o7arp=+ZTR-@sNg?nXsfEVR#`~TpJ(TmheLiH=GDA4?C%kZTQUezCj7YQsf<_ zl=?0t?)_M4q<*fnSASM|s+W`@9#t9VNmU+z-)DOQ$_JjHTFVnsAMt4F7oM=^I#0wi z-xKxx;L&G}7)%1|VfphtTl7B#%by?UqF=cT%by?V@<;g|mOnqy<&W9}%6_JceziH2 zouZ3=bu*NmhO#2+5-2+ZWf{& zrQ@(qbHd8(L~DsSyDo>De68H8O4rbzj)PxN00+N97cCR|6PSGIx%&ZzGBW17OOpBS zimr#yjU^tydVvsYJ@G__lA{ziRg6-ZX8I{EQ8Au$Hg1`mu^hl2y^}OwRBW~5!pl`3# zz_@plf?~2;rL=5%T%UlVJBb0 zj4xI?QN?|9YDFb{DQ@zGci;imOaE_=PQ8;R3$L+QSR(n+S@P;fCr@Icm9j=>X?}E; z0`it-BrYQomhwngic8XDB_S-uWe5C4!ctryEM=X-QkM0kf;ET*!cs{hEV*vN0$9)g zzb!0!Crx3gY6(lVZc$ zB?snch=kU|_Wx+T;z`1?{KT|Aln@=2zF>Vuj3fRmiRA!^X`7m^)J)GfNOMqRc0oC_ zJS7<~DMbc=je8rTNC&WS`x+D(YZ*dgMTSs6iy{sQ9GH{@mXf4+6iHwyfduwylyd!xD9BK9DsIi!8t4m;z#q+VFGJ1F|i|0df zb!U7>dATaD)KThVxvGD4a#h-rtNI{Uqgg-8fn1F-`q|c!tF|J!8f#92YHc`K=7EuR z8Fqg3vM?)aCM;Cd&`;i9LSqf2lz)m#!w@T*TX=iy0AG%MAd#;2NtVhswYwR68k;*l^g zslYaXxnPAcE%2l(D>;!yDQ9jq>wbu`9H+S*Q_;AYarL$b-xARtuxyV9dE4Uw=_zus8&OXFC{IJ#GrN(CT5Oe>A zsaTw5L2ro*~xAA0m>6M=bITGLWvG%ctOj*2Nd5Xiqq$ zk#D`a_NYO!>e^#G$sPrAJ;q2j*Cd(RS-*2gEdeA$sW&_oB!r@IpvD#*HLXxaC#cv- zNH-iPR6%kV3Jvqu6Vx~qd<9uNCDQK|q*;CVkx)UBkT9Or6>L_kB}`VUB}A;AXR-Qr zgVi-Kt1HNsPw~9wnpbLQ^9^3t&=&B#o)3J6o5?`|K56n=`NL=)I3{FXMM;i98Y$+= zl8Tx*-WDB1@Klr>OhhrD@TKHLs`J`0Peq$0%0ZJQ%0UrJ7FsM3jJ}GR*ffae2;X{j z?I~;Y(Rfx(aAdK`5%o4>^i{?j8O_UPr34%iva*uoEVhu9l_bYEFe@u* zLxjT|v$B#b#DzaJuP0_>uO~Y*Oypa7Ysybez~yuc(!xR!$4eW z4a8+U+m`~%moc_4H%(_q(5wedHNtEkz_Y!w#2WEkcIyAiHYJqAcg|@mw5N^OB!T=_ z*7^wv;ae+fy}I^{G7@YhMX9bm3vZVEKLbQ~mJ#AP?Rlg1o?w!x@M*PNzU#TNGsz>I zjpwqiXXVpVlYJZ;0_nm?6V&3zI&^@(f5lEL~eg)Phu>7$yZa8M{+LFaP_#o}9^3c#dLN?`pvLoO5ET=aTsa}sIYo~DRyPt(>}WU6M6>Cc2@s*{LJumZ=+&pMJ^e9Wf7 zCQu#O)hUROb!2y=@o5@7btFNe{#q`CtiuuVPgBV$e~OgcdW(=Wq8;Q-U67EFb)^;? z2r4E^UCA7Q<*Tmj&Kg9Px{}F?o%l2&)cIs9p1P7K&Ok>PA;w{SMR3|NtsFqEX~;fVbm@hu4{8xU0`xp6Pf9}V3r$)>q$n7ki+#P_g-QS*OR%UkY!R&l1YGZ7*eQvs8hj( zzx6o&&a?PCPc(vGwD>#L;BVuE{HLzx9*mZ~Y|sOC5JENi;n5Wy%)uqW=HD zi~1Zd8e6<*EaJt6%so<5<$A5Ic;Ls`tJkx}O;cqfH62V-Wg{~dHdz*GN5fe~=w%}? zWy3up2YY*~+P8z?`Bw4hu+7Mn&4w{bv@N_bx*1y8!dlvD8l!4IW5?tRWRReYw4T_u>+J%Y5X#HPc#RwEAn&Et)0DI^{*nmCmA%UX-}f zJ#=%1zslyt_| z&~_O1XbmmK+oL;x=`qImou+p2JPB8CfPLWU-vxXrJG2U(WHWa%OzpT2eTBHt z5rfht$JG@(AFu8<@K~tT6x;q3fR-f zRKT7-A_ZJvimUnzOop(ttCn;TSCqrcGftA;m5D2-SN6tluoySDIF=6MBxx$JP8%m> zN}R1VP8Lk&fGl;7=!*wcX{Yp5dRs~^mxfsOmMA%Iy3!Do9IxlRo^h6*>kWEtAKNLs z0rcE{eWy?@X>v|2DdODA7UvomW{f8x=f+F2-O*9w;K_K2{f{X(UUGPqE-xJR?|4nT zMsNbYTR6@WTmIwi!Jv99l6piWJ&@VPuts`;2Z=gPs|LXpAClUb7C0O~z{gL)R5*EpWT2DKMNMUT0z3KxPk1;wydi7C4+1@S1& z4NzQxbF&`%BtWY5Z@8RgHRy&bN(m*!_{9DU!p6BWI2W*5x0tRduG-b6?RyzS@ z^!^niQXh-;e@rn`_5p3YFpN52F?+{V%#5S8{##=HE>=juY?iYFQ`{K}T~Uv6Pe5U= z_p@2=%K&P$_wQQ0e~ z?@Rdm8kQ4lVj1gqWGscGpxU>&dzAXUb9ZV##I#dU?euQ#H?MNQpS2@nh5mC)JHJc2 z6xIG_zw8PtJBkF1U&0>xOW14w5@Nq-8LT|TE)SbE(o%-7-_q^hqSkMzs}x@f{JdV# z^23?Av2a=}9Auw|?9a1v^TSzMdMupNvDzzPvP{*g9S9Q`{oxX@Q`jg4UdqrRNqtd}RhB6w_JphUCMhJH_(*_gBua|z4ADsQnMI953@n%>Y#nU#lj0;i((H+zT zF!y1b8jX_0^I-(U#`9q(o{!jgHYpsQkDw+1&qr-Mn{jyB1JUlbMbAh1OF*oF7*-zT zQ{_>5K4#iXMH>^C)vap-g zY^ptu)CFMktc^`8Sd>3V{|dsZ1lY`B$)QcK@z|`5h1XlCKY_-!jl&a81@eN81H_C5 zm(jn1@MZxHbF~1c+7jR}kI#F>ab+H#Mul=^i;Y7&XnzI$D+uQcaG0-!lE+~IpIn#2 zVFBV1;IPfcf#zKKnEn-ncM5QLl0QxzPC$o+s4bfp3!UxF+6X~N zeefCjR}eldKxk;^sEkioZ=F&2RC`if?8=)?M%=h%KAbeDS&~pBOd?HC% zA>h&qCzsx`ap(r^|4jc1!Y2ecJnhCMV{y;$9m2NV}&C_TA#F=4XWfjuZK%Wn7`t*>jPw%5&u) zv>(|$8VJ3~p??M8&jdYMg9u`%H@U#9b@J$Qn@6`N!{l{NDfz+%Fa!b6$FOx%V7R{v zP1hp=08PKNX*w*9redw|f?!0x;2e=(*#sT|#4Snx3c`>u!&Ps-=r$s`1qWjC2E+}U z$s1VWO>Y8URap4A}A@#M;1u@Hs)>w(#j$T(mCjU;KhDtZWr%h^>ePfX=TrsqX?* zU?R}E6ofAb(0S>9)DU?HEs*9vY*LSN85^=?Us%aUGzVyZ+R*MPG_-9jTe>NQ0PUg; zZDOIJZFl1Kmkn*Qt5AWEyQumd2rFBzcA%94F!GWPpRUcP0w}8P`xb;D!G!C$jqz!f zOt~tAs^94tGZDq^-t|rCxB)K1^{3o1Hc5f3@O6|wgoA}32a$X))ZLqES65?U4F;3 zs$jDSqB-D#ykOC|3N3^c&;<<2F-b5LiuIZ}?%q7^B} z(V{#luhZfRoH@l12TLGEBdu+MFR}?|F>;ZyTB9#~|+L1~eEh>}B?gT$B$;0BW6^*pF3BCjk5o&7ME=!l; zdIISvm`%9ti)C>tqYIq7jr7o4;1&!bgZ4eNPO)eA_cXjm5$UPpPwgP^zh!#pUqPgg zKrx=wGC}-Iqc48#AXiZDBc!0mw;99(hX5!_V9vGVTE?7yYCNMn(VZWTv4D~>fQW*qF+8*UYi+dGKddoFE!MpDA@9I8rukgBhmYD8Gf6H-o2mqY6!d)(A#EUUDQ95-ZRVJb zC!oy&XT?QkMImjLJF7g)S8=7yYG;-8T$Om*eCD7Hog|s?R3i|a0R(!H*x^-Tgc+OiBb3i+`y$(Vd(9vtkl`An218>9 zsloB7CaKBL$b9rb=A*I5EkcXdSG zh(_MDRnTgNKA`uOV_)+J$;Duqb)u1DHjK3v47_LgV*(JzZ4m3@sc5OyNC8Jikd6kV z0VCWA(tsmeL(G!=rpUThD- zL>aTDl+)U^!Y?DAMfAK0^xZuN&i7^;kwz0bh5k2$kCZ}X5U>Tow@J2kS_LjJUM=q=?mJ)@%ZcO z#HjPf9u?VDz_gR5?-e?^LstdzJs@zYL(Ar>0PK3hxk@(;cN$a*KyI|mjy%4<%X)|K z{XGG*K^1o;T^VIBldc?PyOD10l$G6n>suR*{A06ux6S76q&saSs*vtnBRxnDyAj6b zo}?%H&O>@~-}NHBtnc`+VCB5zzGW1e3t#4nSsW+z=VeBcz8Joh+{!RbA-8gv_9nd< zriQHwLpjdBa$b_lmR`6CEa^k~FxY9N4+r};a+^*C7C(l)Jm+OeOR-sZUK=l_L_=Q8 z&P&da`_|$wZxX|-6^)vnXprhX$qb7N$T>MW8a18I0AL>ipl`cHeU_Krp5(0g`wxe2 zE$X+G_{#{Iz`OUSW7i;>X$$!)$pE~BopFCq9N!3Ji_ra^>oOOpN^+5^Y{ZsG~v0Z4$);7V^Vn@|RlfviqxGLWk? zhz#QEj4`cU-Wn5PhY42lE5VJpF@raHAQmS zC!M%6YdXIlAm)7?zEdd@(>XKUl-Cht1fx_HGJ>Pj9R!w(rjriTWEdlns#TF75s!4L z#G8jUBh*w)tgz$8K?>Vold>(>X4^Z4z}s>8mK(<{bV*HfY~Mwz+OXc!VOfr4ea|&o z3wciMt>b7t!-E=)*0+JY&&g3oTaz-*h$n2-CrQ{CMK>(_B+IPevxZe*Td`0h?} zC;P4ixs&^DG#PDw$M-Z-w&u}I@-YPb4zS2*MaFOt$C9xOqHdCBF2z6_2>TefC#hy? z;mYANz}L4*VFL;7B6l%_+mO3BgzqMI>m-P`^8x4tF;JJ?Ts0Fy`h_*{fXyM5k#VeRH;{2$*T$3a7I%@b0h$YiXrh%I?UDG>8p;M_bEF#`rCk8rNtpyyd zxrLBKJBrj9Y<{vxm}s=Ct^@2U`bIT}4?Hp# zLk77YBo8u}kC6vCm@~;t1aq!|**qIFdQxGMiPLgM}4D5$RiBo^W+f@ zY`ggTH~y;ov_(o^Z#sq!UxHybLnVC36|1O=Kbsb*~7Z8Xnj&(HeENETEXX54U~@@-J3<3It+eG-3{TGr`pGEQpIJ-D+vG%gN? z51d!ap~xC5_yx+j``6(BT6DXPAPs&w2TaYUe+5yvRn;vjP%Y0(gliCR*dyyv1=KwF z19#i>`OSqs+IbnYiVmlHw7`aD=xM3fUaf?V$N@Tg3;^Js`~#um?od=KJ3W$nZ2%V; z0O0X{Hl;fE>(px{@ntmXE_y&zuf5Q?L0O8R1xb=LYGAzzEx;q*MF~|02^&G#+TqL2 z;xFT@xGLhcCbkH!l_vAahmBclC77S_a#qFx$XeieIF|9|TozIseVw;~Se%AqSyY7$ zE_Z7N8>&^OUP0$20eu}5yGCmJ5+0T&3*pg)1|a_iNqnq}1 zfGn_QQ}*4Hk6=tRg(n8FdhjM zUNwnt1S`C1npic`7GSCV6nTnK?S1kTN3}&{kxn(2rFu2l*np^?M8p4+M8m(DByB3z zwbi8Sb;}g2#vjo(P3UAznCgzxjL}c+N%e{`r3J==w0>?3ew;*4ts&b>LEeWN5)Eu{ zYcW~Oxb-Dj%yDZ8S)$v6ERYa;f@(;Du>HrF2rMN_8PKoEQV!@cvdkJde7reysJn22 zL)$&@WmDmo5L6KT#?qobH5u!orjr%l+5o)C0I20OAiuK#r0M`f24rny6x#-5ZDcZm z0coGS^rgdcy950zhzd?#s&)8vyJxqVtqy66k8hoD~SFg zFkImnuGWZdB%pA8_V+Y21ip#Ods0KSDQ-WNbxk>R1;@;1+@!~1G)$HQY{L9kp0CC+dz-n#fbXPzb6GlIO#J4u#MlmWhD)XhKnE08R+fk;#o~xUYh)B;(X))!DL$Tz z)>%e+T@)}Cld*+lzfuzqeA=!qc6L(fQ^fjcz z69R^i4M01;AghvyEO7O*ey-G=EMeu43^-Wo7#EeM26C4%Y$1S5tr32TWvZzpb1IEV z$*Kl@_7R= zwwA;$UJBrDws-CC{^sb#Q=tYQ!wlT{qV)nv5@qBtTC z0&TO*&37R8HH_T414Xw&){r%>&>1*><=){oI!aWwv{aI*k)lgtCih!>A0p}ATO|{+mIKyre7p4 z>P@q-Bj`1af25`g-}DX4$?Ps{y5l9wPN3;4t2fQ9L1@Tbe6|R+9kTfCl|VawwGA%y ztQ+)x(Um}X7&`6gUqMy}elD^z?WyfGpFLvDl>l?0U8Yx1P=VV(HZWS;LN;);*ht_I z9|R1UhfRP4T%_10vWeB{Og3?KHj~YK9WGJ~s6a7|Bj$|~OUoc;7lV%nclavm#FVc? zZgQ+cvdkTA7y*?T0KntjXjV5{KC0+&b+Uk2%7mTgd56S2?{1@66``3#h^5-mv2l^r z!-iJffgD5{X7%EcyCpGlx7y%pBIE>0yOWcKy=`LEP6j|{CxAXSfVvC-FacIWnaE|+ zMdBL7ra%{o>S5*_q?^e?(E?qxHp1!E30tTYJokZ8 z=-`^#LlPSeQA%zH*PxErYfG1vfl_Eq+(UA=8NEv`Yoz1zvBLhLD+K@#@kEWyjvkUb zg0bP#L$WVHEp4D5&$b@2izf8WIp{5mx58mz0DGB`68;emG#(OvicDRAI!pX1vdeo& zElpw>plpWSP-CFl)6;20(A8oo(iv z1^}%Q0DVG|X8BY5AV2KrXx3PpAZ-f`?KUTM?y{k^L(l~CQD28^Fzarcyf-oc`g9V2 ztx$W--%oPv3y-*d(im?Hv!qxasULwqF{t*Fy6j=WvmgFoZ#jte0sF}$8BLh|lGYUc zB$wwnY0*jCL%JG<#ah+`o+ka7!`$5>#<2TKVj1ZQ9<0$VWDBz_Cy_0jWx17XH5Uaq z{J1~c#BgsL?>qLFobJI|wO?3yOh^K{rkZR^-_1yTlNnkA98u_5Q|!UeQHMsSz<4qb zl(pALQ(^9USQEf?x^8|LsogINjzz zH<;$&6nWN2d~KLISaPP7p2Kk7PX-mlrV5_x2Fpw<>Z!huA0C4x4z8^G;p+$R*OGu2 zG&c^G-P83Zz(s>}uq@4z1Fj@E2jB*ZVSFVwB{|@VO(j3Tg~9(4d5I1FndBvI@aK^{ z_rX6ntUM?jsCe|lr!$0~($?esPeWwN3iWIVJ7MQOdYEGpHniPU{Se9hxH$M=h{WW^ z>T5`{2NTsHlFf7{%yL5NVdDx2y;k_C|4l23V6fY%M8h#bA0Q3+B%evcY?9AO!#1)_ zmj)V4fjl!qB?AqzLQr={W=)V?Jxh+UT7ZWcz8NX7kG9bySXT6r{ee}Rg5tjBtd5}) zHO*8hb-;1HCDessvOO^VYHD?uq?zEew6oC0Y(UfSU;Qhc=0Li4ewbvNMejVKR0*M^ z7?aLJ_N3DTIs{oUv50IZ+nIq1uHOkoBpBwt<~lxH z!aIkEWc32~BNCAjNsGt`Ni)2Nur~g!PU|AVK)QE+L}5f^c;cm+eXWVFmz#*hNQ{Zd z<0Qt3$WF3TAR;4Wab$)TsqI27%LEdt5$+4CJ`B*>?sOU{G2$FT!p1lfl2J(u$*3fR zge5H$=n<|{TtE1s!F^$O8~RN%AyS}RHExWIDvX$nl(+*8O)|&UB)#3lWEa`R#AGhn z#fiyovRfb~cP18-toc@dZB4>agX?%gdd0HlbDwi`x-)q(iPt5glNOWFNs5U`mt1AH zbup1>lhK6{lRFcO$pTwUZqvoY3IvDLZZr{Q)?(OdF)y~_(@AGRW=8!GUDgU4Nouu^px9UqRLe!RFE3 z{B|#F9>Kl|9kZ~sKpPO_B#TEs^%kJOopF+b3CvhVkr?A7XQSb3m~BMiMB^k0bg*eX zPQL%Ix4~o(7C$It2`>2+$Er9Rk!qK22yxVaEXAyHflq zidO>FWr^2#t%tZ4S_zHUx{1GJ>@qi!DeK@J$clr8?vbb@o#E!DtYf`DK@tQ2>wRbr zDl#s{Rg`4vKp`3vWcyRdF?Ww-qk;-KK72eu;>O1eoFI)KfLR6xv?nh4syZR*2(k%U zf(SC#NPvl&Oag)SH0~@W67B-2a8FDm5LAP~y{PUHYOf}MJxVODNU$f$C`bjH#>pKP zVezIMi?EU>MgwhfOwc5E$gjm?cCrMf9*3GahOaS+9gP)xFuk zkcY@21QH_e9fxD@bvSb97KqXD!{jgnd72#NKpr7S5Xf_JAn$V=(x&?(2FTaR>kQ;? z_w!iN!^XkGCdfC*n+)Vd@+JrJC^?EiUXBAf!*S44LDZ6Nkv@?QorO8(1%e22V)K<31O zoaNvw-`RJ`y9_2F?{YBTBkv)YC5_IOvW??A0LpIogG26FBVjBO!e`_&_FYZ#8TZ}id@&&73hkU`+|B`&kwNsD{_pvyJ{-eA$aXA+cv!Z>2l(7Vc^IVoTe~hii?Bf#I z4Q&L+>I1=AeS!TpkFCG5o4_n?pWVuCDL0ngCYIgKYVZmE zY>tVrOA|Dj&TgWkjumafxW&uSMki<^n%&t(-ngENTdwIlgWUCD7EVv1*e`qS_N3zy zx@;p5j;`G%Lr)=`F3`Rpy9aM`aDjgd-7cYX>1rRYtNdy-%M$R0fFkm`lOW9+n0?XnZ(cNG0A$bL*Pc-AMlW>c*0UOf{6PN-vf+Ljdu`6mP+NKP(nQEb0rR)lM6=fzZNOywimp47;_?n8?{*({I1c+} z8%|d`4&Y2%U?Kf0$bM1KhZt{AKp$XAwljy=CJXiJnf3&Cm7ThiHzsLy{}H$9+XU5j zArpbN-p{Q%O}FFL`tG>u-?>%aC#b#$olJn%L%rRqg9pa7^_LyFi*L_e1m5kgE`a52M~RM24bpVSp;R=3ugEF$KnL6ycrahRr)=N8E*T%V3$F~>S8K8?= zw;0-L%u~imPjJqBMZTiWm7;f(uQ=z**W_#6IYW;cy0trzN1KoPA6RR<$PZj=KawBq)>tSXAodgaiG5l?e&Rm;nfz>iYTSx-ikxEK?IowU z?@p7`_IEt;RV3lubPKlVewG!^KQCnnT-k?f_7(0$6@5isbCR$o3J361k?d;Hh@~s0 zQ3_wiQ}+xx!$@(EoZ(1umYg+7L3!z~Q&9AEkQzI4$MXe6!5%gP3HUDON`mDB9bsX? zCuQ^GN{-Z_{c8B~1|dNx6Htl?_`0X~YkoTxzU(gk(n`S%pOuEsuw?#1eqppbPJZEN zcaEInX$NBYEBTducY^%NefJyr&HT;~%iqcG?7RPx-?{J3lk@C5{rGgz_jqDgOOQAd z8oxj;Fre>~3mnit$R8Zg$gdcv_?RQ5GywgR{K=Ze{pSJB9}yMi_Z!;+a4{%(#~7~C~`Nb89M53@;AfjQ}Q>5(`9m5 z$4S3?B+$qjiPaq=k@u>)A6)U&V8KrI4oA_?boT{Z+~zyNnDP(#havF=`G-T|3b|qu zh7RSTU(s)0Yosc#5mjN|e?#A_8X@>ig%Z`Qg-%I%YD&(bVRF`###>pWsOcbuj-3ZE zfanu2cD|!;2svLr60L4o z->fF<@_Qh13SEgqJes2zQU?zAqlS^@*6Ey7m%3?o-D9jS{2vXaV)B>)9m}Ad&S7t; z(?#I7B4(#E4nm|aI$Z2&Ac+-&Yh`na*bTpJHcXR9u!emA+{YUB(>K&GE%BpY9AincI-WkQ8a1}sS55U>E01UO)!>}XEN00sj0jtLARoN}T-K6|#c*9tkX5pK@9 zMw7ImAZwD)H`HVZNJJ+4}|XuQIUn0U@i-#L%8b zlAN^Y@?iKo_DGOQ?S7=#KBa1=HrEdsYHk4%R(5|p6r*a_w6%(|g@Jmrvs|I~T zwQ`^&$E<}lZd1wiUs&Tdm4*sheQN5ebcz86#Ta(A=o`Y03Kp>`M3oM^Pdx+Or=A1x zvpMzbT{7MRXeK!{jLq3*(o9M0x^wP4l~&BiyN+(#&S{{f^SKo~&BDq|;oP2C$Ch?{ z`>@rc_=L=!=90_GagUlyV#WQn;(DYBdK5xEYA(4dGp<9{y~H_u5UQtzJl0ak%oa&^ zy@f0vh}m`6U@Zoc13cp;riCOqnr|iEfsNyL3rVKpIO?S3`_4eifC8dU%VenIA{Z@` zrcTQwsiQIKgm~)6QpdSa2g^=N$-PT))JeCfLx*;1{4CH)lDN_b1~8nc&S^l$m2tVf zd9}5rkDBrOxYVGgsYT=5D>;pMi^BhWK~8gXD&*W|t)1=)niz|Fu<1r5TzLSo9G(?Um8kEo8a|TDomzjptKCD z(Sg398fBrRtX%^kBs=1O4hehONy6iK+{;00l6Zj&k^zq zyG+{gyIxEb`Ws}c0N^XIraRL&)O1BCsc1HBk&W6hl~|3g^bOUh3?-HA8a%S?CA8p{ zrS0P|HRXp|JlC>zyVEz+ZWSo0Vz+DbjaoHenu9IglfI!ERiUJ+U4zH;#^f-)k>4g^ zG^HndoN2Z^H%UUieQW6ugg?aAn1kx+?2qh$HrYX=xH@Zg-*Z78X2V`$W<1EqCXjsElv)u;<4b?q8_pKg|9Q{klcW+$d0VzgTiVAo^q4y13W z-TF{c-)`5a(Ev(eqJh5MPTx?ChEUSbuEAs4fhCX^9agYrsSeKZMYHWNnqCL6uVd{F zp>L?&>!IX&yTzZtI1vF`zYq8jTpVVe}0_YYZigU7+2b;GoE+~v^P4qPV+G*~Du!zdz^4Vn^-lVRaBT@CElpfG-gn_8 ziE@iUY6V}nVvxqrHw39Ql(g0%>1#@wVgw~+@pPB$0#L^(9Qfe$Uw4_`7`ey0Yqf%j*& zSkR~)b_0Vpj=mvi?VzNc4NW)Y9sqakZss*{X8!T?lq}X+<{xMB4Es7F(?0fX;W^b) zvPPh$%0a7hJ$WTyb&i^h?OEsUp>L>jH$uscah;h4Cy^ez6zkqEW)5o$SBnz2y27NkY6k{$Dt$vRJ3>iE4kokMAK=IU z4zN)C(x-Q#oDvpFy13lsMNTWNQ7@eZw2#C>%B;hEMy*|^ zlbKqromt!W(Kpm~7bxjsw{6qGf_WQ1e_6st?b@yk<_!9VV0MF&ZU`n_I|FDlgK?z5 zg5KAaTGV>&&Y(X?-w^a3P|^cI_v-AjVD@vxnA*2J8O&Mq4Z-XMCB1Z*RO`A>p}(u3 zQETy52J<2MhG6!FlHN8%&s&mdPBpq6l7hQl2C zhH&TyCH)W%bFD^icZEi+h@r0fgsu{9XHbgs+lm;T^n5(rb(qqn$S~Hq zrSuJTZa9<-kL%pOVMUBc8tW0RSkvXs2!{1?`i8K+14{1Tu#UGP?r?=kmn0(@%%|xa zf;kFGMsYBa6#;{tOaA6=PmE03o*3yW(R2-RCqwR8`i77j4JD&(PZ`w5b$IK1UMLE`e{94-^s$hN7c zyBw$){UF2cW%`D&n+YW|b?jJNG1Xeyx)p!OY_cP_oi&)1n!*H&(G4U(z>JV>OhlHfvZkqc+4ER^w~> zhH9*ZlC^dXo@Vz+q9i$4xQ{=AVA)*M##qPN{Fc6@p&j1|=k$#Tw8R4)NeFEM=2(l>-}9+c!+ z_?kV;hthmj;~afMHMT*?Hme5iVOV)Yh`6JRVMTJi3ZG8QGef&e2$YlK8BU!RsBR9=zJa3+!QJjfp+qZ=!M+BWbQ0EjW*7wH=Uz7tAz zBH(d3nmjX|z?o**1vPgus8{G4f=bQG-6jvfRL~P1K|c%NhXPuum`dMJC2Cpj;ZZ3D zk+e8jjt8YaqROD?S2pmy0AMczo=)EoaB76^L%{uUz-RLsG@6y4u_jh5nDV?5p%!YJsQin+$&Fc$NPr&Bk!mFNJ{OLRw-@$k*v$v!R)XJ@9~kC2+A1!)b>r^s6JfGUgWbcwAOOEzON=+^G zc&WMmNNKfnq;xhsJCz<-dN4enDZQn1o;v#zUv^j7J^o0! zpUeGS?utKBzFGNB<-5Z3>+-*s|HB`t5Ux8snR+SuhZmzPw$}9dzZjs#Lxh4IPnqzCus5#Rgsa2^~!&;5t*{9a1T4Uh3 zwpOebv|sC3t#v0f27{wdhgf!2%cx^U8(Q!N9wnz-=%(c zc%EzEX^`rVGtRQ z8$H@+4m@9ObfVEa{z&80#zh+wc=m2QvN6!N@pFw|YP=1ezcoo|lJ1W*xuHp~CVk*J zzsbrbYvB1yQ?+S|Khm^O)0>;#;*Ydx)}~V%pl6$5Z6>vu>W{R2y6xt+FZm z-EZ#RxBCEizR~@o?jSck+Vtqr<5qv9XXl=SdJci-te%T{F7-zSem3yzz+e55As-Jp zH3aBA?8jk$4ZG}*+>v=l$vevUBcp1LYB37rX>`xg!$*(wMl&=hEY z%9<%VrtE^}&r|-M0`fGq_S9BWfv!_$O#Zsobx&4qgN8_sV( z|7LiuogbSIaxwqJ{4eK!1J6Gf6j_kzk38vpGV4i@uZ7JQc3#*Gp2HVTUN{Y&^A^G*FSaBQyt;Cz2T26x^YpTMg8D;e9`BNzJll9i^Gev{E@}W z7jIph?~g30u;ltBP2jm?$)+V+{gI_}mabg7#vfUhx~%vzkl$rpmknPA^jvmm*+GGeJUs(Zix#GGN9anUQ=a>~USHSpwI`iqWPgn3qR^GGn(Ul-~E7z^u zvl8gKDs@%yRRC{Q!&Mztb%N(Bt4^*0`B>d#b(hs3*Q>{@p1B(8ul{cJrPV;MHO1Cc zUjyT8ZK<_&*EWD>=e0xEj)3Q{>(bZx{E>AP)?L32@Lu=yy1aFO&${F5zFzm8KeGOY z^|!6>56`F8zqo!AJil9iX+6MuVetzaUjTl**y_bzFM@o(IOoNcFG4>z?AmZ_!wG+6 zW7@`RHkN|t*Bk%bc*!5xv~1IsO`u;ko!;!(4C8I{jLnNS1HU)_y(PQ_=(nZwmZ4h! zpDkOq9Nco)AKB{JnzOYyJbP{(wH3zqOAo%Zjj@mP0 z&rEnevnPMg4tT!3=bJsCC-+v}+hT8Pc>bUE&H^xsV~yi8o8*$qCFCM^A;BeRDZ$;H z;4a0ByA#}{xO;JTcL=0ZX-nHzp)GZ#?Q36!QiJ#ZCtO%Ido4#vNW#2V{xkdAxo>u7 zzVDmeyS+cZ|XB=k=ohgFy@R=9RTtfN9nLo~8+|On{TjVU}@$9g( zv(C;z`PJFK&Iwz{xyW-x&lN}6;9Qq;IInYY=iWT`4$2?neB&^`ae3p)#bJKqcE+8H zyMXfjxc|ldYzsL*=lq8An78w1&%bg0EnCQi`4=`{*oN}kcp2|)3yH5D-#Q-i8$U9B zPCU*h{y*_w$A4=J5nhoKgm&UXdFYlnC8V#=ga+}oe}78^ByM{pZu>@@z9pR^Gn@EE zob?Tk@b!zo?@EH{TQKGLSN4+3gxrsrq*n)d@am)&1^n}ilG<9`woPhcF*Zrs!wKM! zCxGhMxF!4&=D|0(@O@7}()=ZMBi|Phu>3$Q`r5)^)E06}_&!*pE>E;&y(Kz{ED!Q{ z^~e0c4eO5E9xt*z=;L-BdmUd6Oh1t$B|5mP6K%W5l~SF!I->WaXeYW^kzOKCN}iAY zoKn0aZ=Q+$dtbj>3I6beh)U^G)1O|dbawaDAe_v*Wuv&n$|hcIU1GU=DgHo$DN2j2A^8#`){V;GrFWjc3kWw!=4Mudm-B--tN; zhs)G5+~9IoOB8!pqoW_8hk6kAGD;;L<|ye$>Y*RVKabWtQR-ojmVU(2#K9yjMez(f z8I1^hfVT@g-TVFLy46TC2gI8_CN2LdyhCQvx`6+1m`GQ}M7gvwZh99>QwQa9d;BFS{M7j`*BOnMq`{g|h_12(L6m*iGEtr{fXQ? zHR1`;GQCfYetI&*$=sdIT4#j$(a%waIUz$RZ4hlU%2es6D?^=>Val3|_8Do)^wXB% zPK-|@-hPM(&k-el4CB*5bjWBEsGr0Pd2+tDCo&)(Z=EoasPKcxy`ob_oJ;+DX4n&S z!^ga?N>w2>?amD3Yn?v+`6lPgJ(~ryvyDpRyXFH%fS7ez>^nO$(TtDfL)JeO! zu-2XyeIKQ{*U$eWcjB7s|D!hP`iXxePu?rxhC1;n!Fpogqd5P1A9!R3K<@|J z#o$NP5A?q9NDqSEA6^$jA7y{g`@|zZ5PHA(Mht({{X*{>YB2EqC)dTKd|8ZC&XM?i zFxPjx)PUUnf*g`ayl>F%g!lbpM6B|YJKr00`HC78-Ipm{?>FCenaW~}a+y0WqhEv6 z!06nD1Cz{k#wpji+jaErqXx&|KBg`-LAg+J7t*_t8XyxlGI6C!Vv=$tb63*4lNuy9 z?!-Y#xFJuvmY$;g$-O`6eM$|~-}sbis+y);>+fAl?_O%K{=vPHr>+^wzy8_3^ggBr z>>hl~B$d?{vy`jdo2%*FO%2*Tx*G@0!go0FlZQ||upZDf;jPYUVzzR;dv`p&^QnRJ z!1*|E`nT9yi@D10Jo7uf@2SD_(D(i(0nS&B=(!{6oly^`Hd4SFu<*o3+{o1vo0LnZoJ;H7S`Bmxy0wXGcN1Hb zYp1Mh>)l%ob_%;U2ix_Y#+@HA8!omfFHdCdcEhXflo!x=fL0n!<|V#rM*W5KPLk>GSmb-gvj6oxNd}#KHT^ESsYN&@Nl9*9}#L29#TYb z5)vO8i060nG#_zD#lypk2YpPaiFk-H!HKy0rUF+~43J21Lf*z)3rSqYoZ6F=;4x zI5FP0|IW?r?+7drXH@88YGSW=UeMq?>?JmHrYHQ(UEz7c1AgCqD8jQ3qh!>7#CPOtx5 zf8<6@R2rYeyVt3UDz4Ig%(-GqO;#F@t>o+0b1J^letdD-Trs95EFHvH@;G}z#aa4@ zGkvV7NlPcOc01mZ{=jE_@sf(S^b>FTm{Sv%j$+OjcQN8+6?f???)0&zCNG`E9w*QB zb1_MOsQ6VCg6S^=^pP{G^M>UD*G#ojJcYm7jhKk4Z8;|;! zR1=wwW6~IxG2%@Xm+3n$^|7fYGo8mKC)03OSzElVLNxt{s6I^9gl2#+<%Ig&^>=^q zy=nY;w<{`IGe)%PBUVjn28mcss_9?rt}fnFftzsxS0B7;Vlzyfj9nh3N zBqqv;k5x=(%$U~4wVLFN8rL{4e3I*WzBjmq_*BJn#*JrJOxHg$O?bwi@Vh2mbQfQ%Nq;mZ{qBj+Exu6`|L9D-Yw~XiUp4U@j7RC8mv2CK{<)OI z52?RXasOydzdrWWj-oR*N@yNM|cD;4qO?5rN#?|#JhxFR8n}flY%}mC}()Y69b(g z;a!B=PwO-gzpDJ8@`FA{D2GrvBH>-tyYs|vDo?09!91bQ70M}8u1NX>MxQT+ia%7o zQ2D}@FZ4M>Iflv^NjZa#q0bw$#a}9KsJx-i8?M}u_%!2KaZ5RfauB0~-0dUvzg&?%ip=l2(;vl*9a!!?^Y*J{e?FPU9L7RsM7EBwWYv=KwTcn}5Q!O+RT< zS?8YzPC3_|F>+-dSx9A}`^m1ZOr#u0Wg?F=k$EGMCC}DyQjl(SxkJ@|toomDf@xuVGx1-Gm~y-A-@gWFD2?RC;@m zDa~lhmEx46sT7xDDUMF(bLSH7c6J*eqf~ZN+0B@d9`v>=!zpJ|87@UL935A4UAjfd z{3^MrREs5?qQWI65HyLUYnBYF}AU<{f2huUUZ@<}h`$OBMvY1MRDi!`+%1X(7u5_rJ zP^H6%k`C$Iy7vQ1sAQ;;VM->vf3odLh{_RFLVRcmkxr_Azp$??rShW6i~p3nQhL8D zH!5dTx$&XqMmoCgqOpuhjw(5(bn?3w`>q7398x97hn^tmuy!F&gppvCZmZ^~l@3jwC!c>l_5@wo7n0F=3TC$Q#nks4D zYeGw{cCN&!oKq#vG?h3R0Qx)hO|pv0pDKT*R$la^A6E`l4ytl!n#-ZaJi1O+Q+ZV7 zQBU$)YPNOdQsty7m!`>F%3w%(C$y5Rp^~Xerm2}AJ?)DLHQ{er${ezma#rQ6o;px! zw@-3dQBBrS4yznCwH@$&`n%(_mt{TWw90Ak$B7=sYwkGi4jH2yS2^y(aKiiT^N#b@ zkqwpeD(AglM|xPVyW_yqWn<;Q%7Gu2BmSFy?>O;5*;F~Pa^ipEOb_$5cO1EnY_1$x zIr77F#(&%Q9cOMTTPkN(&irp3>S4eBjziaxt(8M7hkn=&`5^k&J9S&-)XJ$Jgj1yj z8%d6hkDc2q$5xJ=7M$`y9Yd0Hi;=Q}a&G0^56ZF9ik&+SUQKpV4z3(LtvKd`JdQg~ zUPpFOPOhB%K{{7jvX$iMvYzaw99=njT5`?@eJn}Nj>kv4D`!{E{-7N!t=YTd@Rwyz z>ja(dZmDsTz7(?jvTClKm|ccI$K({eJ2o_%AqO{R3M~fXHDs2 zyAup`6sZ z!D&gt$soBUY$`xfbI?^^=_eU! zz5(5RZCP)LC^<l$!1>`gpES?RXa<)fryj&MyDp=G-n7Ro22Omhd7z@aka4}X|&QR;i zqn9t$8tM6*yVe*LF#nKWlyiCRTp4oAc+-z{2KW7b@mytW{t0W&VmV8#Im$&cq|2v3 zYP|DiKpi<-1&#_F6*%|q19yTaO3qcmbMFJEoJ@@seZY0sqk<;|mUb0n89T^y&(O?Q zi%-U$YZc2WGrz6{NCl8ufYe7j_u&KjXKxzIg=!s2nLMTPf5snI*IJ~4=sxn03XqH+ zAonuI`t>MEE>`Q2a*KO$8`Z|#Ph4w~3M92Asr#dU;RD{czoT&bYYMS)sal%MQ;tf- zYFzHs2VBdO3Z{RNn(lS5RlAHGn0MbgEm!MP#-45!&Z#rMt~E*plv<0mH4- zBDqp6RjHH8)RK|$$C=Aj%>eQQK*R`MutOsx%pd2^#95=0xweDHi zwQA8)ev#JwHN735YvEGCr4}yrCdLEy0sR~AjpaJEeyQ~QY8^}YAy;nwXpXV|UHVNb&>l@EP{E%%^X|HERDq^09Mzj2Dd+>b zck#EVMJ;ubn_5I3-Enp;Y%17NFsZ2kdvpU#KlgXPJF-o!Z^|w7?xE@_;}80GN_MEA zOPN7d&a1}N=mV~cOBHnL;!?e9l1e_Hdk1BgTI^I(HYR1YopL_mTJTihrBbp}&YW`2 zoSw#3|ISLR+@sb#XT)Q5EsrV~)$*ur z86UC_c=`Ip`v&b!_$lZn@|ap5)%utb*PQfrPOddl1>{4{kLk^E)bXZF0@tsT_2db) zPNvK-EB967>ZuQKtxWt|CI#dv6`0C_Jar(|KIMGIbs?()Q(efaH+#~M48*D^czSc^>6kVzlNhteO?29c3Prg-}*P zSrcVzlpRqHMmY-QY?O;oZb7*l-B6+zul*?F#YFGS!s=aEO!A(9 za*>$geGuhEG1dEXlsCjQpX$Qu(^5?LnTvA0nBj8`WWzw^kZ2sp0d1# z@+~pjH>a@rJ}&0?c0&22nCrV4p+yl#8T^Flo!M@>rW_eh~@sZgw?;fSm8evhw^K&KR8lYgL8-j!8qRF{^DTp zc9f^Yq2TMn8e$cPLolx)t;LZLj9aWn+u7xI-j7Me#`LraU}p@UIQ6(>SpLHWKo z85St4VIksFSVNSZ#p$rsDEEppVPB*CRh)D56LF4@h1KzexZv~>R;N?MJ4>LfEiO72 zqud~#b-pg13(q8;53et*;f=+M;WJUL7B7XrfbxBDDYL(@X3i#Fju<1X5%a_=kr;!> zTjFw-GQygrmUu186DYfgH?!(1LYUuvmCX>=Q);$FLHb*tT~1F zGAC^3JSV=&`Gc_L@)BR?!a3xsEw1IRC#<=j5Z~mUhjN4XHuvi&KNsKSIU=lio)`bk zyGDE;)m;1#wLw^;4vYWg!#w2sulO;4oUrD9L;O@g3TuHd@pFL-DBl#n6na}&3tbb} z3&U>;7Z$%3?twB^+$g*a}b zNlS@3DBDTD5|gF1#2nPuN&k|2g|*~q8Bpe^3@n>hSj!fanaZ|DIY0)LU5|3F3@$fI zhLn3>Sj&AZL(3yB$`_Df5_XZdR=Z^-ZpF~VA*iOgJKD#}GNq5|T+ z!ZjILF}tu}DIcqiIjy}|7ODLb$`9n@b#YF03&^5%(Qn<}GP>?^ zlsjdyx;Ur0H)Qd8@V9!8%M$elpd2ep*4u;fpe$AIM`5imWa;|Iz4c4WGWDNCIZ~Fb zzXj!SS+4#UD1VdXWAY1YOnF%$rWeXFvSJL*E9RK26mv>eYH&(cZt#n&(%?5)xnUkz zrBPW~webhCTH{Y-)h25jQZvkNvw5<5v(qSFl{H!v7SlJ#2`5!TjKWlZauC|k=0 ztr4%Sx5|dC5znoEmW|pVXSS&!8@EADXfstdX@eZz=Avxc7Hdh{1+rP&vnXGa&D-7* z)^;JXMY|VKUX?97bP?7L!({6Y8&MvSZ8{*wbhs|tcEoXYEF;@>9D;J1Y~K+v((w)X zL?^^Zr!d)}^H|xjOAFbd3)YM-i)5!RFQfcOcJ4Y%Si8=UUAm%u*EeL>ZfM^vNOtSq zTt3-jq3qVDMitOI=ob1u-wCvvNYhms6r|jAL6WOcJhq7ni0AcN$L-y(CEBp4h z$UglM+x=_Heghtt{RbA2{RSde4(u-n41}K#JR}DW#C#3>O%57VPFM%kk%I?~l0ycK zl|u%kg8DBqDY$0ARTttDrTU4e3~ zd}{1FD8G@j$JvB+T!frEt{%!pa^5)j?YK#D!MLR;x64K2ei7F3UUKpHk|@i`rQ@-G z{3N+-{85xI$mJ7o3={Io6%$&bY$sPvn2&O;Ts7fKxq2deePU_3ZX$eR;#9eQ;yIMB z%MFtPg>_OExp7iIxp~qVxn*)DVVxW*w@z-3vWwg{c@fIxa{J`ZQ2r=)OvxjxQwqqP zQ--6QB6m%}x;*8C+&$GItW(3}o~c-ur`DHyry>@oZjt+@zJ>BVd0;AX*|bdZ$TZ}r zX}#sqX{+S1X;6zt;>8<6d>C5D~>2J!o8Da9`j9&8D8QbJ@Gro||&nz!rm^n|r zIP(+v(yY?*(yZa~ zBdz9!D6P(>Xszz1ceQ$(r)u@Le4)i`U7$7CR$gnkZKl>}+gn=W?bEd;J4$O!cTCk< z?A))l+<8-Lwd*~t_3njQo86ylZTF1Q+U@ySYrnUy_Qc+;T8F*AYaRDB(mL-St##Rd zQ|o%5f!6K7dae7x>spUPHMO3Hb7{Q}zpeE?QdsMA{(VAMnqbs!jN3UxGj@8!& z9(!6FbnHiM@bTK(kmIXs+%_U%x-Pm*^jjG z=Zixxw;A9tO(;mOt`ou)JXTvNi>a0xOu6HuHfM$krb$ zKUm>xt-%U_70NyjtRPsC?4!U6fjySP0ah5SX!cuRkAXd&qZ?QeuwpqHf;|ovo#Oyl zQLqv@R)a-@70+20tQc6SoW;S4gO$uR39JNInOuFrN`jTnbqcH$Sh-wVz)FLa&3y~3 z3|NKSUxSqeE1#zsSUIptc`AaH2dkLpSFj3TRq}icRuQan-YBq2VAb*lfq{ssc~5{< z0jrUB6IfNS>UqBes|Hpp?`vSy!D>bg0;>U5C#oG-O|aTguYlD8s~2?~tTtHPsOw;L zz+$340;>yFKVL4edSDIn*}&?9HOTJ=76aBe-;ZDoz#8R`25Sh`G=C&mBd{g~o&swO z*1W(luqI&53VaXN6s%=|D`3sQS`-WiYYx`Bpag3H)~eunu$EwL3+@7I1=gleHn7%U z?F;#WwE=5abP8BountB0gS7*DBDy?Sd$3N?dBL6l>li%%tOHn==r&*-!8%8C{5pYk zi{|)s2J0IAF<2L{?$IxSbp?B}m=&xWSkLI6z@7x_QM?3Lcd*{Yvw`&h>s9hyu%2Lj zOFjeE3#?Bm4Xig<|B~N=^#SWwss&hIuz{s2gY^R&P}&EqKiJ?>--8VR8&vuuuz_Gh zOTP#<2y951|9}k!8(!ut*buN`<#vJ%1shpz3D_{O5#?@z4F`)Y_Z8R(uuwMuUwl{|49?unFbQfQ9l>}jy|&3^)02ezsC zRj~D78(VY&+W@wuMLn>MV4GVo7jFXF)`GcsGuYOaZNRpG?PysYY%AFIR!@R$1KZWA z5!iOHovl`Y?Eu@;YBJbPu-%=11lt9+uk-t0yTSH8$++ADcHl|I1Z-20ZV2ArMj~@a%)|YwwFxb)leZY=@o#@{J>?qjr5%a;0ft?x= z3w9jrm=>$6oc6#Ixuv1{?Mm_;{8tm-Ii(qHK&X3#&b`~sdRA#VqVDY0g zusE;_qxyoK2fH|`CD;Y9XGWa`iwApd)ON6Ez@Clex^)rkg;89$o&|e8mgDyv*h{e- zzvsbTj6Dwa0@%y38^K-#yA;bj{u0=$vCQL_z+M^6*m@c4wb6{NSHLch=Geaq_Qq(A z{bjJ%M}G_U8rYko-vWCb>_1~FfV~0s_LwNJ|A4(UhU?LrU{}U)J$ei5oiTgC-UfSb z%nGn~z}_9hIKKjRbqwSDU9k7Z<^y{V?8C8ku=l|}7~2EvD%i(kn}B@)_R-vUun)mL zox2C@Bd|~A@mM|v`+Odca7Q}#k3ijoKQedBfeX)SK^K-DT7ch5z0ru5`&%nL} z`)0u_U|)e1=>=&@#mXraz4t8V7 z3t+#3{juZ_*bT7Xmv#XA4eYO_b-;cH`*Z0hV1Iz!T6ziWPq3TI!bCvkzibtxTzW$k z&U{l;mSWjKuv=hW%a)145dutGUQq-@NHCw}Il(kA@8$czyuf^yF9!1lv#i(*<^yJ3 zF%!%J=C?8%m@k-Zr3CW>^IzEq%nBB`vJsd+Sis7wU^cLzmFK|%z%s2m3>F9$ylMql zCNTSI4J-&Obk!GNcCe7uO~8V|9IH!$g@A>vo(>iY7QVUH4$2(WA$HiAWhW!=d0$O4vQBhMo%SoV#L!Lotn+E@ZCJ6O(* zSHNFB#lcE${Q|56SedOaf|Ud-y{#iyDX?sypaI zRlsWOpbu3AtG@FxST(R(I}d|Z2dlX&J6H{{I=eKmnqake{Q*`Btlq8hJLZs|VI__t#+c!5ZvY0Tu(+c+Xg{24Ic$B0eG-f;HW91FR8Plf4}0 z#$e6&a-5rhHQW0vSW~c;dv}6018cD_60A8`>%BL@T7b3MHvp_9SlfNgz*>Q|*}n>` zHCX%ovCW-SVyqVhn!%Y zz`7m$9jr50*F#T&bph*ss4iGnuqO{!1M3FX^KcZ{lVCj#{|eR}toPyfzrd18gMN znB(=qMuCk!;Q)&T8+ZISu+d;+Pt*Y$12*AAVX(1a<4?qbjRTu>q664?u!$$b!6txB zIq?VBM6k&x8-Ps$n|3l9Y%r*fXz5L6l^Nk^ixH_rh&~m6$&;TZ04ycU^BpG zpXv!V6YQx|N5E!*%{{de>?yE0r@jQ64L1MOOJH-r=AEtqHWzH+>HJ{xz!sby4mKZb z@#(f;3&0kgrr$3FTY8#)zX)u}nId3|!Iqy123rEQ?93&wrC=-1><3#0w&Dz9bvfAT zGmO<0V5`nD=d1)%cah zbAqi0+jx$?u>ow$Ir_#%u+8V*0ow$&?c8aw&0t&On6I{g?KsDLwH0i89P`ySuw8M? zSKGmM#$5p00k$V@E7(r3-ElvI?E>2u_YT-@u)XJ*OZI>rIL}}Wjm)e*20@yu68!H&n%4~~JIil-kO z2Rj-6E!YXLGx3+fPJ*2l;+eXZvX*jqKO@5LHNV$|7C9cD{?!#;_&+`cEL8Zhl59qn`9(Y~aPUU%Qo%f^nLbJJ10`;Ow1I{MUoN3R+? zdfrV(@4N5leOE_;)7^LUnxP|kz`Uct-mZ>pb9G*4!yI9k-8PqA7r4TGM{gKAI_9RM zh3-3AnAFjB_Z_`y?C7|gjyAgQXk$`GC){`Rwy~oVZaO;XzN3Rl9lh(mqbtUaPPyqQ z$?KBO5xnm99DV1$qxXy*opaOCXYSAFv!ssxbl=fcV@GjrI{MXpN58r{3LYLj;&uwE zBsBbA;N#lD2|4vcLqB3lLO;&LMmARlvL<9wnUG1X8htu3iv~}0Yx!fN<*6Q74haYe zbaTv~8Z9SI!o41INM^T|KQ~%V9QAv)oXf4{FO8NHGkr4434W;`=R}_j$?w+k*G9{U zsr{ZU7k6v<8>8jKTz=1%%eb}tozZe)^1f%w9o<^~-e~!XXI|ISt>yn2Ex+rTjFvz2%U@S>)cxY z%V_yyk1U5i=GO8pgJtRGndN%!EJsSC0`89 z&@;;~xV7wSv|PwD%kQ|gY&BYb%p=QTi`y0si^v^>u<%dOmwMyS#9QqL@Rc5B&Tw7kqS%LCn74mVm}>6vA>xh@j#3)~&u ziT6Xv)^0afjEqj>%Zax*_iXuuTg$nO zmJ{!3?%DELx0dr5EqiwT?1*=3Im&3+v+HNa^KLEYH(I{vnLoeg)^b6kp?v%ddK7Ig4A%m5i1zduF+S zTgz1pmbGxtEEjWYxth^(X3s2_c5AtY(Q<@mmaDn7jHhAlTD!GK&n(w>Yq^fmau&}l zyT6;LXSAHvGs|t<9&?P*ayHK_cW`UDq0w@7&n$O!Yq_z}at_ZdyM2EnvZ>K>PR}e4 zaeK_ojh1tHW_g@j%PozTb9-iax?9Vwjh6FxW_f{I%WaL8^Ll1^rCZDGjh3T4v%Jx* z0{%iX)gk)4c|^Lu9bOSkufU5u8Cd1m>0x0bsZEf@F9@^!bCyBjT+@XYcb zZY}pTS}y64<#6fNa&M#MQl42Z?m`f%!Lx)LlAjUMxo3v3W`E#i6=Zo5p+-#Wi-m-C`+I$ zmC#SHC?m>=^7#J3RBYqBAd%Bh+OXdr|7=4=K;(2G90B5nyeOnR>xYN){4V>w>G!tZ zJAPOE-owAQ6PeXFIkPRXEpxR)4X?Frv~96%O=4RUUu!#M((ar|yEjeRT`_6*g-N?> z$=e0aO5QGTu1UMqChgXlwA*FUZl6iJ(7yB|#2{cO_iR`Pb4ybSFu zK@)?f;NR4Q`Taz2d%j_Nv0;0;VSBYlWyBjo((!2bj0NosKHOb;!N1HChU?4 zdp+olBzte%ws*yZeQ3fyH(@uEGkZYte(ez^?FyQ(awe>viM<{sY?Se}ewTw|gU7he z7qx^K4W44c<_6D8YB%q8yA396SMctncDrx4J7B_&ny^zQEY5^oH0k$66ZWdfYu`3$ zch#ib=O*p0nY8=Cq}?wj>}GNn;$y<>$>S^}%n)bDqaj&L+T}25m)F=1uPtQ4qD_va zlu5ht24)GVAJQSA~u@_9&iljH4U(r$oByP+oSMw+x6Ytn9_NxK;) z?Pi;_TVT>|iAlSaChgXmwA*OXZfo*(p+%Fo3oT*Nu7OFrCMNA#nY3$XY$wl#c1xZ| zLi?JqAto%=giSPIGfdcA6Sl;JtukR-OxQjn^Sc~+EO|VKK9~GjB=nLAd(VWKuP5 zTWRvzbtdgLnY7zsGA?^ej_-iUYmb<;J7Lo9j7humChabow0ptWPM!^W&A6t5y<@^Y zFkzpWuxlpl2NQPP=+Ef)&*aRZnJ{Z|dk(t^bDFTMCie21uu?|mciB-PdCWLsOjwKL zzUF9~+=m<;jPrp$x|y`=Y0|F0NgqQ@UOU3%wX>4@sbijry~QSMg$Y}0!ji2EIJfO4 z?e-Xt8SM_5uwy1H*}Vi_d)}novnK2%BeQLEylOI5|1q{hA6HD+2Sx^apP1PD(xlxt zChbh_YaAx`HICm*?ERJ8o>Mcn^SkWyH8R^qr_JQGCf9UMlWRI>gwY=Q$ZlllBe$Us zT!T6D-F*$_ENt?+qK4PuTFqI~*bc0mk)h8@CaWWj zSZ5Q~-GrH3OFB)iC7r{Kuf_SsnjGImlXg>0+L^pJ;52z}z`5AO-f|OrYfRd$H)*%U zq}>jac6*KYcR1gJ&O@&257ZLw1D(%0pG#uTC0>&_UovTTEqM-i{%FE}GhsKAvv6+{ zhMOSQv7nZ4eH89Yes1CAlb>67<>bd4Uc;o1{U+>)k;${+CTnlF$=aLQJNf#V*j|LUM%U4Ji~7 z9a1W!Jnrh+hI9$(9?~adK*-RLks)J4CWg!inH{nqWJ$=%khLKjL$-z%4J{GcAhbzn ztI&3#-9r0@4hfA7oftYJbZ+R9&{d&ZLidFp3wd5aX<*49@akOx>b#!oabM$odcMNfiaLjVdb1ZhOaIAG~bZmF*aU66U zbDVaZcRcHO$?>Y=KaMMo4;-I3zI1%!_`&hB<2T1&PR;4-v^nk0FlU4_yEC^lpR=&D zsI#QAoU@X%rn9cIp|h#8m9xFGv$MOik8^-?xHHx{(K*#Q%Q@G%*ty)f#<||P#ks?| z7xD8fV&j_gN9S+Oo8jK!A>q#O^5K=kYlQC)KN5aD{LjqZnf)VY2oY|P!h2O~P}4 zFKM62c2NO)C7;=ofu&i)Gj=kd0-A*4=}{R_5lsT}aubiK%7C)mtinxvW-9}#aVR(pJS5B>Ck5Y0JbKzMvp&$6%BXx=Y($ z9Ig#tXOgzVFmIc~9eB20+D3B+zECA?v#`T{4u{LP%%y#2z$t0pO}2v!A6H2GcGR5s zNJQGVu!fI{q^+){y0o>i#mK??nGv4$sKLDiHAjGrcT`K%1tLWZS8TSnTB%{k7dYAW3e}=x9vNbX#!;z zzsI;LE3YY6(K80h6fR(ts_lEb4MlasL37l zNqbe)>_a~?PG0k5>r=jI}A;!{~^U(C&A+{8zz($<;wmXoc5c_%&u#dq}WYovXh zeZ91AWNj-Q6Q49n`!?3_$)L3FL*sCKDkklR+2j~@gyW+uY2$hDYcbM(k_;b0NqZau z%zmEoOu%(%e+^=*hkk7X(XVX?UxBZ?NZUwmp68C&$nd2PX`_4F@LfJ>dxd*H;SPLf zL)zZs4t)1c+CJot`j~Fpx19JJG{6ZB!8b5ufEV@#_;Hhi7+~dQ05|bn2N@8^O*=PZ zxfx7T&0s2kGaWLO%)us^xjC1c5!}qm&1KxA3k2lkCUaOoE^gLfRv8PiHK(b?+=U97@wM(qMic<~vi0wWm z`;;$h$;~gg`4yXd!`gSO{lMCfto?=w2)GHs0YkhwAFW_2(3hM3ILN?2ij$iWl-%5` z#U|}2-Oxwilc?ERbF(|82c;)vK4m$Egpbk6zy-`S_)4J+T!PL6w{Zuaf|Y?AxC37S zl!2SMBL@fPGWPOmavAV8kMvz`;t_BeaFtC$c`R9ZEOs{j1)BkTIFfi8Uj`gv4NuQY z|EDYmgv@E#A!IH}Zb}}@%R)x6mXDI3Qh-vBQiyvCv-TKkMOb^BQj`)+DMl$yDM2a8 zcBLq#DP_o{)hWbZ5UH_tlX8Oc7Fk)#bs@`BDo`p?Dp4v^s!*y@s?lC`N)1X)N-aul zN*zjFN@lv zLQE&?NEtzir_7~vrSzaYLm5R=Kd?52wf?M)rA+2#FV+UI)}6H{DMKkeDaR?Ju~*vI zafrw$0iwg>CDaM ztWBi6#L;+;a)~mZ(w93vX012n6K)P>tsi9wH_x+nh4MaSAz6J&BT55GLx`mTqRi67 z(o|Tgv4-nJVHs^1Bg733_V1JnH1I5C9$z+tvYiq~wvsZ3n>#3bC_5>;D0?ZZ*uCx zURW+#o)x}-Q2wO+MY-vVpU)Dg`ALdK@uGNBe7M)bnlEd9tXV1k6dNUg5=hBJ31T}t zC72RI7U~x!{2UY~C7hC(5r@;Id^C7M!M%Fic*?VhEkSNj#8eDE3j6PQi)QTQiD>3Qk7DT zQk_zhQj1cXQioEPQjezUQ)0N;fYOlCh|-wSgnOH^){N4e(t^^G(u&fWP1>;5meP*W zp7I2Dbf9$PW+zH#Zgyd%%#ku%%?1%ETk-=ET$}>EQN>{5ew3RsE~F_7$uY}h_y_VKyC(5g1H%jnq`A! zBgFq*Au9MS6XGlE5SJ+*P=29YqkKd8FXbJ)OuS3E&dqNr-%;KpyGr?J zKa>|JA5uP|d`|h4jlZP4%+0SUuTp*{d!6zH^5rnIAUqI9KnhiIX!^`i8p44@3A45N&sjHZmEOr}hO zXinB)k^h*prb+>|Iv0ZL&?G^G@!ETsa4zs%!Z4Wd2H8t2Ws4y8V&A*BhW zIi(e)E#(OcXHYA~T31SUN-s)($`A_Y&3i0m5@kAt6D9u((Moc29)(lpy@axyvWCJb z^WIF^LD@~=9BE}(J4&I$d7q`6r#wS>j>4JoevR@LfRmw+{Pa#?Z*1n*8P5GAcJ>_T0uaw^@e?csC zV2ck#Ys?x)(h^AFNLoTEPD%tND}`ff;h0+TLnLQL<5*b=QHpT0C8Z6e1BL#l(f_nw z6b_xnq0L-L6nc(E@6=9EPE*cNIL;c!S>rfsFHtzo8pm1lqR?5jE0p&sA5uP{d`|g_@(qO^ ztNo9{;nX((fMk9?z zmi__Re)zv=1K75sm0E?W#+LRdRz z?&Vgp3tjEG#ZtDom|18a&dz6M9*-1eR~NEjD=4fcM`S#^yqYU!Q!9@bXU4ND*;3f5 z7FK*AH_h5}(_t$ttj5Zs4zJ8)7Ba%xHLQsCVs>sJJ5y?(AofIVelb&8EoS?LRXzQ< zlwA?8IujBtHTNPkg1DkVyz-5EUG*VxWc5!I?yW_?^_jVX5)Q zH2x-yp9s40TQvTd#&6X4i7HpVnfcUVnK8vzhK66E@e|dqovoUlF~w&)_cOn$19}n| zmVwPpQ3?Com`}jXj48hA;Bbd7A9m%BFrV#Fe72`o)02phJnK2meARAaiqH1EO4lEC z^`F-GV;cXwu0Q7LA7(z=qxfvkW#)5##Yvvaoz(P**u5r)PPMZ+m*y z?|Srz=INI;Pam;8Jd+tbrbvdo@d%_I@c(=ThDKB9U0WzEw^Y){X4 zQ=XpjW_x>?}YkbYqFKeDYVtabF-<<&>j;H4Wl&7}`fIEVh+0)wtK;yHg zXTIa<)c{ayXKsj1EYA(ZGXO1(BF+q;$)-2zq)r;5G%(rI%wnuq-=G!v>+oSP&H9b57u)H<{ERVS}Kt!7XmJ_b0 zkJvK+%`nS61E?7$qRjxy+6)k}X8^WG%>Z1kJp-`)%F{Dnn*o-!86cv~0L$785V26x$10L#3lXTCNAEc2S4`PvMyJm$^-5qk#U z0qlDEh&=;v`?xa$27p@uQSW;Cs5S$LagDFd0HR*4>6M3wYBPWs*ZB4fKn06><>^%g zqV^1+_~RO1n*l_<>*=G~3?RlezBU7hde_rO?HPdeD?XR2%>bg_odKfS3?RlezBU7h zde_rOwHZK+YkX}65cRI7k7_f37}xmr3_uP-)GJS~97oii0oZCMJJp0eVRVsK3W{IU z_(gXGA(HMIJ?aE2FyKW|@zsEjzM#vyo;&(f;}pKv{^Vo|km~vds_f**d##zOkqD;OXXTg{h922iuQa8`&8OhU2kL zal7wfSTq$yYG7~p()P#U>R{DqP4#SGU|@f^rE+FnC;mY3|8H#d1-SdaBTYAVYzl_JM?#5NM$ljRddnKvHQ(k z4{tO~LSJLm-Be3wVszl>j%d^4y~wv%^V0Jz)vuhJxjMYB{boyPrLTG4!JB<^xdF&s z8aNKQrt`r-)x+wh)Zrb;ShOa4q_Zv%xtQEilDE5Of=!t%qqnT*wtFK zDb$%ce52?7)Go4fPu1P(RARKbe=gf6&mD%H4Yh~%q(b|rZ|yCu<;QvpxrWo{+c)>F zUc7K*TmD8@;LtI7?eLD?d*PF%bM4zttPXX{o{_2EJu@QK(sHY}p}J;uPjF!1a`<9b zCev~tB=9V%o?E%NeR%%x&7Qfb@zRz|s(UHL?K8PK(KPfR(-^FY9=un(y=N|Qpegqt zvwtwKxw>=ts))_k%K63XJxfCkZM%UNs?U+mYt_}^2Rk006~V#u$=UG0;J{S))Mg5j zR&|7y2M*m#-pwW39<{-~ix*1UYHoMrhqfO-pKt8CKOfq1?(p{Nc=*K~k1vp)s5#Nl z66u;d_w@PmhwpSeI`eeL+To_2x%t}R`Nf+Z*@;oPujY1FbZbp@&+--(%zb{Z9g)9v9|S*oa!y*8d}%n&F<1*Z1!4BFjRH6_N6^dErTMQj<*DF zcFmp|pS@OSJhGInZCjJKI`g^RW1Wec1DC6-_Czz8u0T^~^Yy~@WY?(+Pmb2yK8p72 z4x>H0!_N()zq+gLMh|pGZ}-k#L%y+FZoYk#uQB;>{^`Yihjtygd+zKDlpl6y9lLKF zO2zW?SD)-Xbf@obI@WeCe?6HzRXf{L3p?*Pc5ag`L5!DEv9?~)H}Z7Hw)yMHJe8N2 za?5*8m3L(2V#AZ8BO@KNHx8d}nQrN;dPOWegy8pFurt%seh1@lbYX3wsb>NGI#h7` zb<0pg^O~e`ZUT2Zy6$E}#~(@Rms`zFzcjW4X*>seYwvU{9*j0cH(}hCYO~jY`xm!H zn~rs)s_swK&R(m#*@f|v?;U$CP|M>5dIxTwD>U|_{x9`9{nnnUruv5pJNoZtcaOo{ zaec(@KwztC_s;Clg(p`JhXU0R!Y87)n-eWJGQel_%EfH$uIhO7xhA$Ru{Dtz>?#aB zji0O8-goyF=^Hfa+gle5RX5f!4x;gB%kJ&H*;Ap`b0bq7D~SUwH>SB=Qe!DmGe7QrmA=8)SV~$>TY%{Uc7MpTE4L_x;0Ugbn16dL<{Msl z`?9Bww(Tq2M7zSS^<-5-PYOKQ+KB$`ye#CM)-(^w>$V~$OL<`Xs@$}?sVo)9X;I=n67v5 zcRhG0b1uKL|L)LLd2Ugad#H=sspUXRDw-b}ZGAL+1OB0*v_^h{^KWtUpHTRnUf%np zA-}6{Zm@nhG7ubmrRHZ+i&On~2d9VE7TokUQ~Gez@#!0TTf@kI*iNqwRp-k2hnxE5 z<_F0BMlN3r24O#qA1Z(Ep&jr)iJBwjeojRQ#|<^5d)b#>zbZp)oUv)P| z;s2UW+&^{UNMp_I?kwTVPA8m6H+}dV{8aUli_ftDjmyyKMzkB@knHX=+P%}Udzag8 z$DDDm{MX%!eN?aK-1L+`{Ye9jk57E1Z2%l)N*MqLbZM%qc~Un=@5nJu-g?1N!38 zs`KsXj_Ij6D!pCcO<1QwT<#XTTpHlP=B~SE=31}k8@uo4upqf~vm>9TfjHqh zh&`RHYYKo*2e-k&VZrhwba)2<-eXTpa4;>^J=s&3xN2^8t`6#YCS5(xlb)N$vqPcQ z#=LUa(1&(tb=x6TMIE|#A&mB%ofvHc;QLn67oMD5yxj>$#P;uZ<;T{MKU#OEcje;K z`26ALVCOa0P7xtTeeqf?0C8?_^Y#4ou9fV`EdXFwHtWczwFx&&@+)hj&B=Va_BJMh z-f1`<1yml0hq%3IAaH*d(tTUbE#6KpoJu~~R&%psb#T{{t5nZk*KY3bz9;jeO+8EJ z4%_wHTbj2W&r#O_)KY)XEjIVg+&J0Xv)I_PG+6(nVYK=9-CXE6JKnEw?dAIU?R|b* z&7ID>`OxgPTIHC^6Ia7V@)s{`c{ECnz0Q`WiNx3*tRME!0Gx9NVCMiio~e^1EKINv zcshdxOV?fMe;UY_-S!X){{stHaL~fw`Tcdz^#b=J&Vu0aK_S(I*p7wFnXAo@+HPav zk{n(ex!pw$Q0mEH$f+J)7;yiRLtJzno=|pWj<&(E_Lpb@(M~uy<+e+viuyes z?zq)4UEqnI9Gg8s!!e7n>)7tFW4dS82jePJc1+hh9}U*G?jy&s;HGnZ$#Ee)+i-jv z`WO07xcV`{l^y4u*=r*-!Od&^pxZY(ysv}$wcw_E?2onWFYLquBatsJFb)dMG2fY8 zTfBh^Q^;Akz%RQK!}a6&!O_;p zz~Er5+yBd+2~ACygM*vg^vC7&wx+%{;EX1oP^&xfu;1tYTbZao-LiW+=K2AiSk#0( zGDQnDN{_qg?3Xzme(2KPC-d+Rp$=ESW`F0(jfN674ujj>apl?%`$`k{+bF%+P50P8 z^WZ}BdEhT}+?}^{e6EmRq4HnlmcOjwcja8DIZPXoxU--kKj60ibar6NBU*6A>+A&& z%?En=*(W_z{;;Y1#=ez{p;np?Lzmt1Q{qln2^)^F&WrB+QI`M?Zgk`(z+bN9)4Vm- zIb0z>hp+=Sj$L!h*eKS7s;ZI=)stg2)sgtQq-a7!CKw%>uN|xk-D?sx2uK9tdrIdH zZ9lQKCb4s@b9Ar|Z@V`Vj(29JeL)pw?@cFiLF7^O$+qGMwG z`LP4L5AJT+{ahltH9wgc3kTybj<>W-zakJHX~qVxGlrG^m9b5Ms?B|^jj6!%XIsVx ztM`b|nfSo-q5F;dF4tX%bq1847th|GZ0$-_A4naX*mrhMsFVK&Vu`@jJ)`jpFJ66R z;!t4g*u^WatbgU*9ihPx^jz6|^x&Qcjvkh?^)!i17n%}#U{~iBM55{tkebE@BC#{I zoT{#l_aDri7gbjt49s53kBaa_J^o(b9jM#WdhYPej?*dZbK7rpub!%nFK6y_V!g1V zdQU1id#nD*(F3AN{f!QZaJHd2QlNZ8Z9R2Uly5neZ|lItcOZi2W{JQr)zEs>m6+916a4v!hU9DyzSb^ZQ$?egYX{VU6^BCaQ9Xy zI#7GFZ}n7c_71beP0Ms|=xOy>XTG^7kBxgH<_W^j^+ap0+q)_2rC1zO+TZ0n(QUT_E-j_YaziFI868Uh6Pn+WXF*Lh^; z_7bgk0td|emf#5VG$vojHDEnL?Yo;cPCZM(F*83^-Gug0?TCOv_iDbOd0w?=sKv|= z#5&9C)9c;#y0ae}KAAG}t2U>C6bP{UFLXoUf!4Q&w)eIKJ5|3ImdBgVw>S0A1-re^ zDH}fpY^+Ca$AdL>g2#K!nFiL|zrS$3tB|T@y=oncXIrn@2)9u=DW$iR3(>lo)-wm^ z52vDJKh~w4s~0)&H#ZfCr4%lct@HU^U3Vw?P@)PWr`c?e9BQM&+j-D!<46 zBXGdXZy`J(pt~|ZVEdEMatj5pvZGaxu<^d1Ye2iW?WO!rzOjG$QYf+8EBE9q>gV89 z1q88vzh~pM{G6&jrtqDGU4n7nTel1TX3rM#Z`o{Ucz*N_0(m!Q&m9u6`{WPh_P*(f zKII?QZq#!>mB-7|i}Q!6pSEKo|6Kpv#Xj0+z%Ns~vcG5;y)9};5Bsx=^R+`lD!n)R z;nyiYycyw<0v&rxz^8gX+J2*FZs-p5-4=DqFHdzYUaUX<2zYD>9^-MCwgW*J2g_<4 z^gX$DczfTyi_nX5YRl#99#%NsS?>SS@YCHGmuPSFGX+v=(Oxtj82`^-Yj5hIeVD4x z^Qu05_YtU_A3>lqr|bs4F+SUObj@8vKtA8puf_%8c;xB9dy7-u_om$Txq9h#-~CH= z`zYL9?|YHTW8Cf7zohIz7#Q{xE({Z{?oWks-seD!=cTKqp7vWu3O2qUPK{z;gLY@V zJkD4zkH=Is%`+Z9N`AVby6Hsq;K1NQe6aOci-;jWru-lU$nLcx(0t=0;SckKnx8O_ z@jQ{62qh}@-Rf=$o>2WVeF^@3>2`nNQp1);0sM|UjjtWL+3`a9Y6%AmeV9*&R3KN) z!y=?`rtpFK?cPE1Jidd6SWdME#_LwbsajtjN^!nn;AR52%((Lz;}-J;?WaYkJkRNT z;Ed|a0nP~u7^`^3;I_jeZsZegS&rtfHo~paX9wEg@2bmw)m|^C02BE0g?je4!9AU8 zbsPYNyd=MIG=IA@e{r;V+vsiJaBp+t2mk~O(`S-xG(J25 zzTqiRccZ@fJodrLE(Eq(f=vltkAyJZ)wqPeM*AS3=#10Q;aeRm!8064wC6kY`;)y` z2PM4vdX`RIkhCt));Tk4s+mtE=9lLrT=F0uY>#_7z?Dx3;c)fPA?L7M5 zv4__&H_P_8>l(I));-%&PQBnKu@2?_7{x*7_O3@xf6Rv#FkX(+_)+zqI!SgP!Ft#G zT+Z*zKeqgXzUIb7TF35W`T6@&aD8{|-!vq)(mXtTyMHmCY^4JQ+V@eMI&e_U$Gs14 zVZDKU+se74wD0MCAytj_4d%hAs|)jW*pE2;;0VwA4~`x=kNM2egLTwnWjEI0JPtz3 z%WB+UJj*-Xz%}Lvwcc4-JAAuqb+ofyK>uXMda{}EEs=eq`t+^(s`Hn2HaY8z z(nLM>aodl~og25;8Q$@jPzR3o!6)j6g8`YCX{LFsYi)EYId_WvN=xuS*-q>igye(D z|=g|7!E(iOJM&%E9edKMY<%@mXuE8-+`<3~r z>I~yT&4bAoGNGLJ8T+b1TK{VGE;JsSo2r*7GoRLpN0uI-+=UxXyGG_Q|D&Iii$epg z`|D~Fv~H(^+Qp%#JJkM);;OV?3SLoms`!9!|0C2ouf7fObl$H^T1PtTA_v#J?k^1< zZ9A98`gTXtlWUh4N8!3%eaYal&b7n4a8OyhaIR)&{|XNHX&+UhXB<4zfmmZo?MHT8 z1I{?!1i#DutPZ@kWd?g`zMsQ7@%|9nXIl05%G%--4o*WE#$Vr)9y-6lenQ2MDGt5R z)VrE}`r>^1_P+TWCyzI#LKHVvFLumMjc<9h(0qI@yB+aW*nNohHN1|#dbp{7cI;{J z`bcvZ)&p``wL9{d8!9}&^;(N={Uj1%rxniogZ`rP{r|IPJNxXGsb+A#ledmRG) zC>~X#_9ei<9k+k5FF}7DS9k|rR#VlYsQj10quNi>yi?EPpYlDwHhSCc57y7~Lp{aA z#3sh6T6dkLIGWn$U|*@?;x{@<@CS{w&zXPPe_q7_M%(6VX&=b#rs5LvW^aM^QHMoL zwXam?bZ)sBF1G;(u=l30{!(!Q&W{7(4wtc-z^9|$=Xdm{N z+wlV8PS{sxz&|9Ss@&n7U9>+|=b&i+^8R>us%v_P_DA-9Sv?~jr^dwv#1qvxR{P=r z_HTCFU^5*k(>WOYE#t=4Py6S_2m{7XE#Z{3!MbHP6qT3*G2E(A+hayC6>=xS4d~1w6iQB^Q)l6)#Zn!R3kC z+uQOtRs8*E9ql{npmipe=*1j0C<5E1g* z@m+nL!Iq{gwcC+@AYl7-+PAP@M|u+H6fF;S9wWP!Q&;+yTd)qqdQ8nny#6?ddC2>W z{!nqO;i+a6NO6akQGdb(+!MHXr4s@#*{d$+C zV(L5_@h-%vop=S#H#&Xk>RjY{@BGMU8`kH(_ThEf!_nYuh-J6=!P#k($>7O`SwIA_9yhsc` zy0dR7i}k#U3t^p96BSm{xx3)Zqosx1Os+Io$S>s;R=u$5d`V$z3vN76>4tn)h89c6w+$kS z6xJ4B{?@D68AxmwRuxggYV`}u6$^RNpUo^z7KU@U;UTjSwsu05DMeVXFe!i?TIN>> zYZGPGxmtTUwJwWX%gvVVg{|j>RqN#mYtQ}6!%X`^W^o?3PdqF<$hMDUOZN)1=QE45 z3)z*{;X>iT>QdO+3;i35hOPa=3b8zzQoE6&wfJym;laJxA{y$Tu%hKh^q*I37I$Jm z=&-QD#fR#lLK9tlv9bc8PNm6ygmvZ%Hdc&T^H?_i`m)iTx+UW zEUcwgRtnUCM};Nz)UefyYc(_x7_ykj=N9J&3%I4@QK>bbnJE@pVMWKV(_`iPJ6N)P zRL_9U$`i1)o;)usF(j;*qSM2d@Y;b@JvlUTW*jwE zf72tW>kwd?1%i*rlv?|4kIB`gg=`NLhH_Ax9;6heSwlXPZwwkWKcvUUstDBr0+A1^4j|j(Bf?NQFeAByNt4Xo=wuVng(w_S?w?PUXB1ftL(LHnOjuWl({*o zD9rS7*>1>sG?ZnD8>5We5I`n9EIulETP&SjT(_mmHpeOJY^Ib^(wpc>X;F93kOHN9 zVRmk9Hl6c6QmUJs66+|tk}YNCUGXdiQc>xzr<-kxfKf4c1Dl@hvK zb;@ip1Lp{&JzSVo<~v=Z+CUjfeU`RwePdakAC#X>$?oX@%fBo4Gw z6Dt9Fc3K$bLPKsgn}u(jDY=f(GBZX&8$lU+tk(RQEk!^6x%4(&^WhnthCt`8nBm&%AM zEzUSm9*Hp(AwVfBAdPVSnX40V1 zS8Oz@(#nH_tHRC9$W`gwPqIui$kn5=T0L_~cHY7&D$k}<=CpdY6_i~D>%*gCG&emp zyfzG-L}!qMRpJWn?AoHFR`VG3>9rzFlW;J?f|J7-W_FobQy}hIF>N=qatii9$7*IU zKf6>acG%-v@0?94BljS(QbRx!<|RBVcSQ;%9)^JZ64OWGhe5N#WPuYnnuyH`>1azZCVSLGvPX*ROk zWz997JJCs3S!z`lg=NlziC`tYrWjn~gjYi4s#842hfqCP54W-=QkD2!4P%7Q+Acwo; zH3&;^XVEjKv(cJw;Z9L4NG+p~%QG#8yfQ(Jw=v91j`8S9uBz&Dj9a!8IN=Pe-N`_z zfkfLiVqq$IIAw~(%wwp!@~kUO-gu}*d(yY=^sk+19jX_TbPVXEm9bj5V9G@`2J^FU z-W{j2Gv$X)^-xF9S7^wo%`s{-zOn>al}ebVeC)G8p&lVlN5N{;(6U|mDdpp!2?e4N z)yjpRFhTcWp7_zEl+Apk^MvIC@k6Pt33UhO+PIaCnav+;M9A^?qc({Z*zglIHAnV z_W!?e2yKx`to5%IikL3yiVyAmc3xdgDXeY73+~O6*yr2H$Oc(^)4!gqoebF;d$aFI zZuMzZkpRfW2hLBXQ>Ralr_T@RPs5i`o=O`ZPu)n{o9J5NoI4NU)WrETa4c>D!VYs{ z=q4I6LXS+w2POw}<<1i;rzc%`4M`77rp~3$UK&1)DwLmD+ZKAJq-1(%^z@nQ$_QIb zF*;QpR5tsW3UZ&5zVsv_0eX6uFTG2pN2pk~pmyNO(D3PW>ihseGl{y!Y{vDWBk=m= zhxBM_a_B13!J0^49-pKJJe#^QJPA*(aF-e!JToz&+6I0>Trlv_x)ri;O%=Q@0}s|z z$aNcd2+>r?^WF4bd*bo@OI>yhO8@e>= zm^6_(Jzl2OaArly4%_&e(<7<$z?HL(Q;6~NM0yB=Ryq%adMZQ7PNXrc;o8ooCMGd> zC(n$JU%5P)9zSz>X#C6|Mn%ogU~2IEnRJpG3ASyrpS3ot)mh}MJPaDi30=Z&IbG32 z`dSJ$ZFa=Yq%_x879=jWn4?d%tL*`|*qIfNn^!Md?}C^uif(f&=yX}Vo#^c}o5^~q zj?(4~kESQ!Y8@k0FTzl?RGlqBEL4yB)#h9p9Y$@PEE9vHr_;k|e^-E=nq$etWNH%L zimUGAB5!Qh+-WvqxfORhj=0niPN%?>%c;SOis8jRs^l=JhA7^7bhSpAsm2GY0|BSq z_=#(%DvvbIXQNz6Yba+`+!mav0ekuNo_?ejag!p7#Nc=1gn=(sF3y2K0qS7x{J7oTp__=z^5Ih{5o(&`!J3^ zMZ|AlKENp$g7-MMqh?r9opnp=OzvJOepCDwnf2Rn^6t)yPqM}DqKoFUCGKxwy-M#Q zyX`Ag>TT*nj1<2w{valPPy8X~%k1I`F6~dv%w$(qaw@2ORM+pzx}nz3i9e2^75+q6 zL9~Fd&gqJ{qFT-WBbxtIe4bk8&#*#3Tiz?o4rXTVWieEC`#Yur;TRub&I9r7>z7aN z&e!tD+UT;p_J+k@n?^4@vm^u{B38^Ur}Gi-eOkEsAG9R?xy7rnWW zZ0815nH&CIZy!9`(x57(X|}R$O{<9YOlKN9>WKJPuNuhNYCu)0!Q`PAibq=-RHZbg zmAE=Ip@>Gh7ztE)vm@Qk4yrOcj@0$S@Muees+2zFmBynj4Qey>Cl4vd^J4nlv{*>b zt|3&B=9n!Eti!ybgAP&=8&Dt(YJf9O20S|%KpHXt37c?`_`P4wlQ3KMT z29WTSi)SYTNJ9poB1!;QdQhSQpY!EMvXdXAAwS^YDG<+229SmfK!hg)o}COJ4Hy!chUzpaQ_~l!<3214u&#V8fFE&rSv-Y?45Mo`Ih23`ACzkn{nBo}COv zfF#l1o)TOrP?Dhp9~6=<6o@orKnHtDaQi-K?E9pz@9Ej8gR$?EzP_htCxfx?lju&5 zNp4S5lA#X1o=&y!H9$|9}?)<$zVjn5g!uh+0H;@WxpKpA%UKq3`QgzLHFwLr)MXF zvFDGVJ8PZk9Md??S0aI@J|%ANleoc5U+*7rd!I-{26VCV&@+X69xo{YabN2z)5XIP zBOZ?U@IcQ_O^tXs;==oJkQxA{zUaY9)Pwe{iT zh>H^HQaa6-?vsYDtw_;Awg;)F;;1|LqkU7QeU$bgR5fz|C|f=ELKA11n8Ob}_v zfNu7b;3A;gh=6V%0_fRkB_jg5eF&guCxa0I-RMq_Np4S5lA#X1p6+&gn#jrwM}0j_ z&$dZK8Z!8L`l#E}L>e;qdito_(?l9F_sN2&-8Z!8L`l#E}L>e;q zdito_(?l9FpgZdwuSTcA_8ukh%iaz>>LP$hgCZXSj=BgS(vZQ2fTJz~h^)-e<3j*F z+Xx`ikimz59v1;b8Z!70(BmS2NJ9o60(x8o5NXKZLqLy<03r<;d7=7X~^&E_+Gc;i8N&Jb$qYe@kAOj_&UDV?RX*$8PK~e&YbG*T+>U1 zl@eY?wBL}71Vg^6y7=fd;-eQJ*yId&UdE)CBf+>&jf)z}U@*^znqC(*L>e*x8sWKx z)s=f#!vr_`igO{`m_IS*)49^l2B2aIwa(55_qjMU!lhJ(4~*8-8U7SJXwz>&9PFv@vAoALlk-aKHG z^ME$x0c^Z^z$oW2W2zJQ@Z=$elZR+SIi2WyZypU9lxWK1M@FZH45CeW{K)9kkU_L5 zj~^MG8ZwAB!Nz%e;~ zyT4csD4g!VL{Q; zaye#LP`uPzjs^=#G?YUjQ%@dZIOPy+%HxLxg;C3S%&?$9ska;r7L;fz2VL#Wqv3!8 zr=~o998l=AY?~Pe6fE_Yqv3!OP38D;KmpWpIc6MC$kbboh6748mE*?&g;C4pm~lXX zQ*SvM4k*!34h2j-d5GbZL$oQ69|sgqE$1=gfFh{gax@%JqNyA|4k&C|F2{@m3Y2=w z(QrVCrgHo^pipVK95W6mPUsJ9#q2b5?k$BzRFkCw|Z zMcjZ0VSHsL09i`HgJd!WQ+JY3I9I39Kxw;^y}5ILcvy(UO!eS##*-Dj1`Ki zdhOS+LWzcQD6s0uLky=JqD^`HSfOBRIgc4D6khd~qhW;-P2~U%&dUpV^%&DT9YC_q$oaHuC^Ic6p{5-TZ0rOn#utpym>UZ zP{h`h#}5|@)Rt{C!-Yb#-f}d!P@oC4k!*=u8$c96l3+4qv3!OP38D;KylY{Ic6MC zaMfFmh6748mE*?&MOVw^m~lV>Q%^a>aPkmsD2IZh-aHx(DAAM$U0qemu9PBGIe%^q z5fo813Lp6uYQUl}t4SfSqrDC|8>xE9uS7!{g z=*n`E9X^06MmdiWpvev(Pe+wvl=B#2nd|`AVti7PPp>XTs^09^;UZHBn`{NXyyd#! zOLiE+m+WxB=lv-!e%*>wjjFf#6}sS4IZZ|YP72Llg?IrSM?h8Y_A7LOnCvhDG1&n~ zd28k3O(mL)0BCj)a4U_Vn!%7C_A7NEm+UY?F4+N8t@q1jb$Lsq>H~hQE+|!YgQ*n9 z-Ck*`@=&lfQuUKQomvn$X$}G>{Xt;GZ~$ly0w*cX>uF{!2&@uKwgZ#iJQ_&NLEt3C zdA)fwkeY+QNs9Bb&&H8T6@GQ#RiJ^=91%`Z(3ch9#H*V+LKlQY zsy^q_qs5k!=GbzQ!pCY!O?onpqR;ykLCOK5IpCb6__C)_w1BfpG*!Y6L=}PNW45F@ z!knalvTJbBt~q{K;4NH3C*?2|4*a=_)@(R@(XU8DsW}#%q|mdsa1EH0Xet~iQ!gm0 z?z79rPYt|!G$@+m(@6?Pd-G^eq(oEMK$KhYA{SnbpB{J>X&5vIt&{$swPH9hF$b-a z6r(Oz+$mf7%GLteDubaCDv<5q-$p@o!mkIIEZYOxq=z8r=$W?LqQS36^N;3$caq}U zt{z5Z^$x!t4V9F^WDoEbckC(VW+Tl1+!PFeZPpTK7-KJi^_OSZ8UyTM+k~bLyBx*IA zUd3Hlk?JYGA`QFdXn&G|`(^BA)|Lw^k?Lu`8V$Q7Z?H!l0BF_1Z9S1{+}q`8XzfrS zX+9K4`VR#Z!|7%7p+J((0LoQZ!*5}yBh>|e(HeM3-c$u((N)8vxa3!(Vb^?gkff6W zR}HQ+M!!7vtI?oK@+NBlL~rA1C^erZBEx^=JsC3?_SkI86^bgKzcg(I9F*n@G~}gF9r3wljF6Pmy+D zp>h}uqVoyY8DKJJXYieVO%QXCOIb{sbmV4=${BpGUyX)b^T|e%4l=Zw+0NiyenlE~ zDTm1(9lM#Lat815tI@D)J^@M6frr*(bahvx`h9*q8lEYG$s7RGgE~41DL1JZy>zJI z&7&dJeBzO$1C9;u;GzIo^@sgxHQ-Wyllj1;+Rgc|_EOiFDXky(Yt>+EJ~m1Ek4+TA zX%zFZNs>-UJdL6qo2W#C^>i{~v|jx{URnPOeyti*%?B$(``#kg}NC0XS2dmh$SS;ptNG5$5dQ^=s0gX+B*^(gBLM z9vU>wrz=T1O!4N?a7T$Iivc2U9t~CI)0L$EbVV^7RGCj#l608jDMve9QHciI{HH5P zVwB4PZOQ|Ps91#419@EG%NOTHs=wg3LBo^z0jk z65c!-M$9KKNjhjzw+A|P<&aUxn9pO9bgJUb zqk+bJKouU5T+S|M`ewl=Hw3RTZ;K3&MJC|B&~})C1l} z++T>zjmY3C8p_ZrthW97ZIk^QXP2QPq3*a9387D_O80UrIBy!puBSb_kW?pF?!gmX zT|zf@4yJ}NJj(aos9Rp?%FdfU)|dCvPP=5(Z>P6@&b>Rf9WrzxbdoBB&Hg5;#B^zz z?i@|u%dFhP>m~cW%~#QyfhF88oA75uu?M}yZm33vPKVBr5od9^zh4)A^GmnxHyHV? zGBm_l@k@oW8ES#T6{vTzm3hcA!dVaoC|7y@UeT^H@ZkAWhWDwW0YX#`U2hwN3e5&b zA)4aYMoqDydKsDwU7?1#ir>-{A7&rovlzINC|7!nIL6Ea=dgi_k1}7~w7a=d6t%v^ zs&1p!p7eRTW<23%Aw!y*=`NHPA5POgkS>r9Id|d4%1p@4vhpnaQ1M|ApOeYj7QSNt zg^D4?*D>*Z#~2AGUq)oTE0N0|xrorEdwe$@lj>@`D(Z4<#dUko8@QiKyR)|cWvr8- zd}xt+fZ_?ohxyFP1AG}r-Ayj6z73&L?pbAL1#qfJ7rY3?8PKZqL>+;9bM-=CFfL-! z4KAxyA75DK$yB5aJq)c;RUYBQorc9sVR0@uzgncPA*AQmg!RC!&misD`peo=bg}Pv z7Iz@KJXJ`Wn8Tvr?SF-SNw5Dh-IwXLIvwGo|7JewetR zV6P?{hgrg%ThUyXh(w-gr(Ys(z1b=COE z&dlHfh#CCa6zwxhqZ=T0nHX;0z(W(k6bv^?!5b7x{Jh(R8{xx{=9?IV_3AH^DtGuA zN-ej6A&qXI4$zngQ4OgX!YlOa)a-1zLAcuJ<16d*u|e%dMfw^+hF%|f19jjV)xdPS zAWeY}a--#*0tfx_X6~1_l*QRVCH{=wLRnlfSnRUO>QLqX7r$UqE<@iFdMi~Dfv0f( zfg0tQZ?Qaa=0OiWBen=E=yVY>o?Twe;U+rQPZ+by&^tr#id&)3yQOFl+oDz=^!>p2 z{sTi&G+D2r=l5brI~R%LH?=TB^M2YD<5FxFJ1E2ZuxM~Hv@X2x7?+tWt(LO%JuE1` zDnsuN{b&qwA5exyOQL#*<{z$V{aj+ap?Eahv< zrPw8&BXu96Uy|}y&AiHfnw5P*SbI^`R&rwWmu}I=JH6>vbx*Hz`FpxGyNv02zvsgD z{sUMb-173ijO$6#-eNRu(!Lv>t*Y8Tg!UG%;Ewz`DO#BN1$+>msb5lka#M=uMJu(* zuS&6pQ+`c~y-a;ZihWFdR*L;h{gxC5nED+lUdefXPl|)g`vWQ3nD<9g9Ae%dOL3T~ z|0YE{Q=gZjgQ-84qLZn=lp@L0f0v?*slS%u2DY}{Zf)q!Y`g_#TlmRq&Uk|LW*-tZI$w@F;yuBav{E;6-4ieaX9N-@IJ zb5e{l)gr|urkFm+IhNu~}-afPXNDXy|Dol;z5UY8Wt znd+9}22(v!++^yQ6t|edaJXsA>Oif9VXDThlB3qS_ zqQKOQ6ib|%m13Exc`1ra<)m0)>VXs`rt(s(a^8Xz51F?t#Trv9Qaoa6Rf@+!tWQ=DkshuV?Bzr1%D=-Xg{8nEEa$zL9f$j}+g; z)b~p9%}l*rif>`+ol<-&Q}34I+nD-(DZZVl_ek-2rhZV0H?Za(mg0>}{fHEAV(Lew z_ztFiOo}%%_2W{!g{hyE;yao8uoU0L)K5wA-K_azQhX0nKP|;unffnMd@oa6z^v0(^7mNQ@<|7_cQeyQv3i@zbVCgnEGug z-pkbQO7VkC{k{}G#MB>3@x$C7pOfN!%=;55euT^YQz_ohyg!rTN16HyDL%l|UrF&} zO#KfjKFHMHNb%!L{ZA==f~mig;wPE<2Prdlcw?V*FIQq&pJiA9|3XZshc#VJ->lC-6ze$&#fcnN*F6a z9}IcfnmR%o)|7<&HElH+1;O$cN!a!c#oP)UTt}P#`z0GIRX5wyXHA|-%&GEvCMs?8 z5tL`pM&Cwx1~K|%$}@>M+KgR~R(4y?h&a!8SGYj6dh$b<$Wh3 zwO>x8yjEGa6WmWCu`biB%U?gTzm&vcrfG}C=z}vXV_HQyGV~D*mNIsd`?)0+I&6U1 zI$vSp45n(>9~4Tek2A5Dsq<~I@;94U(u6r((pf;foSd_QFgY$=ANPw2>AZ}Q>U&UZ zq{($UO0~~Ky?ouBuSc=u2DYl9$={<_wwe}tzcjN%}?>3!27{T`+wv z>SfCjd9fWN#9PMv=v6W^yn#a8J zow=JGIR^~p_Hm@V6*2jD^))1S1~qpv)aj^RSI7o~8X;ylLlfKN7P)SF_@=ORt#`4v zu3;}X%auQD#$B{-Q7$fxPNMu7G*)BmL0e|ySxNh78mlt;MgORH=D`%~PfD2Aii!;SDxVx-jhrHrPD*MV}GBr1cr`OQO$+UlM&r{F3N1;+I69 z5x*q5LAzFbbC3PPF2h;`AIPIm@v(r(rO_Ak_^Wg*V{&PZ%*HOwk)kP6O%Nu(PeSDO z`7?hkXIkg^ENF7O6`v4fQB&)=UlwE;(@Mhg!9f-? zh5VfF5N@of{CUESZPKU1^$K&Ry7jDfziFuY)8v*6pFU*EOr7ujA|lI~X5#XP5?RF5 zf-DIC7-ZPQZjzM6TvqIvyY%ly%p-b{a|>7#C^9xz4nCHROs!L zYLy)yH*|8AEj<00Y@A^WCrX)_2d&}A+i>1re#K%V(SCfQF!GLQQ{f@}?O0--lNVoI+PixrO$T)kmG@QN|D86^3#dT8dKn-e}}KksrjX9^TI*j^}3X zq4|&-msd3UKGEkSDg{3hjl3`Ne!Pss3N9D3v)MW1!KT%y+r>79Wg8TrY`hhj*@3l*DL8D6ow*S>_7%FN?~j**|DiWpLq;*UimAB}uG z^eT)0gO{S5#-P3nM}7ufi%&3K%gvVV$;i)!-V=*_GV)(>c)wJ@TW{6-PoF+;T1I{@ z^7Aq1!EahN(HA!tTIou)#TER{6Ysb0-9I$syc{%BSXju;l#tM#%jZ{1^!{A?IKA`O zFC)Jc`Q;c|1$RH})jyzUf7et00;BV(&&a27iAZY(SBT85F19)lZ0&5#%x0Ew9Y4Jw zS?)sv7_|={mP_H5vfr?jkzbE|25-PbexoiF`7BQ6jD~r#Y>NC=5RDn8e+0%2Cr)IG zxy(ZD1*&j3f=eKFyB}Dzzx+9z$xqK_MzW<024AUAd>oGa0bbg}2YWQ0u)bC|!SlV* z^LV{-A-mE#TqrzPUDCfi`YazR)xV@!Zj1^e-OrOo{wVUfSmX~Qe~gy-6JfP)*w9Y@ zpIu#4z26D&eJb*&^_GZy9^K7tWCX_$`IYSKM0Oca{&O6%*ie2sVTJC$j7I(<@>c}v z%;LamZejLpv5*(m0r;|tBJnOS`e>$oP!k0x|3~DnV^H!p!uh7Gv0w$^a0FLV1oQMY z*9Gbe*w%8ZXYO9{9%? z{MbKX*e(^8#_ZOnGJ}tg0j!ucmgq2=AR?~LXMX{w&~bIQ$aX_-|1{+ zeYO#;`OHkQ(3({Ba%8V&XWSQQO)upMtKY>UqS73kCK{E8I;CDCh)QXtn5vc5 z08^W!HON%Gv`#a%1)Z-=?W#`FI%l27#2(!yts$nGq;-L*9n!kU)J|y)GxeObMwn`m z)+kfYV4m zrcxWUMY+VgEPGm7(@dR})(lhUr8Udc1!-lO8kW`^Q=`(FXX>)F?lCp)?Pyhqv~t#c zs?-%}Jz!(6No#?5H>8#4thc1K$f;A(DljiCttFPrNNbs?8EF++E-S4SmYbJWiK(2l zRyp;7v>q}qFReAE3etMSsms!O%+!jsUSMifS}!uSCaou&K)ShcBbAXt=BX4ebRaZQ$HZBH!}5JX}yW5AClI0u)g<6>&?u2zqH=Mybnn0JDK{R zw7!d}pODsfGxZ^9eGgL~k=9$8`lz(Nm$iIcT5n_CC#3aurhZ0R@8Hy*mDW3%`joWZ z#njJB>)lNKqO`t`sb7}X_cQgY()t0Weob2MVd^u|dM{I-mDUe3^;^>VA*OyuT0hLx z?@8-@O#OkheuSw%lGgi~`eSMRC{zDUS|4EQ^V0e;rv6-7A7tt;rS;=X{dZ~o1XF)4 zt)FD-Z>9Ai?yWCK>%+|Zdue@ysV_?Fr??INx3oUW)IUkTWmZ^xe{wq^4X?=?2q_lpHsak3MJX4#b^$SeZ zOY0Yz+9IuAVyZz}zsyvlw0?!D?b7;HrkbVoX{L5b>(`W}u~$gz*SXx?()tYZTBY?H zEVox$pJi&lw0@IQUn#BMVyaDAzs=NPY5fk%bx7-XnMz9Q_n0~&t>0(rsI>losa|RQ zAya+Q`XknITw0%F-brcwF;lOS)}JsnAg%w#a;K&Br%aud*5^6(ytMv|sSDEjb50$W z)?Y9+Dy_d{>aw){isi`U1Dw zU1|Lt>zkI=-*f7$wEls4bJF@E^X^IOe=&7mTK}7=1!?^wQ`k0Nd-mx}UxxT5xALma z_HX3j{z>_@7<}~*o&*Tue(Hr4s1h1^W8wD4HQ zzB%$~?51Pi@=Qezq4aR<+c1|q=AC)Oss`~(irhl>(h}`;S5U{-<97h%I<6z7YW&7% z>@6|qLUg9VG0!U)j(s<__p^mG0tyR{ zg*B%A0(kv)rb@eOXsFv@s#E>$dMQ1#>c4T8=BT-)+FF$)gD%&OdI>K}+9F!EzD z`~c^OR<~SfrN469hpnvEOuXP0pNjoZ3`j@V$hfXQA`wcUC3)=qQUsX#fD}QdJ}5;M zkGY?aqME4>NfBb|BT|H!`lu8Uramr3l*jcaq=+%^XQYU;zMqvsvM>6S6g5o!ycD&Z z`ioN3G4;z*Y+?#OMoBP*AEVTB4*VEpGgJ66$`($=k5RTV^*i)S9CtIoKaiB6w};+= z?NRLay#dE@{6w!f3%7J4@)k<|BU;PqKW@UWcxXibam-4_5RBOD?!zYU6$@)>e;oVM znAH~hlbF@6L=0gg^$S;LsHl!9BU3$zn4up?eLD8nQL870c)}*9q;jY(hWJ5!xk!htGW}gN_J!Er3u_NzeiagPh-wTi zmL?0sISK|WprLlhz8FIegapJD8T-d*L(FQ4VWTf;5F(bM{^uEq-uiyIM&dumu-X4) z44eI!+YU1J@9bs2geYk%BG9WVt@F4?5yrcbLp7A#esm%b6&z=fIy>yRhzDX;Pdo@1 zRQ54q3G4n!owlg!b}=$i7voRd={LxSdOp~+@4Q$)b_%%hpCntQ_W z1cHc;$sD;4$2W6WSIrb?Ck#Yar9FMbx5gV{@h$OfQv8(oC`Mhp37B=oxI@D69hj;( z45@yu?-%Gd`qc_48Q&S-6%&6Gf6lfnv$~SyUk19e<+0o^<1O*sv3PwPQ3hGA9Q>nQ)7?6+1x{nK^gB><0F1liofMX?!|}&EDmWM^0Ix5z()1*YG#4_4*;rY z{9PA$_j0YBQv3rK_$n#>iKzi(hlwgX{^EyxOyh5D8N`d(HG-{|fx^5DWY_M$Q1Er# zmW}2E`)|5D$Jvo9qx5WMQFmw0#fRa};v=wXK*ldcCu7#G_+@{o6^3^EC64+TxX#6E zIojXLIEFZuxAr*G@Nv)}J`P&M$3c_$I55}8fxSKs4EAwgv5y0jeH_^QOn!x-ZQW#` z&R`@1o`vGLur9mHnE&(uQvEIC+4vl$f;a*~w|F>Us*Iw%%nF(Dsc7Jm?5z&bFV z_cst-kR5zP&K&<%bW9Q>_BJ;Oc0E&U>}rJ$&W%ol4s@Jnv`s%QW$Am!wx5USE7$k) znxj{CL*so6Q0r>6le`PQ46&yosBel{hU3_1ZKcV`b(!|GiNJCEtK#vmjK3PONUqvf z!<)t4hCnLU@3kA(0F8ud0*&|=2;8W$N!%eawsMD5p` z2`ek)dJem*zcv1CRNS}Mh2pPY@0`w=C{&hB@i+1fM(fVL+~}U@d;B}$8>x&S#eFdPPjujcV3;rM7zg-V3ouk zrJ@iSe{cK;#w?fnuUKdI?()&0V zJd{55|8pU2=B{{p5b_{`PCOz|=8rWfaB{FlhFekuOT6&7Q-Ry}H^FHq2* zib;wt%imTWn>4W}yWLj%47VB%zT=;*x5CtFzsjxl+hF}py%jOE8ui@$R>bbUhTG^5 zD{Mlqk}*IC{i$qaI2WHyy{=7v$~Ju-2K-sQ6(yTK$2R>XSbtS-#VT#0%juifcP-dB zTHiqHi9j3y-EU-+egQL6D|Y>bMfHx*m^&G&<^6wpZFyD3|33Z?)Sq9(F#wnTzx_=` zH{*LDNEhMPJ5Ef+$TwHl`gV&N;!xEdD+A{ixMO`fSMde|3S4Iy?Rum+gjF3vNJO{=;I8&u11gU zU)R<6mMo~SXDE+n=g%zCS^(XA1}}P)dqIt7H&ylcxu`rV&*S)vJMjXJ?YPH>rT7=M zo0Fs1Y&r#~d$Ypwva{f(ZkBQ!^M)Lg6Q0}HyuIvwQs{82!96HGC9lL_%~dS>&?0L0 zh`;{c#P$?-Bhs?=``G4NGGMW#Q^<+_S2^)_i?3gh6WIbm7M|9|1Q`&Pvoa9jv^glk z*iwq{7kA4rjlT_Er7M|yb`~319ENhc0@tC%<04P6nRmeBVR`0n}U&hyZ=0 ziJvM!-rXSsdpX}5&`c|MQ!Ojyn^@;}P+s&Q=LJ#kYxftZ&A*=!fWrrGn{*@XJ)DN6 zurCd*QI42mG>qaELyVMn=n+$gt%k_EqVk>c-8dU&g}5tg!S!=qTzj9sOr8zn;yp2# zfHMJKP0*9>=qQlGUn{29GCAeIHr%)KQr?MlS|8@B{Rj@Cc}oA$bv0ux{t=e`V1+&C zaG!;hosO>b$zXu_I2dN@2Yu|FE&1?DT|BYVS7j(2dc2zHy8@H|F<%0P6%uITr#}^g zjPCrW1pM)3L?2Ls{imV@=#Dx{uV@ImZJ!cQ2l`Xd2z1MSQyKHBbL|O==5w4Lb0_>d zPRrTx{07dEnfIF;#P`X0?pcRg5m?2Bg4Ar8zRs}p9S$XO=X?9 zSo-vbPn7$OKITEHDq4|l)Te}sR-`NRDS=y&zJcLYOc&r&dIb{bmU~Lzg4I_k%8gAM z7`o9O>Ga76pGNLC8xZsf2iKW8LomBIMoWlinZY@vWqp+U@E6x zo19jwPr<5fFmZPhcz>G(5}0Pnt*!)|Innwo7rmuIJ+{ri%4ypw(y(3pG^cIXCk&-| zMNJr=;evNo^cs&Xvh8nK z;DDOuYOtPu7xUU=;4t&Bo_;6ufbjr*Jdme65CPiK@Dm7QiAh)-=wwX*fjXWG)L=z$ zgn9ik(8JUT(g(D&J`e%g{-`G+0<;U_rwYi^E%|Og4d!Prmx>*yvoq9M|ElJTnsa!o zlWQ@A*Nd2Uk@g3OB^a~vxU{_^`9i-`r(M9mvD$HTha0ZIF9T+?vSyN^vY)KEvQBQh z4E7E5)e-NgQ8)IN;WxgrglgG^eu-;=4(~drslPEp_;F&x8jJ})Vx|nZE9Wz);HE$vNCEzUlQ=OFYH9CC8daQt9^Tg z1VhH2JZP%$X+XW*;YicPvF>tgspidWOd%tB%Zh7n}3LV7;&Y|1$R;08-TK zf5cdl^gMQ3+cCL!u67*=6s)LT#CuHY3*-fY@r2DC63IT+c$*cAMBbF0edsL08-r+xhd< z+YVdpi`3f@V=qx}XNKhJ!|UD;7kR)Yx$L^%$Ll@_S%++rr@L-ogzJW{yFsiE*g(+2 z*q6zKh{k>}1_;^j!6aS+Tz?{AqcXyyNcbJ#d~cI{M))-n{sdV++oV84$lh0hFu579 zS67CC&4v9MOd<`KFoC8{?J}Bwkmg@F^?x=gWHf(pnjB9!nB%ocB^?c%E#Wlm1(@UbSRA@!CZ9lNvG zTo=+MR{(ni0sU-5WC5fS=<3#`-jS&5e5NaQKeI?;QLJq?axz`X_NxnoPa$gu>K%hx z;Rh_d?Bx*&lg(2XYW7~dtZvjh9)-FyA-Faaf=D6?TATem4MWjfH$1tmLzI5hI|;R3 z%(Q}uQLDz*SPSgOXqZ+FD?Hqru`?!<}~@VXh-3>3k$~Br&RQ{&4Kx>Q{`2rEXQVva+YQ0EX}zA=0#!Fr`H5F zNs-{sg`eMYZpyhi3rgNXz1JhkZIlec%eVuU=V0tESb&4Er`{WoXEpWSim@lD_YRD$Vd799 zC=L-Xn>xT0M7(ScfTvg_u{s-2@7<_oGb|s#*02>E>oK+s9P9Dgwu56m#-4+fVHn#5 z?(`Ug9ep3=jsQ8kp;F=<<>Ebl!0f#y@HNKZx_Da7%i!yi^9szv_JR72a}t#^bHhhX zo;-EzIYJ`mwVckcAbHU)zz*0|VA45nD)V$&~}VvUQ< zxnK$+iFFR0{u2ms4EAgff>pg?a0O;P3&eb|@PxyL1F-M}V;QhW3}fI3*|2Pal^a#w zgDIWF`OGVP1PU{6$uNZlh5UNMFlvv-SOnIY;puH^Ew}DrAbGF~4NqDCYtQg#C0Kig z62-9g43EOvl^-y6B75s(U&+H&sdo*(#PBK9yAES85|?7^bn4xVu``*iVz(ZPc-d?e zT8`aGYzhif>`r1cQ9Q*WUN-%NDTpN6xDoX}gQtKGtoL&FzcA!&$2^z=*oiT4>hrSc zDpbTOnax^Zid8au3C9#f5>L{YdS5^_ZNN($?E>pwTJl>l3?t`Dc$AHt>@^-0vG&0x zFfpZRA22peMT2%vIwu=y5-{q6mtiJ%1PEW0d=TE`GEiCHVLZPa4~|GW$e!?um7%BSWuvAJjcq595r%O% z96R22Y{|G1*gYXU7QBUV&c5q}@$g|UJRUyE3GZ;8!^WWS#LV!7@FW-Jxb=gQDgb_ZV-4uqf}B$tIOq)_<6FD`eef zlPZuEu8&zT!w`lUh7(v;Ys`WfhA_-9oXD~+#H#y*Nc7V1Fe1XlD4~@MCe_BhYw9@~^_sC}AIyvL93`JHXrK6Pe-F zVR)i=75j@dG~6>Zgw^0$;9D2TEGU-`U2V|$Vz|^MRl^&Vr8468r0^2r>m=C)4HRt7 z0;nz2`xZhyL&Log3Z@{!Fa>dPcq8@h!Gk+#xDOtD9uB@>lT+Bi_wnFMG~6E#z5)kd zwaKaM;9flV1`S^l3bSi{3l6>=$?Rp5YV7y{JpLXH55nW%5ETZ8sMFZNgLrU14G+bG zAHl(oZE`w0_z507OvA(R;HPl#Gn<^j%;9Boo!Iq4#LIpd8B=VyVY8H&V#5ual;bHD z@v^x&OhLrUroS-7#tb$KhAB2?u(>EqC65{G(GVWb;oro55eAdb5&SP2{vE;p0q}oq zawZ37b6cnuA_>y$(J}!3J?xh&c?gV&MF7+f^Px~8@d!+lf5lH7v1lZ~t16Ne4n{&Y zISWr68?M-dR-!aqB-0D?-B<8_Jz^)9sYks>@C^Ah65$sYDTFgrvPpG3L#$TVuM8)i z0j`%{6kGuh!N`e4K#D=Y_mw#aaY}L-cd_ zVY0E<;|sF{jNVuBp*_+n38EoP75st_ZE56utnl^#(ZMFQI0Ty><86&a5-y=(eHz>v zWNTF-U14JZJjsOsG?e`$pSs`-dmI|+5$Vaw_oCk4@w~k~q+{7|p+3j*H|DaZTJ?wi z_`$^23eSs?zOdpf(hu%FHl>H?$@c(z>|(}&rZgRK7dbLC;ahtK8f=RPE%Ce8`?Bm1uY2&7|Wme;7 zvM;$QkHz%FLNEbe7&mb&tVCn0cp}$u&&LS3%_K4;n~U|T948hg-+=rl)z!Ir)?sm} zSeSgrXnGuW|8uEWs4-k}7Q0vCmc6OXIHBG0B%XwQVPq#`GkghVU~M_h*@NFEWo1@Mkq&2CUoh&O0*b7C{W z+;XB7un!-J+Td;GE4+EvCm)2HHw#a-k(~2ics8kglRDHFMvCXC&&Jp;>MO+93yciRgk%u$vMFp#LBz{G z%3?}$-n!IR1=YZ;keAI(^P((LxvY0joR?sWh$FDyn?JG#1Ykos@X1ozx#qVTd(+5! zk@w+;86zLSG$QO)3R~|@9y~51vKM@H!5K7`!QO?SDW!7;LjgN`JcWTWV z8rUc?@@M2PCh<4?6d2O{Ymt@~fjvT6@pKec$G3(v#!SWE1IJDnQMYx;DDbk6`r(6@ z9Q@UT>|H4u%!~%28SsV!8!|#H(;{u+-nh6xTNt1~6ODi4Dm%cncbs6xG?E zMo)>JniZ-Ng&UOOm2a{o4-~DH6|EUPo07Jq9c=j!g+9wWunvvZWtDYCw4O!Uvz)p#+7NRZ zS)?P&sYjztF{hbD*wo&@`ZRhT=CrWL1uUlljkdy^))wi^avIWTJIrZskuEH!5sh}j zoC_?{HO`N`5ByFoG+)Rn`%Pw^$7}Aw%xJf0cesJ%O%j{qhn4bJxji#Ua$flD8s=eY)qX0a6S@$+t(@sKcN6 zXmnC^GK@OWDdAugE=j*%YU~oYNF+L)@9&u6l(9ZAHYvn+4vo&RN$u!Ni}ZH5DE81?M0XiZb(sZLu$-&htp7Rgx(IhJ8aT~<-+>NK9~#h ze^HqKYszv*(okR2@G|7SVv}Ypca%lot{H2QaWvEqh2Dn4@7Sa{I}A6`1RCm(axmu? zg*m@-*@1}`nPid47MVgr7o!|F1x3Lr=sb3KDh&-lAy~K=g@ubPSnf27OsAnsu=qD{ z;D}AmX9q5$p-VCMd&vF4CM{X+42wXMon?{BEi#*i24aanpv0dxX~jxhVUal&xsrwk z;jv@kAb4Yu*6i3^i(F-qdDMq4t#&Zc^{h^l*sbFB{^zfN)VAzQsB;@8>1>#!YZIMG zLld~)kDUWqVVkrKJxYD(MQg)Ti|PSax9pC!^H5Vhh!xnR9n*9fYAS-PVw<#Q9z=0( zaKi>BEW3|Wb^Hz}08a#AlwQ;?Na{YO+UaPDZg(oDttKAK@ zHMTFb$n`Yz2imeH5W+-zSJrBmQeO+al)j0!s#oS;YWGK5T?~=~Y|;%H3iV+_83w z^kJIvQPTp*f=^<7lS%5wS#mMTUkin=vq?WDUxe~YA#0gU`fKFlEO`^k-wcIsvB||u z{sff29kTAQ$pDRfoF(r@`Q=df9-Cak{Q~ zJgplvt7X{m*{d*l5QagMk6iYuO#5+rwfYiKH^Y9C`nsaU*T5t3keSq83qu=SY_Egu zw79E?y@C3=W08&2*9&8t`6fs@68ONFl-<5$eB34w>_ODtnguw|SmXxS?UhfQ*xRYE z4=R5S?p*MuuLhgEPvQSidl#Rlw4b-gjj$;!3hcJXO%}PC`ud~TtJF6TW3S@^&}!`K z=@e?eiNbGLhBDYf?dh^*IQ6GBX*$1f) z-RkVa)Q7Hc_NUZ`?rZkv7P*7^&|%H~iu%x_%w|&zY=V#4-=b-cQXe{m+232_PU@S7 zC;o~07GUfbi`-?AyQyyxrvI?Wa_YMd6Ms|RQjGmeeK%t481>!8W4Z8de~(4(g?+F2 zyMAuKBKKM3ev7Pt4Q%!D9gB8Np23?SpiN94>S*2HeWTizO zroQEvu0(zJVXW99k5JzOm^gv@R$}ZV>U$JpCtCy>@hVJIv&dr>c^uxL<+!0P0hc#- z?wS1N$UQ3@%&l&df$ZjJ%x{j|T99?NO$LP?wa6-XrHHi=np>Cqm*m16DbHl{+cdWU zulC%A7FkVwPa;PX>U$bvFs!V{*tyiV5o0Z=Zwtm+Qr|X=!A!|1Ds%iw>@w`Oh}{kt z+uLL?!)}h)ogfQ_j3EsB3D_V-)i#XH`ttSIWxBedt_wl1yG@2NUFV~&UXXQ>O@=XD zPr`=9SbP1bZwJy|OlfP{2A)iEFM*QaHd4c3oi^U5v9XGOw9bWLq$GDR_3c7^Ln-Z# z`bt3GaGMOz9c+=OsP9EQKAO_Oczi4zA7_&h?D!h$dl`>UqI4`Chc~6%sWutOjz3L( zui^0-lup6pFb3qp7%++*UrT*&;_)jfosGw#v(JUjel&x72M;cwbO9cOH;3wK9&i8hzDp>zcbzygO{Sl}>$on(VWN-eU{BAX~( z&7YWapN7M0Z8DKP4s5o_7K?1P$TP4nGwKG{#8QlbYvM+XZHvE|Vr%DJ z8$@i~ZSMBm9jqli2W&fSGKoFOmEFF|8Oz4j+!s*jMG)F;lgZF_$yRKouUKT8MV_U; zFVQ$}P~SHgdyD#xV(gv7VZTAyu$%iXs(uetzi*Q%>|qhSGPk2S_EFytsN(?j{fx1X zEwaNR&sk)rMRrlT5p4}`C%N!;GL_l-c}h27?$?m}jZLPp+!rX_jJdGNAQx5{OlP?- zS_Foimn`zKMc`Uqg?+5?!v3JX-|&QgQQw~!`v>;6#-sm%KVK$nKI+XQu*)^i%kxsY zg>Gee{%|la5TWpLcUctL>o$Iy=Vd}}mQ7~BV*uTO1+yV5$0jpbshwCV3R$*IX0cK) zU@3Tu$b+|t%UP-2SPI@E^589EHk2a#wbh$<0;R8D`IF#aRhwMF%D;x?Plc>%Hkrf9 z??&7+U_)<=!LJm&f-(4&f>$wCi~8_$ZQeN+c@1{i#%%aBf!|^C>Qg^{Ld}D|_;u>X zuRnQBEb<2RTijlG%`NgK^@lJ4lXx&#zYW`O^KZm?ttowzKQ`yJ1-N!Lxe|E&Ias(O z^+z!Vvv}`V1V;9EE%Kg4z@7Dd-Zt`jSmXnXd}xuquopMd^?|*(G1ku_`z*5GA_rhA zZp?x$tPfypkVQU%{o_#$?EC)~#!4&#ZR?;#4q4=|MLw~}rxpRn*Fz{Z9=6`b*hK2j zLpXSChUbGXEb^sAzOu;Iu(LPnn#qRVmtpH|l$cHZ_+cn-4)x==oxHgg`G)#WLPb|w zHF?Xxt~c0ZE*ok- zz;*ynw|Ve%dlegMzDv6E`LM;-dY7rX166_FS04C%&10%QLRH}Rl?Q%bS2I=c$nyiG zhxqN42RnG>J!F<oa?r7WoKdJ!+E$EbCJghxwB{m_J#_+W8k)>M6)tW0PxGsjslq zI>=gYlSQo55iGS4vNqXdF)MWxOKpX$XKZpUEA<1G+74MeY;qkd^%Iub1zFGAWC@h= zpNYoZP5m`6_A;fv(%;z4`zjQB%_i5gPW?yfuZ_pwqV!KZ{tg`9W0R#YO86V#!S^Zs z4-b9_2lv`!89Ue*4<4Y|9z6Il96V@~8`!~Sc<>XN?Z<=Af#pF5b|X7@9v=LPW@q5R zZ{Xk&o7}_>w#0+q(d;Zf3g!I(2YK6Hp`b#iTi)NpK3SjmkA7(G^W(t0f zZ~W?dYT5Yn>+`WGzX8ZKw8?TdHvIwbYOyI`{_zVoGHN||3Vd0}Z_3`)w8z*?X@2v} z{AT&*!tV6ksW=}#{Kajzjq~+M?^tYhv}~3-HVdEMG7D6}3~$-M9d`w=KD+D++TayH z51S7?>^4C2B0E%vdzELCM%etKB#CgWDT*&15D9> z7CA<<`y=NFI55&C5AsQD*&UWGk&e6mF{mA$WAouT_93Qy5Ne+YS(9wC612nnGx-l& z(=^NDu{^LRj}NvO!>m~}>nc1i^x`A2P0fLg%<<@43a_PXBi;OYmd8)Chw?r!AEqqw z7uw`uc*O7oX!bBva4qD*+{7a+H%PNfFc-S%eCVbhWw{wNdpPF818hD#z&^%u;f@=D zxzG>iLqGhuT19b7m*cMe9=!H@LF7K0tb)4tWLlmqn!OBlJp>0<+GKU|%wLnT=d=7r zQ42ik=EI}z6Uj4wP0D8e@}I!MPeS3RZ1N;4oUqKYcG-+i{#q;zeSALj@lWYW*DGr% z8?p2zD81PxYjmZ{W@GZ7!P48H^s_d3T31@m8q@seu=GwSy~`$RHKps6Jq_o-h^2Q! z>6dJ>4jNTX5E~VY9r-YJtYDQ<>EOy{^zuJJ1)oCc&up@pDX5JKzJ#o=Y_dh8 zpl)2X9zg})Lg}M6*~%2)OLIPqRQWJcJ)=_)XWw5?!LLyIH=Ar@3fdsSpOE#JO`g>$ zh_mm%sNg>+eat4?H5QB8EV97MOBcXkR{(?E4tBbW_~{BVAj`7JbGp-&wfce(Dxgq0 z+a^1if`O<2#=rs?19#~Zlr_Hs{8~@|&-n%Lod3Lrw_cfj3ySb`#ZbDkOO2EVpJ|hqKxf2@I%_~yO`E)| zxr7E~olU_xsGv5Ku49u|G?&nz>|QRYkELN?Er5abRdza@Yb|I3Sxs&78e9Uoig&C9 z-~p6C-k_|#7qmcC=Yw2Jo4n3c)k5+%kk!^EZ|KP5jNbtjbcE8KZ1N^ka4st70$E*c z@|H$H!?NaD&>a=@fYLo}^0wyE8RWp!6j+*`q5R z*ANF`X&5*QVBmaLS30iFOR)5CC_Ta^@39LP?PxS)jj_r5>`8eXAMpytCsjhDxZ0nH zs^F7B0emv}fT@~`Hk<}o({1u0vtik*OQO50?Bxq)q9&L)Du9Wjy-d@5)HDaOuC&QM zHeiR6Y2sSf)u>}W2rRJ4ex~C()UgP%7Te^2rbZjZS$zpAxE@L`waG_J!7@~EBV^rV zlaF-@;ws`+RB#)VzTGAVnSz^9!CjDbw@nV|6vQ>kd-)gt0+^91fElU7Ou_AuJK!;+ z;6ccGC=!A15udQ?nT*u~F`S|%bNCZBje+n;Bk$Vy08OYsclh4@sasIks2leCpb-_;R z$NB4m=fTf&I{a?zFj~N-DCpt>c-^`MPw_JK-;S|Ysed`fU=jhRp$p!$Je2xz>1hG{ z6bt2V(FN~P|6^G6ed=G0u@B+bTje95v-@pXBiqNHiVI*rjDiC;`J6o&Wm7-SAs2uz zV?uY+IIeAdf}$`w7QpEE1yqc`6iL2JmW#XXzeYKDkt=`~xi8tRS@U?@%+0q9`a9I{ zJ!tsBCSNh=90MiF*WiL*PzemJ1u(RJ&6I?xe=AX3417#r$lt);LcpgPUmM0f}Y=<|y!W{Sk9*jlcXLm3L z-_LX7o1*v;6Xc zeH)kE|AqCqnG5SfY4`&E9cv{x+g#X~`aea$rqurx#+p8VKji-ITf;>fkQ)& zq=61tZZr+x1VZ6h8t8#}<7uD|#wOALzVH`Lrh&njHmfw4PiU@gY(qJa$8rXxe%{1^K#pn-2OwwngN$Jom>@C(LXrGeiu_Bsvxg|Rnj;9rcrO@lb+T)2k@1DN+7 z4T@&}0S#tj-d-9M&3-=(=3?GQG+2PKgEUx#vBNZ21!JGmptu!2r;%s)bWtI^?i9l7 z&W|vG6%=>J5gOTs2am$R?`-lDJ9q}__>l&yW9(-d*}*5l3ZbJdgpT%SR;(5t{F6pr z$Af>v!GCP>OD-(-4vsAuKLUQHzVH})#kE#cBJlfzRwXZZhcicZ_%*KKqh=(y-Nu~P zd(%q(N&)ayuLPb^Ubcq~E*F4^mu*IaDTsL4o-~+(h?ngSgDHr3*(NcVf{2&x@q#Ic zc-dAjn1YCx?UI5ihgj{1|pG$7^Hzoq#*`rpb}}$$Ch7Vn#Fv48J0+MKDL9gNOL~6g_B5g zKDO1cNOL~6*|11+KDL3dNOL~6yP`;QKDNK2NOL~6KcYx;KDKNL(=6uW%a=r&^RZ3x zM4I!lZSq8#^RbQcM4I!lt@1>g^RW%dM4I!lwLBut`PimpBF*{O>Ku{gd~EM2OtYA; z4%<0Lq&XkkYe1wqAKN%bq&XkkDoUg|AKRQsq&XkkS4pHfAKMy9q&Xkk^+=>SUp>jk zV!k?TCkH&vVvv@6ECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8kd}Nb25HI1Vvv@6e2sD) zwxk&OSj<<4?S~@LoR4jdBGR0XZH^++oR4jfBGR0XZIB|;oR4jhBGR0X?fxOsoR4h; zBGR0XZ3QCI+-4C;1Frf$A%7(=6r1M5NXcGh7XZue0AAUd!FVoNXPhi z4AL<^9)om@kH;V#*(lI_BgLI6K#~>Z!<1t9b_;}1$SB@V%25HI1Vvv@6 zECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8kd}Nb25HI1V!pa^{NOQ2OFkBZwB%zkNJ~Bz zgS6yhF-S{37K60pV=+ieJ{E(tdNth z$Kbf+V=+ieJ{E(t;ZMV{lyZ@$sXs96xvrj!Qm1e$dNth#~>~FSPasVkHsJ@`B)6nl8?n8E%{gs(vpwGAT9Y=4APR1 z#UL&DSj<;fjvqV*Y01Z8kd}Nb25HI1Vvv@6ECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8 zzIt-};4w(Y_;@Uuv=}#|=t=mFp7i0pY#S=JTr{I-4c~lHaiz;BTFWzIU;OzRl8mDD zH0#T(uVC`DsFeM@N7)I*x=k7igo5nwW*V9mx}3$f($JF_+eSk>F}9tC_G9chnmrO@ zyJ+?e7<++cufW)Dn*B7!UZ&YX_EnnwDdxRSvwy?bn>3We_qix~n}%9oY!3|$<{NPo zy+=cnG4=rsEy37c8rq1l{WSD8#y+BC>JrnCjdex>vY`XsZ}@06~?*q@Y^V(f29-@ZiDf=44`CWtxLFC_aJaoQioT(VSWsJDKLx!`P`b z2d}sIG@8>M^Uk0-T`_hR&FPD=8Z>7J#%j@=X&5_)hOs`1>(EFB=GCJSabDOI2d!J& zh(_w;(Izy~24k=wy$i<9r4eiq#Vu%LH0HIWktrB!O(R!g4EDFV8e{Eg%909 z8o@d*9!Miwu^ddExQsso3c}66vJZHn>FgYluR8tVOWR37p|2Z$+~xE#TS zg1u%=EKz=QS~-ep6=HF`_298%d&1ABgP+lJ#UrwchZn=*pW;!lY0y}1j5u^!Jf?V< zO@1vN3w|p-;U|~|Pn|XyuEyVb!Y~N3drloZbWE4Q6ML~=^I}V-M~yG(I&IvLlF1^+ z?hL=xJ+}8?ur`zsnGxi_kZm4O0>6Yl1zc)6jvrq#ng1Sl2_&<6PM9`%XbJr0A80Lt zJrme6j^3lDi~=Ps$B&;dmH+-X6pX;S%&AkRP98iFbwXCSC!BF`$MIu39N-A&0%LgSldX><6#SOJ`Y=pu$2f~i?9s~Be1fMwBzO4lMX!W zD8f!6yg-DVMc74zT}9Z9Tu3~{<3ZL-y2JT4gb;t`TyJ#C0zg;k6>XPJ~ND zx$6_;mx}ylqTCH4eWM6(65-7vyhVh!itsiO-Y(?t5a~Nb`YsXPEyCp@yhnuhits)m zcfUxl5a9zN|3MKxB*K*XJ6L7HjDf%BHSvj>lqPl6XCPs_;wNQ5c$uEaHj}&CFp-XA^k!^ z`b8nPTZAu(@MRIcBEnZi_?ie`7vUQsd{cyP3BI@E&cp7PcSQakQSMz4z9;hE7vTpY z{7@X!KNI<%i|`AP|D_1O65-e4_%|Yb zM1BEqgB>?XnsMc7?jM-LJ96k#tBUL?Za z;(UEXx~~ZPiLk#2FBahd5ndv~OGP+P=ouu^gGD$*ghNF*OoSyO94^8UA{;5gQ6d~I z!Z9KoE5dOi952p0L4*@U{v;7j7U2{TP8H!aQGU8eUnasCBAhA0St7h#gtLX*6(T)H zgjb4it_ZIZ<>ra-YLP!*gbPIeLXo~k)Y~GFUM#|EMR=VEmxyxLi*Tt3mx=HO5#A`m zn?!iC2yYR3ZWZBeBD`IMcZl##5#A-jyG6KM=($IP_lod75#BGt6(W2qNL-gd0RyD#DE-+$6%y zBHY44{~aXL1I}5*TRZ`Jh1tYU*uLYnws>A9q;rkxrJmQi)O?WyYOY!9d8c}==iM5m zo)1esAD4PQ=~AP5%~H=7eQK@&0blV%_%}p6{}MaHvm?WEw0II^1eucoxw?2V{96?? z2gsS8FFjvDZit-X`P%ahyvxAZNL$Yl0$blfJm7&Br3gTQmy#*e59vewD4~9Gf&zyr zQ>b6vK+RVy^_ztH-3bbOw@jg2SxSZaQ$qda1O;wnrcnR7u$0GEEcKs+I_3byeo?zZ zp>pG)yq*}8*XslY&UJ=R-hdlR36mz zR6=DtLFE`jc_VJBuRwu{N+{b23Ov#()KV%`o`lMGf&%9_Lnv=0HDv&>K{Yjpa%HJ?O7%69P|cm7&NYW} zWhoWvJPFmp3F>@vC|8zRuUM+3glgpk)!H1&m8DduHWI3>6I45MC|8!+rdX=IgzDe~ z)zKWvm8DduP7>+@C#cTmP_8WXtYWDy5~`~cR5x=dSC&$tE|gH+ouFVXkl`@n?iK4r z#Zo;bR4*r}iz*muw*u8$LiKTi>T3?w-_4_o3U#rB8sG$Vi8<83^q~exsKHK9un|m! zwyBqt>KiJdhB-mOhBT&7u3ko8QJ{uPs1Z(3Bh8^)bqTL3P@^Q&XeTIG>}P5zS5U7h zP-7+3I43ArcW4Ub>J9mI1!{tXn&<>I$sEen%jg>l)MN=Y#R+O^1w*~5KuwcS)19C$ z`=3J1kWe$7pk`Gt)LV+BE|*ZVouIBThq}^DkN%zlHCIAisH z6BH~WHtiCwabqbJYLSFm>;whtnN6Wwb)G8JbrNcc6V&zpQ>dj9YMB$%4dzfcxv9Pb zN}IY_Lfzs7b*nj)tGn+b1?n~lb-NSP9p+H3ETux-DWUFig1Wncp$;jQS}vjPae{(f z0!;5dSJn5a0(GB+y59+Eg*lX~>QkW}kWddgLBakmrj~LA^|@lHl@jVMI3mm4sUD1oebDl&k9dR)Km_LOtaKwZdA*9IUX)O~ouFXDoeG8W zDNrve~p$aGAesh9?{rOCx{&e${ zaDoE$mxTJ;3F;qnC|7OjL1?SC%>{9?C~zP(F_Xl+SAp<;qf36)2yC z@;gBVjG=rPZrW5U1T>0dAmDM1=h=htdLD|Mo zzC1UUQlauCRDlyzp*d7h`cTCZsRn})tuY3QvaRBI=wHs(<6+(3P-KuM2SpOZ%{ z`;}?aF5v<4!}Y7FISH3<1ku~at+b)gef_X>tmK0^9>NT{ApP`xS` z>I=nE7fGnzPEdW!p$58XQ_7c7UtbB;&k3r(F_dqB8>nv-OI;$NE_H$$Xbk0bWhoVE zkc1lS1U00Bp^hq+8Y-cNIYE_}L%DHiCMuNli1j&n#IhfVH|#v!^;6#|mXaQ^J|~Y@ z_FMI)P_EjP3MD;aeNG;+zH)fPx^X@w-z%1q9pwEu|$QE9#tCYXIg}d@4x%{V_!dj3 zYn`C3Glp_ClLuRT#_j9kTOy&ZcY<1K4CQKdZ35IX33Y=L)Q!eazMI|LeX6Bykx;if zLEUBynzT0qQXc^|%w% zDsw1T)fZ6izSR=y2`8v0&7s^pC3vU;^^}BK;{^4zIh32HgaoLy5^9|j)OvF$SN)V~ zsSOgU)Cp>%Ih3o0u0m~+P@A2gwwOb?S_!E_ZIw{ZI6-YQhjMlIWh)K+SqZh>32KKi zl)G(t6i+kXa}sK&6V$E>hEhDue9ud$7o4D8tY9d`)6BP9LcQb!^>PJ6aa1CJ4-1(dA?61)Td5RpBY2Bvy|eK=lfhjec=T4r8$(F58IxaO6U2NgmT(V z*Y}Mvl&e{n1gIkt>RTsE9W{n>HNlYp^__(J-U;dlV<=bOW;|yr)%T->`pF6EXLG2C zi}|Sps9z-1uTD_EnM1jH#X489)bA4N4=1QU&7oYqIH*w4ci89TJM1fm@35Q6eNRip zQqp(W=j1!=D~Io}E2!4-P=4tZ75$$= z*%B((2`bMV%GKR>sbVSVW$kzJvi6&MS^M31S$l>mP}0lV@8o6eH}|r3<$&WUQJ_wc z)pw#(^_^s1eXi!wM<`HLCDh4IP^Xwfx#|)|D^RCOsA^77r&Tc2SOw~I33Y}O)S2c` zuBI0+Q=rb0P}QBFY8XTLYq@#yoT)&aEuqeFf~su}Ro4yFECs5bgsSfZ)u4i*E?1x$ zN~lIoP>s!@Tvgv}1*(aJYU%{ltb(DgP@tMisB@j5&Z}UkISNz@33a{`RLcs6x>A9X z{^))ue{{dOKf1dvL0Qb>Z!0a;&dE~kE7(%XVjh173DwaFs#66+%~PuH0twaG393s4 zLtU*vb(K)voS-hOU?^qPn!me*>fr>{vx1=(D3RrK53l*q75~{BgR6k=V zceBGPRDTI|u@lq)V<>n1)HRBwr02fh$#dUt?z!&{YLNmpNLp&Jlck0jTgqMasZc{D z)G#Ng5@RTLmRhV>YPf_N;RH3(9BQgx2N z=1ZsrPEZTYp%%G;I!mcO>1FMA^0M}uds(|%a9=}#l3vz+CogNixtFyo*Ke;1CB3Zu zPF~jj3ih&2fVxSxshgeJ)Gfws%9ZPP0@SS%>NY2++l`_8ce-ilYW3YEq3(8qT5b&G zb2AZ}U@7Tk?RWCB_M3ZI`&YQJl=8LRFZUhxJMBB{H{W;I|F9b<Bds$D4nPD9rio<4*Si0hyCl^K-E#8)=NumaI#dX zxurI_fvT%OZI)15oS?Q=FjNBt>KO^O%?aw+3WjQ^Ky8;$JDi}Nt6-=`3e-*swaW?W z`3i<=tU$dWpIWCa%b0}Axrwa9fg!<44YOgueem9m^}Ca${xG+ctA?&Z{VAdTa)SEX9O_>;cV7p^QvXS) zV-8ROVh-i1pHiR#o)}cX>jdR9h6=cGmhdW0T>KmH&7QSmZ~J7ikzT|&7rEK z4<$X#0#2T00dr5Yz{zf)IxChsMOx}qCrec`x76uwpt>kfazD?2(|(=-^Zh&nZWc#- zyDCsMrKM^)S?X+aOSyvTra+w|p=vup)iH;v=cf8DRG{ihs0L0@4UM7PJ-YN%pc+Z2 z#!gU8jG+R}+*qoY0ww*Y0#5!@0dxPU!1->VE>fW6FWd*5e&Ie~{tNekwr-$$D^TrZ z^|g1Zz7FQq*U1f39|cN!1qGbEf&%7VL4j^=p!zCM@^{+H+ zWcBrSs=hwv)z{ArRDT6Z`nm+1d|d+OzAk}F-9TNeKn;|Z8sucD!RD44>IQ0n0yRuR zl{i5SH-{SO2I>+8YLtW;?F2Q(7|QSJy>FlbHC956bAlRg3>BE@#!`b6s7VrPvJ=!4 zbEs)HOcNBE@hCEDxnjXMtvS>ZHTWks%Ce`xatU>h6V$!tQ1`om8lzZh zg@k&*3F<*}sFiM@l(krahb7b_PEe1ULp|;WYMf%JRT65o6VwytP*1sm8m~aDkx)-N zL9I21ay36SL4jH)q1HP=Z7_#&HN7}dfhv_y8=aswnL}-HQ+<;ZD7mLuz-dpjfcc(g zf$eUfrYcZ7q@|v7veZsvOSyBvQK5E8sOO!aUND9VxT-!C>O~2)+X?C=bEsF`RNpkE z`d*b#uQ@@zZVu(jQYzFN66#GSsJD!v{I2|`RH(Nl)H_a4dyJt1@42bI8A|oNFQGng zg8I-LYM&b@Wg%-|zl1vA1oe?Il)H&o73yONb={ilLX`%eYU_n&h2IIKeXVwMW}9V`_Lm|M!# z^OOoDeO-c1zAiy?UzcE(o9a`xCJ2V4rKppovW+d}?kPcqlD;lMCtsJKxvz`g)l-5B z6_u8N~@@`rJLb zD0^@O&y-MSIYCu7hH_^q6{?1Ws_6t(tAe4FjX{EEOQ>^FLwSO=gLTAS)@_Lo{v#x# zdgYp%f{oTd)LT3W{)Gn)_%~C&e?yUA<6slWW3jsAe11G5SdVl7i7BkC7qTOe5h0#n z(_k}khI61GQx&MbDR{nKKg9y5zj!=RVc4KBiMsoIoK;B z*fYL=V!Q7ZkQC6v z^*WQy!W#rP%g}geXd1zx{0t+4BZ;qg0u<>^0`MQS>gp$*P~_hfoTxuXb>e4*r0Fw* zqdiTy=`(|4;3i@BR%UQ4oXsy9_9%W$*}mXtIO`br8_Ul;DL7eF`Gug8DYdHCs99@M zaHi9VEqP)qI7QTm6`aa0j%f%^BctJZ*xd;yoB}7C3V+l131@z~7bdHy8e{;(&|v4QPoTEV%(KX7-3Rpv7F}^}(g0dIyN=&BANm z6ueEZwmxUhlGmFRyg`^bE67g3%$yax5n}9mvw}B4ELPLY_{pPw5Q#wH2o#Gz0oIal z*I(ORdI+OyyF0jC-+=DdE5()@-+*FQX2~nF@XBHhDDFDo8dd}!0Q<6gCBBP)SbsL9 zizgmWfo6PIAAHn6xNLmz6a^nkB3K_>wHlC;2eAZ#;OgKLLbx@wU)EVhs;>_|wHt=Q zjlp#+%3yrO6WPDS6G|EtQDH36mBf1!mYt)GzyPTBpApqg{{JglcNL${ZUkJV^T3?y!${T{Kj8zkOpioqL zs%mTZC8OH)oU9do#Z34Vt?+AR!lwpb7qwP)TdWVhX(oJ{_RMda37@VN-eV?whF17J zGvPC}!XKCkpQRPvYbIP>Ywi7J!ZoyK{>V(YruNJS&4g=d&wSWS_-w84r)I+EXoWvF z6Rxcl{?bgij#l_-l>#;RagaAI*dtYK4C`6KL{&+$=P9zMho~Byizs!W2X@&nW6K<{*{?APKT%B+RF%v#dE9^BB zZlM+Sn+cz<6^3UUgJ&R5OI-`gu*@1sE3I&rnQ&{ZFf|iyqZQ6E6K<;&j+hCz(+b;W z!tJ%fd1k^Lw88~u!X34Zq>@=9>7*4dHWR);D_q4)xU*LHL^I(oTH&f@!d7f;_ZYJDQD_qk|xR+M=Y%}4Dw8FK`gnMi6s=8*v zeY9t;ZzkMVE8NgbxSv+Iv6*mxt#DH_;fuAx&CP@dXob%+6TU<%e7>3RrCQ-uX2Jut zjiim4@F4A(+nEUu)(Uqp6CR=!?qnuBR4d%sOn8`9xT~3PiB|YRGvVP{;T~qfBecT3 z%!Eg3g?pO`kJ1YFH4`4K74B~)JVq-#z)X0oR`^mg;c;5wL1x0^wYTIDGvNtZ;bCUN z6SdgG&4edug-4nRPu2>LHWQwr6&`CQJXI?^-b{F!*4h)zgr{rIJlRb6GOh4bGvOIp z;pt|=Gqu7q%!FrYg=d)wU#=CNZ6-WhTUB$+gs;$^d9Io89Ify?GvO<>!t>39=W2x) znh9T}HP|9E;dxr&Yt4kO)?zO)6P~XXUTP-1K#P5YneamGnQt-^zDE0Mbc@-m(IV}c zZ!X07mQGvQmbjpRwQMsllGc#WCxZCc^AX2Q2?h1Z)2-=P&Q zH50y5E4;}}_%5yR7Bk_ywZhMs2`|?+l4s2t$vs-(9cIG!YK3>23E!s`e%?&@ey#9} zX2L79!Y`Q#KcE$U#Z34?t?+AR!VhU1$s1;kWTjU4Ei>VVwZiY12|uD0e%DO+QLXU% zX2OqYg+DYCeq1ZO&rEogR``IK@M^8_$7aG$XrIFlnF&9sJ@Y4K!cS@2_Ge~odyV$Y zUznZwX|3>AX2NT=!rzz)uhR;DYbLy2EBu|A@CL2$4`#xpTH&9}gg0u1e=!r@q!s?n zOn9?a_zyGTEn4BfjD$1(*84HNrG>oo@xmW zTo%z&zHO{B$tTS6=vD4XL#0=*^1U=v`t&M4NJFJxuX1l1Dg*i&-*2okxyFNf%8!gy zCf9g|p7Nlv%4AAQPkGo_Win-^Ugf80sLax<{5%bnA-&2k(@;tED!)!cWwu`Bku+51 z=v5v~LuFXsF26TcncOZTdX+z>p)#sh`Ewd7ZM`jjHCCB?_vBi6a_qt7NWtnSZ3zBh ztdcFcP@IRYe7(xQ(ok8TSNTsGDhu^0|4TzQ&M-RGy?)nUjXfs(O`?G*q6fS81oA@)W(wyfjpv zs#jT%hRSOCre4WhWm126nqFmb8Y)lMtE`fS$}{vTPfSDQnR=B~(@=SqUgarisI0D6 zSuG8fHS{V^PeWx*?V~})nZ_!U9}Q~hRaQ?!<=J|bHPcXej$Y;2X{fBNS6Mp^m38zz zQC(w|$&ajc^_2CERVLSXJ-y0?X{fBPSJ^lXl@0VNo2H?%pry{nJp{POox68Y4M$}zTIoVica*g-UtDKsK%AR_a)6-DdORsW98Y(Z+ ztDKdF%HDdFv(r%7N8gC%7^_TfM1A#?bB$FdH==%emGjb2*RDv0GP!y#(W|^R4V9PbFMNry%H$d!s8_i(4V8oR7k-1W%4Ay()>GbOtTOq+hv+AD zZZTGw{3T_mp7J(hmC2OD^eXR2LuH9xRX(1E%JF)YtJ6?9LEqG$G*+2>k5AOA zT$6^%NqUuQ(@;5CuX24FDyQgGmZqU{s$S)$G*nL0tK5=?%ISKQ&!nO9GJR8j)>vh7 ze>p?1az`2}XX;h%Ohe@?y~^j)P+QW`3+(5rkU4V82BDql-O<(2xT z{)Vy2s7v=hRXSRl^>>|a)DmuzBE)W)T=y@ zhRSR7DnCv`gculGG%F(>=?W>yO3T{`B;Nz5TF^M!mb$rv-=NH{Z@ zowU%c;K|H3TERnFYK6mQ!mYHzQ8VGzTH#zX;Wk>~d^6$pnFYzMJF`+*RmK0BL&AQY zq@z~2*i5*SR=A3p@C91o6U~G>YlW+t33t&7pJFE5RV!T0Ot@R-X`(NQ+a5aeOao!u zcsuiSxQBXZl~gaElAhYjsA-@C2zqIS&o&djNGn|1Ot^Pu?6*te4OrhmSQxM_;P=xi zX;?lb{k65w*jx##%8RwOXlkGYga>E|ni~kmHKt3n1m_tj0fI}l1m?f07JA&QvBeI(vb=hrevsANyqY$4AUw}@jErCNJ_Lyx|EM(xK>Gu zpSRJGux36&tE9U*2~#pstE8v75>`8-GJ9#p%H9S_KzNMyUg>KlJXU+={$|4CwAVhs zKsfFm8L!2^)IbSb`vfh)AOqpJdt;*3215*#0Kp`!@Gvvs$=YjA@zX&{Glnxy(O&yV zgEPljf2vl=Xmcg3`A*Yb##jR-AUs`5Fy25o?lLaZDoOGCNQxojl+4gx#$*E$xQv-v z;i+cAv$Vp~&4e%43ePYTo~NtTOK^`F!7}Z& z-)AO#gZ9iT%!F^$3O{Hje3MpqrJ3-}TH!~`gm2LbKV~L;t5$fGnec5|;U~<5Z`al{ z{~@#EPm$iCJ@eCMXTDQ==5=PmcWH$;m0) zvYGHot?;X6!VhbOUpEteL@WHJned}p;kV6%AJYo&F%y1VEBv0B@G7nF2WG;nwZePN zgrCp~?>7^EQY-wCnebCu;e%$vYqY|L&4i!U3V&)QyjCmxxtZ`ft?-v-!t1rdUz-VU z&WFg!oQjcZ`F<*zncj^ zqZR(sOn94C_-`}eXSLV-ubJ?6t#I6DU#HP>hYmZ-V|M1}w8B0!;hkFHfSK?vT{F$f zFc6Mwrq64YWR_3K3tA@wGc)0jwN-VlneajFnOm3%AJPi9G!s6o6>e=N{E7CO z+nNb~sugZ;Cj6NeyQ7)#=UU+l%!I$tVs|kU{!%O4%}n?!t#EfU;jgvV+|x|>8||4d zG7~R#eP>OC zD*H>T#N<tkAclTX%V_#UDq zF!^*%JXu!-TcO7$IDSW%BeoCRyqWiR7v}w)wXjA8IDc==TGTH$YjMcRdg;dN%hKCSQuGhx5BN8M;3EP7O!NA?7?N;aD- z0XGy+P^)BX`IKa6m24}Y5=*OOd-;@PYRCHL%$0zXkS9w^64!83HmbPsE~ITAFBp&j z0o6Vz?lu$7)(XFDCY+%06u2%RxGvPcf z_6KId`C8$<2Evh+!4+Bil3cJ$vkn*ulXJ5^(g+_k5GHnTP4Ho6q%lS*#5UPL~2xtFC8UUr7pgr zG~`!n-$y2K{}VQz14!1_utbvmZ(PtL6frJ+ijLd7+G+d@@JLscIknO$p@hN^9cho2px(<3LvKXa3-Bdt=Rht8^A z8mdtl&~IGOC{F!68LAD6>vD7ptP-lP zoWiLwg&HYEBa>3nuybS`Z0axabAvZ2@=J?{ng)sjX!B#R$XC%ACD%KsTmxNFfaHs_8Yy(2+eQVZ!*9&L9WPg~#eTLEtvQ~yf#uOwdOU4| z%A;+|@w5#N4H5m@QP?|$y>V@ZC$eGU;Vk~yXnQ2}oN8z!2#r=A6}LMKwOPue;`T_F zl+RpQY&tqNc~7=SMx-nmN?InlonLzaUR3Pah-^;vY&0R*U09+EJfAU36v5Yn>TrJl zHftA5hnLM(CXPw*XDSL!6mJSep-Jp@M(q6p*%8QKFEEp}HlM1o`Hth+e7a)u9mY1_ zVQljq<+AyX^4a_{_co6|Q&DJ!wE4_kiM0cV#_^Ko})aeZAMQYw;Am+ZbrL~*NoOF&1jc-Guma` zj6i95n$fNl&FHDr&FCrFjMlqvMtI&>Guj}VQE7ZL+5pX{l-J!x!)EkMs%Eq!bu)@b zF=$55m1hipk(<}yjc2E9lwV`t=2-=I#S4|OZws9sH&+)WyCavR?jaV&$?>U~-Mmk< zcExuxyCbtwYj{N|86`KQE;>>v8X20pY_@Y3|gN`T{Njr-d%Pxc~-?bB#7<8o5`~(xli6DZ>Bfg-%NPk*v<5&yqVsL zznR{Io9QinGretiGrgbcX4;qfW{O8KxS95AoBzl9=Ks?1ntx0#W%GZ@xcR?i-uz!O zZvHPtj??_rM?zpQZT?WywE06()8-FF%hUW{O40leq;CEPWb;4hzWL*MW6l4NZ2pJi zoBttb{)c(<|HQEQf0?TJA4%Q(<53Kn|F@x|;>q?S_|o8o>ED&C6NJC-;qOP~Y2ls7 zxhcCMwJ&@plG^o1^ECTTq^GgsmMKeyYRgx>cOpelOt@!-euXQU4u8KVUC|!nE826s zD^ee<_84E$o`}&E?NP6&Y^POTY2+RFZx3($yb*d<%D#VPsyEg@%JUTQ{_&n7{*+Dn zeQZbMfwiIkA{Ll@SWxq3v^UZ_bww^LrKEZ(^~k;3>fd~YPRTafyJYH#G?)Mt4m z@kM-ZnE}ZIF$0nZQW%i>WPdT3Sp3DJR`nVxXXR{0{h&h8GK*)44!#BgYR<~-I5I+ zfA(55OBy^BZ}2QIc!(REIv5;26DApae|ZcZj>P+plKsX8-(N0+?=PRhvyW%+{gFW_ z8%DChsL3ZG*jYxxMqGy_34|sk$!w<21VPkBz(V zkIU7Ce;j9UgDyP(cn1I2!TlrI;PGd#MGK_C3*!x500uAQ2Cw8`a9tOEusjVsp$k7~ z+`cZpCUW*n>gIA6>crh5fGBctRI`$k^bA z%4P6FWB0V{o+#KWuF8 z!{xH~;W&F6bm1o-&)$d2)rH5Oy%s%1+WXXad!GXKK9$?MTFl;_$4Cx;n;W;5f~yy; z0e`jPMm&$@@a6+w1-Q^T@o#^gO#TLvYV8Z?uM@E*y)=RL(v+X6nQSi)kq~b$6H~O8 z9H*Nmesa^36KRpsO8nhlnN)z{4hvFNK)tE0FvsCDgfa&Kic>$EX0b_*1db?~my$bC zbL!a*3hf}?DbSM>C5uw(P5SKY$%$(!6J=AGnCgkKx%;LPcP3Iq&y`K(y!fVaE;N<% zcvER1o675=Yq>K;Qwc}TOMSsgQwckD_u5V$fc`UWC(hY^3`ct(V;_jfHa9o?ZQ?{u)Q?;q{-M6W@GeMhbDce-5_%_uN z+EgpvrdrcBV)8K?x*zsg{pPi_Bh=yrWgdKx>cX6HJJ(>YxU^dh>4}@k+@ZnunRbW& z$BzbfWJYRJ_EJkaIF;5erG0p(?jgnGEK*at>z+-#$4E`$1wW56r`&){2Qp~83~Lwv zzv`Ilv3X6fPv$jAkoE<4M=vQNRcJqOcVwT;Z^qgYE{W`uISdE=rIm7om2N>R4M=IF zOAV})3;x@NX3C8WOIb2h0cOfo%rsD%X^=3}Ejlv|mS&m;W(t5c*K;$;rZY6zDAutZ zv?SSz%&8vQ+K5`Rt%cART-Vz2B3Gs~4pmxPp2LV#W=1beCKi%nXl-oxzCgCRe#}X{ zShh0eBYq4nGu8^dtkr?bIDsFQ5)HGgab_rayn)gZT?5VImah+bi=lyz(1AXtd*#%`I;2iOKbobZh5F$y`Hg=R3SfmRZ}? zy?sn)x_qV4PLhpwvQwj-BF!{iG};Ynqn(y)6z4{JnNg!Hh>~jz?O5P6RU>cy|6f~e zfzoPc$W}X3w%S>;)m|=I?FL<|oqfFOm{Z>Bm}^uWh33^!`2T)&6e`tmm8_0=vO2Do z)iK|xIu^+4m?`d#P3qloO>%Y6#XIQYQo1C$n-RVGQllEF6eSA{Z;(n(K8~6jq&c~O zbp8Lhdk-)viY8!qX7>`ex4W~ucR=HjL2^z?j$#0j927xCBnT)f83Dnp1d(VY3rM&F z7C54k6a@8EF}*5gQG%$T2*_96le%W6d-r(#zUO~_pZDGwdZ(+atE#K3tE;OEt=4NG z6|QT4548?QfvD->usez)j?Az)GLv!SW>D+17)P3bT7S;q$QG{wGTY>cvJPees{NZ| zj?58qWIpDIZCshtoqhllE>KHinzIi(sl?K|bjn&_^=%>R8zAV_j~A?dTnGK2rdKZO zN7hT;SfX1f)e@{pJ*Fzx3;fF>@UJEVJ~t8gMHcv;U@TDVYvgAw2TS=i8@#y}u9ni8 zfFK)t;aRJZn?he7l;YZ};nkhj3lVIumgk_+BR0-p`>ZutYmHFpDaO7|N8Qld^&k0|enangGsI5Db1 z-S32T164ejQl0D1B&$D@ME%)Z7y+!CNC3AK23q|@(6$x^TEj%p3bM8tgQEwWBcMaO zIz2>Sjpi0Imx(hRP;J3AS3PSxHx}U^bZxog%GdfjY}3`a(^~8A;@A2+VXeQ5wX{1y z$69LT#3JPCSWDEgH~5ad!J2#X$~&gRHaoV*>eybsV-Pi&wU@QDFJZ?9UvbCw^BvpI znmh1sb?hyxV{h{vdkZ@DHf!mi)v=z2DAqut*fOGgbA>&tk#&gg*&){6JO5VC4qH7t z!uRYj^y~<0>D@wvKB?DpqULpEH0wRfGn!+}7+=G5^dF?~hqFmovU&0$R5|LXA`aEW z{^;S_En+_K(ZuR-iEkbqmVz$}aac+n&S<0$nXfH%{?VJ6+-$oj8fLu-U~yO2@L2`#QDi6leL z;SpMOaT8w7;So0LRK;YSs)$(k#g(&;YYCjgUs|mDif7%Iz`Cy(>y8y>Ue!~A*ryy^ zM(5X-GV`8SAJ8fb>E_<0d4SUi)w90gb=fxz`EReBOge0H`uWZx)Au}?z5_CS&szE+ zLB~ePc4J)g>L}E)ANh{`$eR1<$~&gRHaljfZ6R4KZHsYODhraeKmj#Pez7{{J^hT5 ztp<9k*k>jdAk6Rf?H|5ndVSu8uvv+NY~>@;iX*Tg+LTnJ&rJv+nq>NM+rWhimv9Biu_v^pIZhU?R@;LL zKZxH=sQ2{$Mf{NW9^yn|V$;d znZUK@#nfPmsJ%3g_9iA2qKS#xOBc0Q#G}23wQCZv>)}N0WeU7E!7SDu%cf<~bCR=v zT#$)ANG2s}FKD&r5Rb6ko+TbG@RK?4p5md2z(lt&ze#T&~i1wwDq5Y$YurDnFUp5)=k0%0O&I0dHEER0< z)VIdl7?2< zsndeB#7U|E=Q<*suNT8dgnLHj4ns@dXSAwfn0%fP=$*KAbdDFk6lbc2E#?57e+{`{ zK2V>sO4+Jxhx~7S)S>EdYY%83-P+%d^S{x(eJddxB!O#`p0R=M>#(hz%gSn;1J2wJ zLfPV+wb-UfDe&d^)u)vf@V88TMy;wot#(tN@nxt_`}(PRMk|^*Zo85etP7hder2VS z8>|PLDL!?$k`b&AWvOZ}rEIVPltJb=-!OC4=o3XW1{<0qFJ&lXtABX4@rBX4@LBkvmV$ZKq~nKv3|Bt7zGBt7z`CqMFUDNNwSFY71Y;SK3$3(i%&ZwEq`cgX)@eJ_#isR^<9YqI0H$&Y2E6X9nn;>7a9F z__nLl{V{b0o431wTA(_og{5;^S~{ndrE^+So#QJ9dZ-PQrTel#54D9dn9TiUO+Dl< zEAUn!KuWIg+p+5x2WdUqx!b&h1g89>4Eb0oo?fx{5BY-c_V z-pFg4Ig;}ac;wEJIDx7AGT6bh2YrNtEZ7kvBHj(fgKUoWpb)0pfis7(gSn5?4koMB z4kA|HeC19Lt|f&8JDE<8;4Pff1Bx{$07q4@vnd9?+jKGTRp!J1Uc2(-nJe+f1$*Ex z>BhbHo-qt((HVINW$kzQlnxC zpDT$H7fSeCZK9CeSiyyumRIM))0crQ!D zd-EdR3q-s(6Y)NU(VK}fXa;XJ2aH-)A27;E7$to@w9W$AsS~6(7fAL!oO-h#Kgt$p z-Gv;&Qnf%je`A;fg)rS*Utn`xIcaiTIVs|L|10M@-x3MlVR8LVp6ho2*Y9LpzpF4i zFe@ChrE>=+IG`{xlqmma@b1DubLRhaX6y_OEDW?Yj-I-p-BTE7>k>g5WF{y|uL(Zx zfTE;6gvbJ-GeI|lb!)LO1uM@D;s11n`r%< zC$Z|7wCd|AoQF`|C`sBM;1jFDmy$3Vgvmw;&UDsJQAes%)M?-*dJ zuZQPj{(1g|*1G(-l5ts`#=^B#@XP7fInY}h=(!xdB*pOL8P2?pN}BZ(Ujdh<+LiQr z>fK5Ps>j!L|Ke!$1|`!~nFrwgD+0nCim(`mtYLY|a5Y~YtZwitYQDd!x-n(0x*=t^ znwRpkx{*zB_bCCON=A?!#Zd28N(I?b4D~Z8n*fXXG<7d5<|o2pKGlCclsyb(8UDIZ zHVMko{R^RNGL&Wdr$N~iC@VteLepSMeJD6s&+-OkH2*4}cou##aDrd6U|1AL$d0se-`ayBzA9AkB}B zHcRFhtfMwdJSL7WWodHV2K{D6JUub;-==&je+Osj@~Qmomd{z1d_I5W@~O9L%I6$Q zKIiiCIS1r(E|brBmV8PRBL`m;$>*zH@~Ll1^Qa^tn~N-IS_WZyAWiB$5X8Z`)jcP! zEfNNTIbmG_0)pu|iEPm%%QQ5JY>`EEFt40t=VEhwi#Ap~C-c`4saqtTeJqVzB;$!j zukh)1)h)6pso)Z4#LX64bk%!I(N*sWF4jdC%3P{-u_d}ouUvHXc1_V;W{K`{UUZj% z=q_iXyCO-^eO)BF&~HIbZ zc1sf458W!0OjlgsR$DHVy{23!dxQCgO55v}i+oEiHeI<~=p`H*f+qX$l2|1SSSSxLp ztWcfH_I>=aXuDQNf+qe_e4GO>SGQ{!LYUNZhgRSRl3Ic9kfbW|Sb@Kt+zNaL3&ryG z$Kx*T(5i{MM0Z#OEASmsDd5SwL%I@!76dEs9g?tqtVwnxy#n7Z*$MVofgeh41-?^@ zNomNfzz-+40^g}sltL7(z;{ZV(3oaBS&Wjmgjk*zj}`dNr1`#6%6BXwl3j7M?%gI^ z_o6vtr%d6n6>>ARgW3sJ$g|YNkb^ktyX@EeF<2pY_TTBh8?TT%aqD3-eC7YoE97mk zLf)>{S9kahsoQ;L)txDKs5?@gR=1^WQg^cHm9CIG!7BF%ta4M-rm#YO7go7`bs3bs z$5zNQVCDNhTOr4w>_2pc?7IYIAFvfNxC4S8vK4YCDEmlXA*UQOr|XnsLE{<#D07){ zaG(te=_Gpe%BO3+ALexZu{B-Ob2?_Cv*5=tUBd|-Xz5dHx|XkyzZ6Z^-*`>e`U-g) ztdMzhl9;l;HBC9?vSG@-0h;s&FH>%pENlqYw85XeYe|j*q6Nn;O}yQX=bBxzyJ1{* z+%C?Jd&3kA<&EHXh8_2YTQK0Li6I!ruUs(nc1^*cCq8sLj^4m%2?m_|2(k=#!4sBX z$d{&PM1pbFOEC1MDUV7LLUGO%3Uwx&?xRc7F2G(-`+m3V9G=6`fy}DilDH&?J%$ar z-IClxcxu`$3z9<9!ETvoqq7dXCDRsOvhI#3&xq$&m56Z`YL4^OltU%}eQdkkY@I`nr&ul!idm^D};qXPk;>{IQ$6St;u*1gBE9jl)~PXC^mVY>_Sbz;vlnXJqb zxGzI#SI$^H4p&}+Nef^X$jc`?uvJ*w20E&&-FS*D#6B1X3 zf@yq?adp3BTtOZ|nsGJA_Nw$y?@(9}aLOBb`=w4J04NBs-Y@eCVa2gu8tekyj5xX( zQC<;B0XyVc%T*yRserpHPU-3LeC?Oq*ug$t4+qAED-)H;mR(wp=AM~shh6JtDHn&G zmY#Jr+lARQRxDTRGT$AL)V8 z>O3#mJ0RN>q_S7kEl?QNIv97vq%*RQlzIxF2kcz#p;Y8othN~qP;nwBuFr{THX3MWj- z#r1ng;bo1uH(D+gr|lB>?MBz~Sq;>(-bR;>^A6=+)}&r9OplFUL(+g7A9VW`eZ zo}!M$;Vnr7ic1{ck^~e|C%URMQEpT^TD-486-h@4?^A3JAj0dnH&GP=d%~g0jPQ+t z@KtmbqU4zbRq{jxt$O7XC4YAm2~{)gpHOvvqO1lJWpy@D)-YM8>^Bl$Jmn+tW4S=K9e>S zYfwP#OFNVHTd>(l?aS6)oIaFj*nq}E24gEv{^8iPLsGAmpHwXNStn9wU9*J zl!QdRBfEQ2VluvywCmy>*)b8eo5JLlJ8|}oWEa$>rQS&-hID#2O+7tFC0i#H(zN5m z>m5tHsCCtWi5Io5IvV2D$r7(lBJsM}V!G67IxGp)WK-`goc{0P$!%;k9oEEAsFboO z6z8z^fN-*)Q8QO=H969o9<~Tdt){M))zmF{tEu~yk5|2QbG-Jj#%oW0y!L?c+LMjf zUKZ;b852F^DTl?s!@Y1M(Rl5{vF#RJu+_*TBNYr^F?1(m0$i08n<~@SA*Vp3S z?FRRZ{Nk`O*eDtf{jQvQdg~_l`di$irz1?ybf`aY56(rvdb8B%t)N^sHGd(oC>+Z}I@yyln%(Xm6 z-<6z^VQO(bxG9>$%HtN8MTaYslt--D^Z|N8{g&ir)6A(X4}G;HPN-+vb1>9`X&BD9 zhaQCgf#)Tc)0pov5ut0!TVQ~XU?S2CH0FIwL>_^)C(XB>s@w}}PnvI?E+Y?_T2whC z5}SuCW=e&0aKF^Ey5_qSOB7IL1|MRaZ()Z9%q>;LQg)Tp7q%L(T zCovQ!T=`JcTQ`T|L~AHM%n!whFccqVLvfOMb#eL#FnqEg7*C>R(w!XG;O@{#1^2pdgS>XMK6yL&@)caR;zLF4%e&+*Jo zfw1q46Y`;_yq#Un(;`P@=RB#+q@Rjl=O2o12|YtHxHAmwWP{fkl%ujugJrOa=dZt2 zk4hr%@sZG@vbciU`Jq`?#Y1xd=^8x8j%p7H=O?@*IV#!v;=+O0(G4KRJd()~BR~S) z2Re>Q?`P+*u7j|SD9e*YJEANSeu{Tq0GDaPPw{^7(L|@eN7(e{ul7};jU(bV(3TN= z`nK>>7v`IVpJpzz#?Vpc7z!<*1Kk`;)^{JXR2oK7IXc!tFL-|UiB=q%<-dE$QXD}M z2|ksau)!ktsl>a83Gk^Tq9^n+;Qy*t8to%}DhUl>`zv)^6-Ejvj4!bIR4XO^l13I= zWd0PBFAwHk4P4MPImHHLuW|sMpDQPn)8G}aqqbJtK`2mjUngG|)1XkxD4Ca)auS2W zpAJrGSfSG^ZC*~olz{ths1v(zj&Rn9bqJ>jJr6^V!0>-&Xp-lT;Kqe(bj+kYYKju@ z0>PiE5q<#f2osd;jwlLrRn(6lX~t3iarNVri26y&wd$vAmL3OFUOBLa7O`16472oN zHcKA>YiJ3xhVsA~TFR`U(@?ey%F>ljp=>#nrK#j$v+V%RqcVY_@_$C4gD$FP(_%Pd zp?K)sc<2Kjsjnrg9vbKr`Uw6$P72Tn{+;W~Z+Qccbv#f5Me2MnS=-?(Hs4EB zHD0oOFY%=??r-Pm`n@zwA)~9g6#HJd6hr5_zL%u@!MPB=mmC-41n+wnU4PW+8hVos z=hOkn2>n4qC~Q;yAla}CF0t79gO-cs*|giSJezB@W9>!DfuaTQuYU;sh^}C zPdyQcrsbu*nYPbbUky>Rk~R)~zbCQ2;+IM{6e8A$s|SZ0JXcZDB^F=5A-z>ZMcWM{=bHN7wgWARZG_Z@$QoGm>X;(w%s9o%bw5y>%sa>41 zAIkoMvLY$#q3k@AWu%^fvcI7$ka`r#EYalo{xGY*Js z>VHg|I`Xm-rIo_B!+>#nSHp^@O-=0&$25FGqF!Mdre zj7vO&pOEa0I$<^vY6a|EJ0Zy-N1Ztky-&CL!fA90VCpfPp}(TZ{iH-+;K}_Yn>M}O z)>N}QSa4F37YSQJCuK7yIt6etfvY(HiZ+~S_tLOgGiUD3d?_9VD(r#Z!#uZ7N<3Tv z6x(!CGMCWq16)S$2(kxHX;+E)3DL9R7>~^<$=Zk>Z%o9@QxXdpGxJn}Y1+ZeQxg9= zX67kbU_N5zDcKxbyDIcH0)Hkq_%(t1fY%eHb)3*9?{8WOp&WRq^4}z9jq&LJO|n!Cm*pk>H%X>$HiV4H z%7M4|G9AWz$^=tm;MT;qp30F+cbMuE}-r~Cbhf~jZEZni5^sHp?QGo#` zli>^rR}wlykO-cYMB8vKjYuVO8HE3z5;c#N>bZzAL#P#*^_7Aa4*ayCXr=c}YY+ zHc!t>1)Uk%-j18|vU9?8i{9n9IWNh~<>|ONFIjkD$IW@keGSZlV))=K_wzF6y%T)0 zTj=MpHsZF>iwrL^sR+d071>?n{URR*qM1W8CuB~7=TDjEGcQ^$o)U%FLNC($|BZ`B zS^U4bc$5eK6&DY4$qZBoxMWVKZPk;$jq0h?8`YDkqtz3s+tt%)jnrS$n!w*=^-OwH z{WZO*`dh|4^-RVa>aQ8UsHcn6P=7DdUHv1of_gUdUG-d`KK!jv|IE5aJ(u;I`d6^2 z`e$&UdM@~ydY<2m4_5_O3)|)lR|8jzuLy)DhO0waY7q!c4A+3Nlp-HOSxqQQFR~NL zYC&0A<|HVq4P`|#2SZsMD9gya2xWDlERgvFl+}YWa505%H(fX3+Xb$haDCHtliC%$ zOt2ZBQXYib!*$cp({+Ob<1R|JF1YPb$8>X1a_ET0zoKO%ue2{pPIHB?!C%T!WIz*W zy?0R?C7cYr7jYN0LTsR3luVjtBno55L+Yx-d?uyCCQ8*T*8y(l5`f9Q2rk+ zM@XUYH6TE2F@^DS`*L_x{*gE+Fw*}>?nlA1>_6JoLM-ttdr1;hh?fGFq#i75mc3Ls z!@Y5GhWq8@4fm$W8Sa;pGu$s@LFD31{P+4N`r%Z(f2aRl|9{N+MtQCf@h1MB|4-%{ zrswq_sf+3Y^%C4-_K)vJ^^(75O+%^vA)s67O+zXE z|3DeNX(-*l9m?oULlAzFQr^_JDdk1_wvDN8efuEziN>s)_13rT66;%^FQLA5c$=Vx zIE6jZJ@6%g!NV<4&7gsBd)_${zQHSa!{?XePh-v*&Z}+eFi;omIh6n~?i3DRG|=J8 zBrmmxFI$OWf`isRH4(lksWAxJ_|lXLzI5egUxqTqS43It%Vadwk0o%2N<_5k zY91<49tDGq(yDWQ)(s}Ppo4y$6flh0F#voJ!57r-6-smHQFev1^X5}uki)RE$xWrR z2*Yj`hC{$Qq!>1YTeE>#J+9gsnCeq#;tUH-i)taJm<*0(t%hZ!BYChd#G%p9LZhJw zjh+@7khqSaQAbCkw;?kIp)3r-EOcFlL72m!j)g%T5e9uM3^1$0w_yx`c`Vx8!75F1 z)LFzTjl(brR`m^pjSJOZH-u>n6Q*!Ken-m}k$EZT)~Yd71RaS;j`|rLao()#i*Owo zW087{h}8W>9k~;ABq~ekowy@Wi3?5Ck!ayMa+jzhccYHPB-0o8+Y)gkCb< zIx`QedZgv7(6 z;^7IK!;|QgRrRWZ@Dqv>4?hEXco_ZHO!Hqi(tkZ~{42DV{%gMRuW*?D3lie%_4?6& zy=wkzAN|)-_OG7#;Z;3N1oFe{s1c&)rU~b{ZNRL~yR$me%3EmVZJ>TFl^4{a4vURl zv0#8SPlJYcc-l1+wjvb%V=oAHG(|DdpuVh7Tg0axMAnCuCHcIxTatK zZBM_x1^2KEuI7Tvj7<}+>9T*<(`9eL{m=zB;-rHfk72?!qVmE=J)`n0xSzS;Mp^b^ zj=ov>Y)a8Zp>c>npnLHx;s25l7ws1&VHWLY!9C`J8*|ZtZ6%ucYD8mjzabh2H$oy9 z?hh`w*%;qEn2_fvOO+L1!X8)tRxZIgo>poXwL3f)sH@a9@I2%5`BLFr&keplzP|8W z8Q?jP7^}XxW*^#hC7vg%!79GX>M zc#OwvU-$vWLmFac!jgW3;e};!ZG3E7!VfXrNFumA?4&-n;WN|wIwb;2kq?wo>W7fH z_j9GO`jv9M`is&-y`T*6sme%Ssxk$Bf7BOLKJkUr+P<**gilkC`69k+d{N&_U(END zPoFvBFbS-H<Rwe}1Bie&rG@e~#1TkMbide}1ORAGJG_{X!T0Y6~bkK^Oh% z8YnvnWku9^P<9H+GNAR0@M$OusHdUqS18N$^@XxCP!{yHhqB+GEX%hE%6^BkuA4J z7EvBew3cw$b;Y>J*UG)BbP50EIQRtxaPTX9-ZG*83zIKBci+!YM#g-1K{DT6*7Xp& zvBU>h&k|y-C!WYqiYX;b6{A$5nSM%0RE#g3jaw#XEC;Yp?+^b_z#^Ih>dccXRVoV(^X&$(+U+&OnB)}R1Ltl7C;9a6vo^zD@z824UM zP+XSlQ?yJe?kxpcnnMbpfTEagNkJaaluAK;Bn4U#u@q=QQwnHCnE#ozI_4&8Ll$ep z25akEtgSC%t!53oHO8(0M;}AZwrgw zNmE#=S;A61d10w><-)>~m}oUEVX4InOHCkeEk@$nB4MeAgyky9##s`=a+NGso=8}( z5(rBjr?5cEO3?WA6A6pQ-m0<)`Axnnj^9WBXLWM#MnEjwMoi5nX8Q!l2bJ8=W;^8c_+12P9bQ;Fl=jKD46!I zlW2?^O(FPKrhOfAle0Hjob8bOwBOO{%~{ByPmP!nRpVMG`0LF4Nc5nBrysbaRtFZ3aa~SccFDks;LAqKHER`zIxVr6egHMG{y_Ac40#CGd78fp=IK z3MB9@H@Ph}bkDykfjZ`<1P-z!aB%VxIK=ABA!9MsPM5$Ci|1oUW%Te`7SD&|>Rxw8 zdATaD)KwZ_xoU7_a#h-rs|Fxf!&pDdfm{tY`q|Est9Bx}8evX@Y8^OP=7W)T33h(; zvLZq?m`nw2^3fEF;S{zlgV1%SghI=+-zKQqy-RaZseMj5Kp`N8E(?$S4G) zSdM=i^CCG%MAd#;2NtVhswYwKK^g@eml8RA3vz zT=0T1E%2l(D>;!yDQ9jq>wbu`9H+S*Q_;AYeC4(W-xATLShmL_yzMasI{OIg@KmeA z^NqdP0p@lF(?aMPB40U4%nCZN2Vb@q3YP04WnVdtJOiwOFhE3}X%>0zFp#cMmCr~A zt&8su(VlcNhi|=x_NYO!8row#$sPrAJ;q4(lu0u67X1nyH5W*RGEw--O9(~7B8?p@ zYH6SZM^LeokZw3`ro7~85E}Ka=WB83yYjN|LZt4>OOxF2BcZ$`KcAb`MREDQ3X*erC?pcTlpN4>-ooXpV6#NoW3oiqBVx%6izR~5S3wic zlx~jjt=G_ITBC0k&yktH5x6=XH1u;ON7P%5(N_s`WEd}-6%%ko$jXY6qsc;6R+OA( zz^tsO4G<1<%*u+gh!6e@iLYWrnIZ=2;(A4!>uM#F>uMzt*JoQ?7Ysybexa~=H`}@9 zVIV$l4McjIy_t6oiZu=Hu?M!#H7!ZVSFFbnHO6f3$Fu!v$>f}Q$*KRZwke?`zJg7g zr_DD)bp-N%wboZih|FcO)@x`Blp$a`C`t`&A-q|HSO7#=$O!R*_M*{x53o>F__TUe zzUx(FM|1}{8?VB;o~6UlUZPxJIsZ~s_y?u?%ZWN$MH-ap-JZT9QbT*i>g=n0XJ3Jq zUuCT?%37;;R?UQfTWXFS&Cfgq-Nn4;&t&^*%K~yP#RCeM>aZHH5>Pm1?%I;IEV$(C zB*7eD$Q(I55kL!}TPiMabcLl4~F_hwI6_GsrTjCplQp zI1CxZeRPfO!QXlue`i?yogo^*%PjtmF!C9*alr)O)4C}8!`TDl(Owj#W9F{3+t086pa8mLWoEaoZ8m6euf znFZsllz5hXbgzQH+{4$JagcffSAs)%EpsT(1yA5Q!=aq`@-}U~WsV9~A+00IvqGVA z8{axgI^%0fB>jH0N zwq-|HMA=KgM%$#7PQw)>7xzJ4ChO$BtKM}&x&`?A0eo#`SyuV<5Adbz3@12N%~ZlL zwc|eACd7q~7?eHORafkMzz(#wnmAoPw%^-IR_oZ7X)Cd+@r>S9GU+%>S$eq0?`xy9 z_O-PYu&q<2+Pejt~S#1q#q$hZgsNT^{W{lCZr;61BHG>zv*{-_2l@q{Cou z#rl`|RflTytbq1rHS4HA5gM~=rW>XM`sNz!lf5;pPXhXx6XPSa2%MU<$9if)3#D-? zNo7s%C#hW9>|Ba>+r5P%gO?{$CBp&KyL-QODx23hp2L2vA4EkDL9Pb(V>JWCuuO?7 zTP+3gBt0r$LV;tXK07cz)%rKwRk8$h!(}B`NijaLKZ7W0t_;HDm*^JLW#s`Sjr)bK z;VidnaY4^hnW$V3GC+f#4}kvh!F#Uu7U&;UX{KaoZ$nv%QdKFX9fUH!vK7h>L0Ouz zL@BGi17-9&5+iUOi}hhs%#yVj2aPN$^iD8-~KIT{g%2| z$xMNtVKI~+$*3BS_~MaF_IbemJf~`YMAOpakyyv-Z$xqys9N>iksKKPk>apZ*f<4V za_NwyzbR)(D*VVU0?>a>Cu#I|INZg4KSDC-@AMWi{r61zmfIL^(qg}_CIR|8JzJ&! z9;9!%^iUN0{RtAHzthuD`tNYefc_50nAq=klL-AiN}|T^G5VIPx`g;z^ zF@7&f-*Oul%a4>L#p02Q3zXb#kxIQu3P~rKBuF$8BSkkys&-hRR9K-DU!mlzP@*f8 z@Cqesg;E4glEC?;ZISA|t8b3f+Er~+q>fJ9jgdO>Nc~u(0iz?(X;YEn+%MO}BG=l# zl;D188jCcuf63*3X&H;OvVSSX{n9oTX=ndZhWq9ESmXx#mvY=M9b%D=_AeFKFZq!! z`4Nam3(Uxm^a5?Cl`(QXMEV%xPaDq?Q5wT9($_973M$qt?jMWXp~DVjZYomQ6Ly*l z^aPeo(}ZDxp1|^Wn#BP6P8)Pp9dzdJ@yI|OWs1B7*)?fu6Hyz3W04^?4XW$Zp(!DX zlj3xOiQG$ya}x|9M4tioz*!nCJ)K*AD3fJb>#D>e!|Zly+U=AeC0IKnNC~c;s|fhD z=tM@_N%Ql~Oi9qpeReZ6A+PpzenuYD_s25|7-ce!R4s^%Q_xtRj>vt85(FL;Ek#zkY%VQ8h=Qc-u@UMF$oxqE3LV?erXeU5D>Q{{VRxk zBOvffuMx>DI1rOpA#T`AUd8fIn&S$X{H=|{5NN*({VRz4D8OO07T{zAGufrLJxKhz zlf*ySB)->^#2&WN8pL(GZ)?z)fWDco0kDLJgDnq2to1L5oD}qJEuXE#L+jH1xfgU1 zWt~7ntV1jSbWYi%z7J4=i9qXI5cy4j&iemRL*yZ}K$?HINj=hIY{-^<5hWkd9H9MS zLwm5$&^EB-;iePC8p#mZIQ1zP-R<>MiLMsJep6uKc&!u)L8)y>@eYnfb)Ow`9z9ZMN^M7M2WTlarKS}c z+73iAfR<`QgDmafGsz{n)Rz%WC%K$2qa-P5`ZBS&ZOGLeEh>>p-UL4)NlOx_9gDWL z2|f=E5o&7ME=!N$`X16zFq?4O7Yke}qaz$cjds>s;1&!bgZ3k|PO)eAk2JhT5$&Sm zPwgP^zh(L8UqQ5oKrtTGvOxSyqtCr|kSnN<5mM0Oo(A#2AprgzU`}OHnK7p~smw8_ z3aMfWD%}o!%q9+-71%6#JFimd?0Kg-k+Itv(pV&;SH*jr6^EJ?Gxl`t4VP@X_70-= zIjcO#R{_Q4t^%isxk(<-o5)q!ow*{OxjLS?)|%Ho{YkT%ntjYD2f-LgnPn`fOBXPFg+w3+LyvXHOhNt;)kRhDp7+_d@9K^rTcsm0L9dUAKxlkwROpPeIsmOU zfe0(;)D}{kgI0&s;h=?v>(J6Xp`~)r>XNz)S^=rcL90jV@z4hA(6q1@ID;S29lJBm z#WT*wqK2QAZtgBJ6yRfqwnIGwQt9Euz<}L$L^0!BeNvyH^(Lv$q1Avi&^br908`Kw z;E$4XYAEPZ`B8FpMRZ>*y5CkoOBniq-dm1+&7UOqWM$QjMc=kzEVE$XJKpeC| ztZ-A&QmfH8M@Ep2hNK}Q+&iQpN4Q3$kx4ihr`8C{56#jt;-NW=Gw8?3^&IpY$PIco=~9G|<3@5L`|cNV zBlq1+ubcQ^T!?)+0D4Llcw(#I=M+#1@b*0aH&Jf=Bfbfdc(O&Hw`x%R0=|> zu&j25c|*xZBkVBh^idT`(MBt5O~_^@E*tmOJ(6q*ZPRu!|@CG}@zMv}f5?nQc0 zOk)b^#bMf;^k$eEwkix|mw)A~B(*EOe-c>IhxB2veWVWu`&M$RP6ZY}hP^yzWjQ>t zS$9@@Kun27yqKMpoFUI#hQGW~46}ADW_qGQs<$OGEY2b4a_|{_Swi4fkpb5Nte>rvyVi~rOzmW`p^G*OoYydPL4~+02ouu zdH|20e*BoH^54=^efZ1TLVnO23A3ufUkzMCe0df+nWX$J$w-K2gui7clUz#pLWUIO z5E9J`Y&Y0@5~Cdq-NJY7g2YB;Un5851<6#3J9t5IEiZ)|3u7$WNP5VB`L|@rW8XOq zoZv3-h-pX=^>M-N^LdPmiw>t!EMkv~eT9a0$q6lLLpxAtX#Y5&#cXJA>(H{md+icr zRblZR-jc!G%vD$}K+v+ck=vM-%^|mOTDC9gYt1!av<2(HA3su{fp$VRvMcd8PQu5< zDn;((K;1>|;;9DT4Il&9cV)-` z?z_9m-THTX;3gV|+CVapeOHbQW{Ml-EII5TjH@GKizpU;@iU(@6)4FM^Rs z)v8F4a3h^6@#dk;2sKp`D{R*|NMRdnQnp=fv+V;z;O)44%Z=j|x}>H#w(nwexU&=H#fOtw|YY#1pofsWlN2KUSZYfK16UEA+Bq z6&Uv%;(FpE^sz>)p6$p0d};~taj`5@vcNV*8n;XOsjiD*;13}~n3y&uLpU)VN`~@c z3g6vJ?q%OKCHHdQ4I{(s@A#f($<{o&Nj{u_-vLbW=43braReE`AnGQ0)_e@Kp^zZ1 zJxMi76Q|9iUx#=z0>17gg$*RQkKD%)Zb|Or5Wb(>uam%S=L67(#6UfEbJZ*e=@-_( z13F7~h?YNO4_HWY{pq|INk+1+wI(CEu022=u(-=Fv1kn8h9$S97hqseGptFZg^3SzKlim$;_qx^<%;H*w^CC`d0|dI5Lib8A`@+V8)a22+Rlr40pKeYXoKjnZUq|BojC=6UjsbW|RSDw2jTT z2+YIeVFqR_d6)w;iA+LZVD}aX6^lJ=gZZAV*9_83CX*QycydstkSPcX?8`dHU5pPr zG8aPz%ty#04CZ6x5f0{5G8Msm%E0Vt8#8)RVYDd-)5tUiP@-#wjv8%(meC#d-nN{Dw zbSc908S)H+znnb7!GD%K>y2qiC#GO|8DyMJrZY&dk?9; zlSQGZrCF*O+jkpVW3%TMePkpHsthx3I8bYCP$ylWfaNlOms-~8Z8A=3(LK1+Iy5d0 zh7X)q%b~~`EBFPpjWC0FLDp{Q1J zdL*~l0M0W2z~cforLrU;{Onj+5?@B6?qb_T^{y8hHz-SyT3Hfi#tf{T&;m5%c2Ppr zJA{p(Z0+#nE#fa-R$LYFS`%9Ym8Hpi@?m4vS_$T7?8?sA4Vvkn$Kx3Xr?Zga*k0ZS zVsRP}Kh2ZB3L9MB)(kdOtFW=;{Uvp&CPL-yM5t616UBjvEM*bn=pnv~RVBCkU}{&D zEl0rb|Bfxz7xZz#oOXX1LmVEaB7^V@`V$B*w_dHLNfumiW+=830yCH(hUVaUV$Ta+ zcUP0FyDd@oSa(;GB%);N?wuGP@#d^+-Ca#0HpaT!JXr|(oDTRyZ1pjd%w%)myJRLe z2hJk1?Aerk_Z)eSefK_jj{9ylnQeWC3dLqv&zp<=Vmt0qXg{-p<}z-5LFRJYnn&j8_89!0x_~UO1`Z!@4jt+y zoZ!&zDSX*X_$34t#Ew~7G*eB+x~S!3#n(0fe=-1SI}ONhYyhb`0FeP%2N}h-0a*u` zOkhCTCoeP8VY%Ib{uRUoCok2y{JPyVAVoOTLwiRy4)q)n+%e<$B^a@pMc@=$8vQGX zoe&tY_4x&TA{-hZ3(v-(0qO|Q;iOJlibDX}hb&6rjDpx10S*oM1&fJ;+*oboWae)+ zGxfw!Fd$h19D%WVjT3-*l=AYX%y2T|bS%_3f2zjiR=u1d~hfKva{>}c!o_lhlkyQoe~q6meE?T^JKKn zGScg!fT@^_EhYPvx+s7{++8c8%oTS6b+=_id0zY}tevdjT(zZS8xl1U#DqgJx@BZXTX!xitP zI_Yq$gc|_2CWbpo{IvsL+4U}LGI*K1Oecfv+T>+!GI)i&VowIBZP`_2b|?`{10lfC znBN?xzrZYL?Kp0pZSI%Dq)cES(W6D#^{B8p1*45*8yX998x{vB8X*AtFTS&FB(V#a zfo)_vO!|WFRq`q$bOZ7#N9aXlkvE~+$b?ddxR@+v5F3%j9Kv(3$S zAoo>_+`0orw?dYZrL3*SWGUCyGO~=dWp0H-wSbqVZku51|8gw5iETudbhW;mEN87Z zBg?tgR}eTorbntk><=){oI!aWwv#OG*k)lYySd$TX;;&)k=Iz$Ey-(K(<{kJy=fM9 z1ihy5kJMD*o4$@YnZ1Qgcf4fV2{bdy>P>TN5E^pl&K9AzM;5=m5@^q_w!x*I-P&FW zl!u|ymi`rF!}VD96m4hPQ?J)D?GbCP1ego$JiUT~`YcwFRg4xll2sfnR+H775I7^n zUMH`!IvvRCT%9#!4PS?g6ay+yOkKphL1Jkc#O!GBanGjAiaIgn>yR5A>yT`7M;k^! zB?bWS_%N2;$(D~QI$WJBV3smr=Xu^CG0$(Y(X58hOd`Zm?daIJ$nI=ItKmQnA`P>< z^2pts7`bjXxLOD~fzs~eq+xfPSap&CaElW_4;w%|1^}14bi1u_2(LuIcw@3y+FUL`qY%`h%X02Aq0O;+sv(22-0H6&5pifBB zEPrYrp>PHxXzm~b|VZpN#{$Ou8^d_z)Uf+y z4Z~tB`+gqZ+nK}M+akuWZdqie}pW?4Q!)^e8RI z8>ZeRInzqdVL0z6g9>6(1^QUKt>;9pPHv%x=!tmg)Q9?A0_{C7o^M}z|v zkAC=cvhY*dy4(LWK&Grv&jzp)cHX0hIVR!YrnjmeAh{nGtNH;FlN+nA0m&XrR0l{l z)15HO38{yTD77Lh@cW_S@{ZTwrE)?*CXi5#a9>#UVSv{0rqd9K5$6yRHpY>V3{6@{h9)5-ENP)Yk8qvh z`oRwk?hCuy&~KUvkpktaabsj?VZ>yJ#2sj8k|%6U(%VZ+wva7MOr9cJI5F8uwhF}L z-o#>({fyOLTa$3q;5wd=Uh(W_xX(E{-J86axOK^}q{U=dl42s#C0E#OT}&j}WLROu zp#CDXXe+Ajg1)E3r^V_|!c?A0= zbj-ri0&PHylq?H&j>!y2Ci(<^ND%}+B}dZw4<jAC1xE5LoJ)m_Jf63ToZX{FI!8?!@2Ms+aQAs+(%}rUydjBCw5CE+Ap*g6?xag`V z$<%=oFgzsNpF%rX4@x#FsF35s#}7%|_?UqYN#h4#mVp87b0uF@A4)oc>>({d1es?f zz$i^7fk1m2ca|>_?zmLAMd|;7_cHQAO*}wdW#frM$$I2O*fJp zxzyFsNxNJ}MU)FdbTgM(nrNigDR<*^=XSE4A$O2$=aAb$b};0!^b^?GNAyFo+4fCW znRmgYcELO&x|8f=P~ImyIViixE(GNx*BQ}q{9H+ohC`H_f!J=cn}Ph8?B+neLEbffya%PxdpA$H{&UXe* zleZDb-(4Ul@mSKs#-aTt$b;k{19_GlZNOtAicCdq7z3 zH=(>o-eXXTkoPz!@00ftl%T7FQ=K9Wo@xWJ|B(MMkYVy44&(>q0|YYW0y)jWS-!I$ zk`Eco9P%Lt^CR*Rf=P_dmavWE!2kt<#ONXS?13r$xkS{oRvoNPdL{2>$!4djE zuvTASzs+FlubgXOmNnO3u^i(DmB8dpnIpl7Zk(Uf+-|9AJf~$mr;XL%v;5f{6Jd`g zXf&PO#0@%DvngvR&FN#ts2J^_Iq2IBOke+4;11O%GTt0FTp(t|*d7sZkVM4$(( zj+y(xK%mK>gCLn+5G{}wN}^Q*gDgo{$PXtr-v>eFC+))1qURiX1ODpi3a8? zNG1oza<~oKJY56|0S(^*3ee2mTcFp5LPJ}Gd>R04tSweB3*?NiO80I8|7dVVE-+(& ztqkH>z)0(ggkV?OpBB%VXfa_iKW?a@0Q&VC@(t6ElgKxme*BhvtLs-iNny@-3&s*2 z2EAM)XG(HN<&5%1YAFwpA{B0*B*3ZO0GIJ$!KNbQMkDerEk~h6_5fUt)He*kY4!lz z3}av@{VT|MLNEYVB)FUPEFF2t8>dv=xxqNdF3Q=%p*xaVAb>uH@}) zQzLLh5hJt;1vuFVtwMe|Kxn#+&^AEk2l`i#Lp_RK2(9Lg)~=0$m#-j9iF=?(J25x1jni#~co_;#Ga0p!#ly28xFQUeyos)j6+h4)_vu=Tg?)mhRuj-!Mp3 zwbtJY<_A1XP6xRzM$|fcRYzGLxatQS12i7)=T-d+zIDDw;4yIZ=q(;5n|$u{g8738 z=Fc85-{u*|VBYNo^Rx&iEbv`;9^_?-!Gx&eJeczR@r(j{9Q`GNdC>#rAs3#vdB7~H z@-R7eyu+hJ$EFtZstyZydvI~=D4OYk(qWf?mG^=f7Quu?xr=m1oZZygc)_ISfK4sR ztDtus6K7nj=T*HVU!Ct}Sr3%nSuc1MVL=Kv_Qk^xvEkx#(W;`>YPwtV72|ur zWT&JT%z8Xbo6o-DJhGI}S)077^D9?=DN(ek2TFvO9g0%X=3X${@F?*_ZSMiI1h4QY z%s>qongZ}EV6m6LSYZM|T2=V5ZB%XQ9vfofjWVKwR{dcKkl0{~*4ysGyTR4>I7 zgfY(NRUOu_o~@U5wyurzsy>*n&hu!P2R+L;=?M#v@5py_0aA1X`HowFd{4f&7a(5k z!mWum+I+iXJlZYG_XQR$Y|ZE~t{^j~H_#~t&{$C}YHJ_(nF_pcdt3zOX%F1W^Hw62 zTbcmOJ>+MKU_S2wvjT6mF_@ow!CWAM`H~0BicZ}e_SE-~3)7o~U?Mtov+rsiCiMz{ zJp4d@VDhk%{J_b>kK{+w;Rh#YX$mEK57$>o7VByGAmFo7un%Lnf0B zxYmx7<92H-EDsR-nf%N?T|<86KK+IKVt#7eaCL&5VBf7HC%ErUl9Tp#Jo1$#q1kjh zwP+s8@D`YnG61gM!!>Uc?kg3A^`NZgXkksXwePDe*`cJ-N0&|G5WaL%_Y^tBND(Ke zI8vM@r%h5&UIywG6s0z_wFZI{@Kuonz6U#kfzKycgRjNM$9779R-yA93IQ5VTYhSPiGB8Sr@a!JQYchLnKTO+Z$V~L;hh%d_ex;khn}Pn}nf5x#&mq+r5odWiL?` z_Wj57&8iWG-&813&02VP%hJGMa@Lf_PFbX==^%xUoewa8ND?qQKBaF6J3o~85n*ds z&}#7~2Q4##%VKD)#EO2&PlNhpw?)6QY5KN7rxa){g<`<-%yk3P?BzUUeF|LYTHvhZn7@FpCPBvZ!RdYqGnn( zaGoDBj5N4;ELFy7wv}MH5;Z0Ay~ti06dd5{11IY4F{kkfEtb!y=((}-vm~)xdAK-Dr8Y$ z#poEyLcoGh66An|b7IAOw!nR00)uF!VsuSz&$jl>FU71iISs{Q_=f;&h&4$Y51}T* zP!e`D8MK@Hh&9QMrWB*MfSDIK7voM2RE-yl!7c`bpE{E~`>vJbmqix=!Zx>!0|vzzcID|C!j5u)*c75lhuw{yf$l~xRuLFc$=>neEr4c{1Hjmv zZ6?in#I7#q&PHj)jU4Le=Imlsv~)gCg0ERbnJS#yv+8oYROlvQt4HqS%D(23i^p+~ znoHuo1GQZ}(gZyUqaHPvTzl#2kafp!v5GuXTFB!jh0JV`bk|$Ra(kFvht1OBAUVJ@ zjGQ>pS>__Vo9g0s3rW^t7j@F|GpYRxC?M*zOolohg3&T*>atu_T1xIva#1JUq7EI}sqR^zl_UveCK$kQI=WaDdeyaYQN4LhwWW`m@%yRNpr&a; zUG9});5fBy8d!i9gNPuPyP~zzT|u+lc^N3h8u8$;iPVPQX=9NPm6?YDV%q5WzwBP{ z2OAq!gu3rKt)Wo6!1+|O5}O4|fG#b;^z60t4e41rH(h1U0(4$uHFBXem(^%O-%t%Y z|CF?AV5G!(>6u@^0AC6~lw#nU(KiIXG?bJ!!RxD3uuscCX&F|d1${#`=+su$u7MDe z9algHeu1EsgaqYrF9)raW0bsp~gASuVQlWmTX?f?>;e6=wpzr0)Vf; znr=hiP}3Emq@vlhMYgM<^lDb49eqPJDnUsly9SSJdkHPLWodgCrf|fD$xmf~U75Ap zp1z@Wt3U}1PWx&`R--DEf@uy`><#n{)u;v~)$AHPrq?Hj>Gk|}1*0j==|Kk-pEApL zgCu-Aa~U0i@W>3ywv5NwaiyO4wLcz7;)PWEhd}b^wDTV3rf7YkkfCbFEz}Zgp4PjUZ zO6u4c8a3)dXOo08y9VE<8zq@hIH|qSiD{S^?bZj_^;x@J=^JXd0hBbb z+cj!5gwlqrMtAy#YBYk9Ms^Jz)091XObDmIpGnE4P^&3}cq@HF z5UI*->$^@MUG8d~#gB**QyxPIEHa9B^vR@a;?A5WaN6X^{xu zPO`(X2;WZ0@xBwkS;NK?TqnhN-xBa?$#CsQ-w>{JN@``|%JKddUXm!c7^K$lb!!Ic z4*G^5(HW+V4oP2A(sUsx!HTb|WEX%sPT}wdr~kUj{Km*V-c_q3;V8fb`^uhJyGqg= zVt0O5t+fQMHBP$nl-HfDV1Tp*dbDM(^bK{6PVP6jI!EJIc{N};g$8pN(mRnd&%t*#{yf$_lE%7+C)PIt zW;ZgdhtfBMHJyxa;;^=GwJ6ck6J`gf*MY$tM&A%jI$d|_Z8U7;+=AE7}`$N6{4CbTs4Z)<=!W}kDFNqo; zvvQD(3`k%_pt}=%17xcx2VCj3EDqTlAoF88;I>M}iWuOjPwoWD-N~r>IDJD@rFO+# zJl{Dh;%-kXVgS?_z@R-z-w-rvSKMtwOK3$5{I{)$fl1HD13ic7KiJ2qPKvdGrlI zr}oPO2)fgr80LxbgHZ2526F*@Lolh;@{kTwU{4J96uD7QZxn<10)0a;sns$X!F0|j zBRpY_fqG*Y%$MjJf=R8Gu~L}#dBPkA^~N!nuh2IHlg{qrIhfu)`K4l?{QYcZvLhu} z#0-W4r+sOLq+%;wP-4Ya*&EEC8Vl|TfYJnp?(6gop*s;uCfev4H6Di2hgpra^bOUZ zro$w&hUM~|45gD+>&Ug|+fR2<&&eNf`^)LOx3ZYjw?20F+asL_+c8Ww2{7{O4K@e)anwwP?Lst6pKT^hVjloJO-aV z#z1VLZwLgPiXS)IWuYGYisvE8J+F8*{E)N9aE+2%$B*KV>`})K!|w^e;R%Lc0ewUG z(aHTuvx{s|;2dycJk{e>4~#$4io{=`nZUa+wMBXENAt(l-Q~PByb_*arU3LFsd>#$Nh{ zYS1ZSwp{~bBX)6PXZU!@5`}IMFkU_nEj`b$*iYXO7IadXV`E{|m&Gk zig|Vogm=<+1^C8m!-ZBW=fxlIDM<4Hh4~DrHfgO59u4Ku>?w%m^Cb#Errshtj1CLhH5N> zl4W)co@NszQIec2OyrLsSpJsf0CzcS^Aq}p+FSu8E6g^ne!T{zudy1R(Kl3MC6uf* zYgqkS1*NN4jsMa&RAV)ithQ>PDQuEN7Vs1{DH-)cr|8!i7GKgggvA;tSz}>w*%-!j zieAfV9HVcj#yTijXVq}vEsj)k;@w9$im{@aBw5Z`ii#Qt>lwb^&^Lr{9+c!+_?kVW zlXgC<@g03bH8w!W2CD|{VMKXCh`6JR5#=%Ar_Mg!C;XC=<4I1P7OY{Ufq^-O8WbB@ zhkl@Ms6(5eWE1O9tQw%2K)qlL%=sf$z}xnR~PU{ zoWPl8p$5zr2K6`khM;bRlC35Wz*Nwa7ePO%RZ+ky{XySQrEO5M4WR;&w2ppGbvhu5 zeq;lu=EZgf{BQb(fbW2k9SHb8F5uI64I0z(GnU4St0}K4QEG9=iu<<~PxmP+6hHjH zqDSKymwM(YANb$)f9C%p5KXC*(mJJGAewzCCzKNjL~};wOwD;L5G`K5c>Usy0#Q{Pmc>AT?hQt7p&^8(Q_^~szs`ns9G`*tu><7*b!*qH7l_v1U;pF!pTYA~{mTt}foOx44LUdI2G27MeGO9s(T49d z{G#EpK(x{BM(;QJFc7_V?zJnfT?Nmduf1^XKY?iDI*nU52KqN1)A-58&%kq62*zeHthq?nN1fpT?)@%o2kuG z0?}rTo88px=0LP<^R}JZ0zKOfY&*K`xInbsi|y95TOWwFzp?$T?Qe(YEA7{}2Y5H_ zyXojnp9Z2Gigl>mp;{o?r9zhmU9Jg4yFT0X<*tk1`Bm3nyZ#=CcDt$DZQc68^X+b* zbpyHS-nM)9?!5xh9=G(kqsIVvPU|tJ$NWIF|Cjww_x~*r9q{>p69a(W1CI~jXR>X}h90@2aMM^_nL9iF$39x-|(Jo84s zIeK3pIwoaI&Y0rx>^Wx8n4$1oHD=qGo$$OgHZ&IGb?hx;?-&d1k6k);)7UNW{AKLL zu^><5>WphW4(K{=+PFF60IzYMj5|K=L?Al8()eq}H-TrT@%_hxe2!l@e)agZ@ceoF zh4H|T3DF7VCtMBBE)(vWFfb6EGIGk)DKH+M{e61MbfEi8|IF-}AU`wjpZUnlN8$P6 z%r!HCt~2+}{AlJUf#|GvXC0gMZ6G>3Fgtg4X?WI~-F9|+c-}hu-q|DIxqkK=v-bv~ z&!;|L^m*X#^HZLG?)f=^=$xE6SI?;e&xLbV&jEbqyfx>GIUqN42hJTo_hEScIxlq| z$o0It=8c&*J`kPXYyPnLFb?LwKmUjM#{9Wr66Dj3p(P0RNUWUeaj^)L)XnwjDiaP!LKHORXLo)6|dnFr%B|6u-C`QHSh z8;WnJv7t6R&u+}z7z{)=uHLwN;~sck-juxw#ZQaTMunLwiV>5Kq<&6C=Smy1-%Mxh3B^ge-&H^M7K5C)?r&Gcn;q7@U|)N zd|})AZ7{C4{kc7BdpHo?US)gp?XBRsZu{QtK(FmzZ$G#Fd?31G@{Tz>=EF08$AKL{ z_Z{EuIKKnw{gu z-(A1%PW?aaodsYNSKEc}og|xVHnNf35ZrZMAJ zmO{N>sq>waWWz9-ZCOe}67K(hJ$L4ryYI~0d){|ucW1*n%R=CEo%o`Fe}7S8U#r``iCrwlE{R(>0UYu;Pz@`$gqXFiMGtQMMsh8K_0LEm>+mx-ErGv zMV1GB+^%Df_T|9z6WNku2Y2m6+a_`(*G^nJqI;5cC%RdYULsd=o{#>Vl6^w^CH+V}^aJ_#(V8b7f7qj?AF)(%Fo~C!Zx?vF z_xqpgtwyRjAimjS(ej_dcgQSShw(ogCdySYQ8u-Vo8Drn>Y#iQ#*c#+@3!)yd}#{}VxfzF`?OkAPK`sTAI4OBfd77_jS^K;>*(r7I8`6y zKa8?1bKFH|wy2((M_E78ss2F!VYCz8kwl4_seQEdBc3J>_8&$)!Q&G}bXpj7{m7@8 z1OA86Pw;$mQ75g8zJ3PM)IsZS?6Qe^X=%LmW1i*?+;BsfQ#_H@hF(AXY4YF`-x1z+ zeXH@2XqXmfK|d2|_5|)OM2*wxJm}{lO`pWw1xhv+P1Ev}=%*#kpUB-)Bif1PX?<$+ z(~~Yv=I(0NGCjFT5mQ`S_pO;1y%pSE;&Vtg7g;UOYC zM-=}xj8A*fKD|w#eiGB=$@wNsWI!Iib;3kqfnP-K5gpUxTHb7rshd!Rvx)BMeQNd7`$(Lu z>%+?UD=x3K*+kDrVV3nX{m7iK>qa?#wgbBJiY#-8-jB+J>nHt@I%zjI*4ope@1r#L z`uTt4PF!<+9hFV=f7B*jKk<*`$$Q1Up^kq_u#On`D9*p$1CQ(g=zXwF41QF7p!dQf zJqUU~ye@`5%6`y$;*lQ+y)SNv;g7m6^xjZ|f!{y5ZYJd=F;bZ${`X+6-*%}1N%(;r zib$+)@UFPe{p*R*$|rYzH|X+;8Wi1+DP5m8Cs?ME7^^IE$1?hBkQx}BZ8$JVtTRqo z=Wgrh?V|?AU>{QpO;8p}Y9YOi)Bu^-$izw&#Uy1Vb1UiXqz1{2oj6EwZ^#qxrKc!A zx%ZRaQ);08!BeKCYMQdvKU+(0FEv>IVy~p7YliaIzxzw?F*RWK;4za@R#(haR=YQ= z>FuTl?H=vML9_4^PW;J3C>~f3XdL%ZXH_v<8SmbWr#GJ(I1kLnfzyA)-crm}zVpm? zdhe;h^U!<$PypvEBYJK`y&2U2-iH|t1<~?{Sg3q>e?HWEQ4QjKdXaiY98&VDAe=uZ1k#zlWB3Vc*RlfXhzSMhD4d#FJrqQ39#d77(|L#w{N7aBn0FQD& zT~D1P{OdLK#Y$z>2V+&eUDcpIAiHu1x1J!0G00A0oCZx2KHT^^RAAoidtAS5J=X2oi z{==P#e@c6|N`RD20DTgu!B62N;NaWnc>Hta@wfU&1b&aH{{!Uyjm2J-6)B$;`pi%h z@DMVC6X1FyocQIw*Uw_V%7%xN4f>2wlkku-f|C&c&_FDIo2U7RgDM{$UOwn^LQTX& z%n44!-ES&zN5urmC=ROxdAJFpPZBj54>?KxAyJO1M0xm$qE8kzA*mo)+$Ky`aa<)# z>PQ%U(x^#EB}wBhajcoeNtHOMCvo)2qb4R5B@ZXYJK^8CN%)Px5^-9kPU=b>efp@$ zNoDC1pF;6pu_XMl(Td`%N}<%3Li#jP6O;qFiuc{PGdnu?-Lp6bEFbz#o zv7&fQrDEDlMSVJ|Nlc^Z$Vt5W+k`h%KBnD#)aRs{$TXaj#=NX2-cos)w)0Y-n`$!C zcy4ks4eu&ziFZ_rru`Jvr>UCIbdaW;P@lX0-Cz7}8vnf86_u^&BU|+ut0py_WGpAu z^k3_)Cf-+xn|=~kpS)^f(^2wrVhwl5(c(juy6G!*_35i7H=U(#{53fK*M*`8Z=n-@ zrkhQCtP(l>C9*!5)dZ)*Wab3>GIQ}4SrZdFpfUfDm?$kiQ8}GHb6TI*YLe4yUgNy* zO|I+tUY`i@nabz%o6oMCj%M)=7$v??lbyaN`>qMse8rb)!qfkR-!p68|OjH!ANRot$^oHdpSe$$!Lh zp9b(w$p83{+NCDGQzhWhD*V&Eju6t_Nr;y4Ns#YYv(bCt8q2do!FI2s7)eHUog))Y!8HqK6#?aS|+2Su% zH&orw*9}+gi2J%j#E9Ftf6^iuUi z)sufp3w|O}FYYV1aneVbMVaNF%))JV4AVo#{q9oz>vzgD_imc6q*YZJWte|6jB9)R z2lh5)8rOiR_TQ5y?mmWp4nXs@`N!Sc^piGKb^d+el)3JVk*o43^Zn^J?^>3{llcRipcKfkXgwU_q(2Wd+yU#W>Usd#=2i4nZ3^S zX_PXSx)45)3!%$oe*CvU@Mj7Q$`8+@WENG%R2g$QsgQ{fuIIgOu45q5u1FvdvMl)8ngueKev1$(vBrwuJIFPUceOO_jF?S<;NLTqRB!O_jK0D{(ZP&z)Pigz7dxMycwi zs++MQJ?M2;g;Qoz6)ssT9F42FZr!3}URB&waeL6k%q*U(z$wG20+;Lsjt0cP(46=d zwXe*t>YS=`W_2k!n5)())2UjQBDIbt*4@+)cqvx!Xh!`_ z-fyyys(Y&LxvNph#a*>enNQWe6s>*6`WGdOsQRbsUvkyCe~Rm>fy#iY2Bvroq%pk{ zKI}{Q(srpVrb?kIh5uBtl5?A@94Zs4a`;fnA7QXTkfo*sg-8jHn9Y zLo0|hss8iAzVdNZ7gb&Sx7wB5+g-I$nNiiohgutHblpv3X;mCmaZK*wcQ5f>1yUJO z708EPAZggUZz9X8I;ra9z0|Rk=;NxD%9N^Brixlg)8nQx{@;42D$A>4sfuMv6vBJm zhpS*JW2%CgY6|9EMYE=?sEVd4n)h1JQmUV;a4K`E!kMZHClf&b4t=Antm>z#pD9%r zJ=u?|hAM-q8k*{AsIiW&l~q+8Rdv*p`j(P?UA0u1RMpZ{SxcD=iJyd4l+{%+RmC(V z3#6y}VnL1jw=88gSyP!+nblJRrF8#9!-}dhS{YUuHl+=CKl{65+RL(zGOaT0{g~)s zyylK^x669UxXQQ>!-V&{&pYOgmQN`2D)Zj2ksj9T?ihHwY^V&Z4E(T+_+R#W$HW6= zV`XAx;{Rf%hxyt&Mvj(Em64T^AEp`q>%Q-pxv^}n%&g4(Uk&xJUw_BY(Xyp7v@-O= zHspiYzuwfXm8q4fAB3q=LySaY<7?-(%Gk=-slk*F>KGEuEk?@r%G}D_56W1nCC(j# zSCt)=!Ii;Ni!mSMaojO^wCt=*u1x+Q&6S#BB^q7UkzJM1mC;j^IUn?~B$^$Mk9JpP zS7v|E21{-6?il{E?5PZ|44>KznjFV-$MoA}Z)JLA`s6TKYK(cu_|dYjGQKi?YBXtb z9n&52FOdC}`IY&T%V?=J?wte}Cri$5M8#To)3RBBwSUj%`_4P~d~%vf7S9GxneEXVFV{_&N)~k!rf$Oi#RG9S zV*z>MZpKQ<8S47-=+#Sgjr4rZUDp_uF#l3tl({@NSGpWCzUjwx2Jid*VtJLZ`Nv&z z7R#CHnxia|E-jx7rSZ;(0nu`{N*t9qDsk@J19y@qO3qcubMFJEOs2+)9&o+uQOT1G zmv)t8={w1E&(O?Q7oYS!*D9BjXMSB5AeBJs0;Im$xepKMpS@`)7pm(}^3*9+|I`1t zx~@ekiSDBgsRT*?334xUtiK*b$;Ik=q-=37wo!e|ed4+%sYFuOB=!F2KX|}9;Wr8i zKT{Yjm#RyXdC5_wSdGiQdcbvgQpxlmO4Gd#w(6I@6Z7u3PRrHxDSc13O6QcBU)MEC zC6u~GskcxMzypT2Qj6qDb*W03Ql>5$>3^JgxvH1&r+HSX#7h4Yu97{s=to)lz=YjTC#6?I9AuSPbHta_Ng~}DeM9L^)E{9 zRo6dt{Ywwml(cpZu4|x5z!a{3Y0W6=c$23<8~!lRM!8>I3X^A~m4nr|{+kC}mqV3= z>T;;w5Hay8Ul;TWwE1kGQUhDiI%2JyhmSK69s~u{FF^d`2Etmqz7` zwB+FQc&x6=qe@0~c~oy1AF>C$eEnj5gLlRKDdsJcF?>tlMn=A^ZAa$O@;LO$gB znAVJ=jyHJM;=$#$>bSkWnVR}o_c`S%J_fFB%eH~5>pw-Qv<2~$>$l@jjT#c zbt9`j>`6r)hbjYHHBen&)Y#sS2VB=qm7J-lZr;yCs@J5y0)P*MZpVLd z@`tFFaj+1!oZ9n32!G)eRuLs^q8Qp5Vwk9hwu2Zhx}zN>Mu>4}7l@H!CE8se&!c@? zjM2P>RkNbCqs@i30NRRZYoKk3wgcM1Xh)%)jdl^*&1iR_JuAj~`3b96CK2P+6)pDS zwGVBqnCP8BSiK91N!}CCE)r9`51_p$rh0#Y_E#~@r<$<(G#Ar-=AvCEX83%K_Al|I z<#A!P)D|->*pFqMm}Pkl?b~9uZ+2nzEiC5vc0}7v%=O)b_JEk@`x)A=#C*Se!s=H_ zEb!}zwx3w&hvV}*Ar|@FK>NK|Y%MCR)=FZDbs*YdVyX2I+6!Wt^(VByisk+_h1I{Q zSm8ev?M$)K|82CNi>GW3VYOuxt88u1_7baY7(d(7VvX%(v>%J718|-J1;yHc)@b{Q zbpglGJ}1@({EGH3u_3UGum;u>8v|#fT`4vNzKHf!u{rQBVGXj2EkTvgHWpih=Ad0G zwgufp`@7g4Tu@kpi;5k=gV2r_JA?P4JtTGoe!5gCV~NYp7Km3dOvJwiJg$F@B*3#F0>pU+7ojXjm>` z4J##%g$+hKRU8j{1?>moM0lXEhKGui;ZLCLBu<5|Lc2$t4!@4}mN@I^C(b!O5mv`F zalz>&tWKwhb(TO|OI&m=M!Q}->wH~27ZD_$kEkoG5e>zQ5l^CBC0>en0qqCkxDJykoX}F<{{6w;>Wz_gf;IQ;-`F4So4L8 zpYvTn`(pz}MoJg78hjg5p-e9%x64Ukh$UdqVtH@GG>xh~EqTEq;IO8}Ub> zKgI8b;gQ1C#h-=8qg^QeD*Q6qkHz0bFxN#2Nm1k{VJ&KrvM9!}Xcnmzor!j}^eTqA zF4j_d7k5gZ;;*3nLs&}$NlS@nv~8qciOJGhVh)zqO8=63gtg=;8BqF&3@npdSj*&> zL1o&a9Uz0ttV6p;hLoKtL(6_3tYtruVdan)j`W5MlwVBsc09;$nwbh@?Xo06|xFzg~w#33R7g}3UA9S6${C%6(gtby8nWIt*w7q4{O54#MmANWmY$|;tb63tMtd+~lsLCVI&Xjp7A4U73%v%{b zSH&jtRrQzotCmLF8SPD3pjv&IzuHK&uL^6mPi4Uxd4#n_N%>eU%xSH~vQVv;(0(Kf z*Ty;3&L@l1#(rz}mPKnXN4rB7tBrH2{i`fq2Y#zlSeB?W0BwvcS!Xxe1M=}YKMHGI zAxqUo?X6o%maf|k?MPXs?q;;dWZAmc(EcXN)ypfa^~%Ze^?IQlD=XB)dDS~AE7m(H zE7m_LE7kv>tX%&$S?P&fvT}nmvP#2`WYvbB$|{WlW#vX?WW`2ZWYs2vWyL0#-zM{9 zwI-*~zACFXD=4hZD#;qn5U<%OS+hCjuX$-%tNB{A2V`{fKZUi0zpUM&JlZODzaY78faU}`Yn;KEw{)gS|XoY{wy1`Ld|SdT{dimn$T*hY}5)hywye7 zxHYaNtry59tsEux!;HHKzS9vULX> zSBKKFO@|?9r^&V*kRu)5knK7mM>>Yf_MKv6htADp`_8y#bY3JocD{u6s_fKdn6P%4 zAvTYq0Fvy{YWhW1;NY<4s}haYc6Tc~ZHWlpHcBMh+SLh8#MiupBa^HQM8H*pNTu@S)4)u%YMW@L^-*h~eMK z;UgmD&=J+;$Pv%U5hH$-Lq_@w>&Rd^dSrF9jpdk;o8;J$w`9zyx^mp8HFEr@@8yKi z)#b#|%Y=3GDmiI1JUaSQIeCmzSjXg+Q^t&xQ^#Oj$Gj@1jYWMO8zQHVMb3_0C})hl zi1uCiWDM$LOiej6W(C?ca#qZ{Xm7~b<7~n@E>g}NR|jnaId2?%J8qI(Fm5T@ZF14L z{|W1OFS&SpNwj6<((%|nev(`^{s`I^xoRT3 zKCzTsI}zTPI90BjcoyyJa{Z)0VV#soZkW_hZk)7FZkjCR=E+Tjb#hC&Wis+<@@Bbp z^4no^hGtthId#0kc zPQ5PoPD9)3eB9)7#18(^tup)8CV4XGF?#Gg`@u zGj_>mXWWp_Jy}3L|Kxc2!jrGd7iZ>?FU=eyU!HkfUYZpsUzycezB+52ygch?`TFc2 zEn;>TEyL{fTIB5iX&L9#(K5|hqh+4+ftF=%CN1mSHd?m1E4A!%ztM8c>n^PG`e-@l z;W*~))^g34TJHJHwW#^qwLJ6x((*3otL0nxf|h@=k5+JLu=d!}roy_kl~!o!bhJyf z!pk~nMV9Rn)@A#(qRZYx`;}H~d0Vac@>hg)`5Rh^<;dR^!CJ``Wzg2s9$&E^?K4`b z6@Lrs$`GyeO4Qnw9kenlk&i21)5<=D@qVh4R_>`iTKT8`)GDmHtW{inO{=u#s8;#u zx3ns2f6}V1tF2XB*Ga3kZkJYl-49x=4S#CU8@Fh+H#O4gY(AjX-O^60x7DK6-`Y%j zV(SL2!L}w^!|j^ZXnP~A>5dq!*^U>r<~z4)Eq1lnTJGAfwc1@nYrXrN)@Dz*)^^Vj zt=*nywf1{6Y9060(mL&XQR}=vgVtq#Kdsw=3tIPscCE+3Z?v9=Hfp^N-O_p=UZV9m zV%Pc}>8ABNazX2V)Ts?P+D99B^o%y>Sg1Dm*fe21HcK0F>>%3n+OXrX+VB&HwGk)Y z*G8QzqK!R0N{czOOB;7~sy5+5eQnZ(?b_s6i#8>8p*A)4Tii()4_tkvN;BU1%qYH zP#Vk*mLo$B{1*b2J+eAjC|It@LSSKFIU~1$g@Z*!E&_9a<<58r%n6n^|3W`JC6m3WAl()dcJ@u!^}VfE5C(kn0v$VX(@%J^?ENRw;KB zSW&R5xr4!qfmO+U9IQB4_1qi5N`O_%{UumQu$sAF1A82-M${m%Qee?hZNN%{)rxur ztPEJ4sAFJd!D>hS0#**JUer~v@?drIBu zRRL?9HzQb8utxc2fmH)*nr|3bb+9J+z5}ZP);!-8u$o}a@<)Kx0&AIHf<=S1$bTNJ zHdyQYJHhIJwJMMWtS(sF0={7Nz}gg<0#+ZaeUbiPPk^;6S`MrMSjVEd!5V^fC^`VF z5m@J^(^`mSWB=T#Y=#- z0_$Bo3s`HgUM1fHYXjD|(5*l=1=V z1UC5b@4z~P4JvgNtP9xCQZIsa1shWOO|WiY!%Lq5>kc-o><+LVU?a;e0qY4iqU_&b zy}(A7{R*r%*r;;M-#%bt%Q1iZf{iIR2dp31xN;-F`h&%kdjo6$*o1PY!3KhjFCPgu z2y9Y$FR;O26U#H-hJZ~e&wLvSHo5#kuwh`+%C7+%4mP!dFW3mM8RdTf8wobOLL0DA zU^6S!02>YVWQ9#&W58xtmhl z*uomjqe);3YK#G!47RvNH?S#Si)!uzn+mqH=2KwPz?MXJ0GkfBJi0d646tRA zRz~wYW`eD#cLi(~*s6N5V6(xVs$URn4%nLd4zRgktDBSon+LYGNlvi&U{5z)54Heo zebd=s3&GYk{RwOl*v6(Gf-MHy(5xfa60pt9>VPc;+tiG;cp2E%W~{}_!L~GS1-1fg zd-G~wE5Ww4=mz!_*v=LWz*d3nXt4rpHQ4SJlfl-2?dtR+*wbKpJADAQ7Hm&9=H)uD z{oR%sQ*_zP?U*ufrOf^7sl&^tTWCa}Z3{lPYa9qP+Ez6I=PU)J%hU`P7*0ow+4 zyni#W?O?}7%m>>6c5=jMu$^EhMm`3%3+(hrC)jSVQzM6f?EyPGvK`o7urnhsg6#u4 zKXNbFez0?+GJqWbiyftb9R#~DsxR0fu#2ObgB=EYX4Dz5BVf;s+6HzM?Ag)0ZXE-A zVHB@h$HAT-&G9<{_R?sM-$}3+M;`+_1$Jrl2C&m$FOOy&KLhsaXx8zwV6Ti}Zk+>r zZ47hkJlN$i9QzAkZ;avC$AY~+<|f!PU~i3i8|)(3n`6s^Jqz~E*eI~)z}_Cq>(TRI zSH|*s^a9wsWA}i)2=@Ni6<{xcy*HM5{xaByW0~idz&?n{1NI8o$1!%WSHV7t=>c{b z?30*AV6TB)oy6<(>tLTv;&u8BuutbPSKkEtVlH#_EwIlQus**H_T>WB=Xb!aEtm%O zF4*-21HrCNQ_958Kg`a_a1oqv+OJE;^eY-dt z*j2C}7F)qS0sDS&G}xzLKP@f>_8HiZiwA;z4)(vrt--zk`+4y*VAsHIE#3q6CD<=Z zSg*bU`)vv9)pf96mn;SQ8tjiH6TohO{k}9m*iEp%mWF|S1NP@qj`O!*x0iC9zXSVw z=~WSs;d@(oDVIJY3TF60RFY!Zc(5PAyq0wV`w2{2?g#cWn9s7CVE+U2UOpD=7ck%D z9l>saSyl`J`xVT(q8Zq4V16sEgZ&O>TX6~O4>13grNI6K3tX8I>@To@mD|Do1`A#} z7wk4z&{GpdK%@W*d8(Tz7%9Q*PrU-BfrUMF0L%+4bX8_BZ!pIy0pVoX0t*1kvVH(qAXw)0IFHC6ux#sb z9+AOdSvO0c8~+5$2v%_8Rj^E81vYD7nZXKex(1d7?6J)y!LouC*_;6^ z8(87ZZ-ZqAE4KLrSPrnFTQHZAIl)S7##~0`0xQ0Su&Uer!HR)Z*$xjy76+@o9Uh7-0ak6tYOs=EHFrz|dmOCBj<3N=fkp3l z1*|k!t)0(-l>w`>b0=6?u-d!Eft3TRx2rQ)d9b>>UIVKD_QbBkU=_jY@9qXx39RAn z+F+Hz8tg`XL{%B&eg%1>{$d>1FZR;5nwgJn(es;Rtv1< zo)^KQ!CLGs2UZ)b_1>&tb--Hf>j735tnI$KVD-S-?BluB2W!8N=k^3xyZvRr8h~}& zpBbznScm;{z#4&d-aiPeF<7SqpMo_3>w4f>u%=*L4i*J#2G;#xI9PMAZifQFT7dOD z_ybr=upWnE!CHa!KC~6AHCV615nye=`X2fNtSwld!-v4yf%QMU0<1k)za!j72e5%h zxQ~ut1CC4u>jXCVNH4I?V1thE__}}%J;LMb3O3{@>Oo{Tu;E8h4H9Qy>UAK18K7s2|2#T*X<8vr)p z*e$SuVB?S11RDf4>3Dvy!C(`Qe+D)LY|8QHz=ncNK9K=z7}&Jqe}WAMn|h)x*a)y0 zCn|%D1e<>153o^SGf#XBHX7{7lMTVffXzNx0&FbUtdo<#V!-B}><%^#Y|hDpVB^8& zpIi<$0c_r>V6cf`3s3$8HVJIOsj6U;!4{v20-FN1=oEcF6>RA#`hFVNl2gBdO$S?k z>O-&@V9QRg0DBT_<>?r(nP4kUGgoJUtvbzIoelQXY1W)MU~5jZ=FA0KeWn%IJg~KA zDuB%gd-}}FU<<(3pV*uL`{z&3y#JU<<5BiMlpL%}wI9lp>KY%|!Q3zxyRfE~SX z2y83ZkyzHNZD7Y^S+BN(9gC$8c7UCXr4M$3orpaKwhQcZ>}s&xV5fw5CfriSQWl?Q zMEbqv_qxzB4#c;AwS^b{AKwBNDEQbh`=7@mkM6^o#_tD(MM&sieg-14$M^ETj#u#i z{QbYi61V&N--tYhR}95+pcgvWvB~a#L)h(+Rxhi!yB(b{?r4a9M>b#Aj%g$I6h$(UV zamIJDxhjw~u9C{QN@~^U>G&!d65}@Vo5sjfJ&GLahcEWyF9gP8e%ly1eiH8Wm_x(e zMt;{AIeygdIdT@ak>4{$j<57dBFFhsKhE)<49(>>@(0Gq@umHqBNuiX`6FZG_*#C? zkxRIZeAO5^zIfkrs`%asBY$m-{E=soXS$7i(-`?<&mu2!8~Iyf7M1`A=iy z$2^K0KF{qq|80ysz_Z9J+(phPjFAU=6xmVI-DqUgjFD%17P*Go$lk`t^E`{(#O-KU zjFFdm7P+0<$bQDi%RGzR*KK5fW8{^dMPB1Ja)2>%{QIFK*KRj=jE-$?BL^8H$G-u( z=g7O=Mz$Lx$G_vb=g0@#Mh-Pbj(>}D&ykP1jT~-_9RHr?o+F=f8`)`$?AiUZo#&mW8{mT`T141kuw`3KkHfKH{C|gYK-jW{@GooTyYyYyD_qx`)7BN zKXn^9r!n#io{h#0w~=!jBfscb2b86&^qS!B2Gjx!cEMt;?^$dPW3xu`MnWzQn#aT~d~A+i?XS>z&aBbPKr&fr<( zl5QiHGDeQ{EOKSHk;@n(XY?#`ZMTuj86#)%EOKMFkt-M@XZ9>|3%8Lg86#)$EOJ}7 zk*gRZXZ0*{C%2KS86#)&EOK|Zk!u(uXZI}fAh(fg86)TLEb?f#k!u?x=kzS{6t|J< z8YAcOEb<(;k?R{H=k_e}Qn!&C7$Zk{7Wrv+pXFw3WQ?50v&iqceb?5+7`dotkw0}C zxtTF?G0!4jcN@8dF>-OwB7f^Paw}ux5}rl=*=^)D#>gc-iX2hEtvA{kBUke*atXJ` z+`$;Rx@VEsxsBY(7&-o%Q@6|vcErYM9R6hT`QZE>_E&_0g%0+CCLvZ5S*WiT9T8rl(PZP@OQ|7>_DAmd0O z!mo;7!kexXD00zIM<}#DwBR|P5SLL>9^OU-zk%R=S=#2 zXwvT!lYZZu^!wSQ-|eLRg1ijM#6!}?mo`bOQl zpF9(MDEP3;6Ig;zz|NVlXHD44ChYa#HxlE$kr3~S3H#WDePP1>PRi^7N%w1yH0hV$ zgq1a6bxh**Fkz#Nul2heGCX9Y>wK{km!lyQOxVnjS&98-CG=Zk!nTHNOYFBTq2F#3 zh977r>37tGoibtPP4@e&346)pwQrd8yJFJs6O(?|O#0n4>Gy*P`#mWOl_o48X`Y4J z4S9w-8X95JFOx~X?8bh0Z5|W$n8~peGwD~#z$~G)LhFRqO3Zm4TXU0G?G3L(JrC_{ za=blE`t>pCH_)WtP?LV6O!~!`^qXSRZ-z;~IVSxUnDkp}(r=|nzo$+5ZAjWLtYFf9 zVMR>()i&u@-=tp?lYT9X{p6Xjj!ElCSWgo+z=RDqVKF9biV2%(!WNjYWhQLB3EOF8 zewV`zCe7!t*rfL&Vb7becTAW`eF(c@vXAeRvT#edud5znDeitJ+-95)zsuplCjG)p z`bC)ZGpP^ZIZXORnZ%1un$zJ6lX@t8smW_i?t#Kh?t#KLn~ckLljGZMvXA{H{SKS- zJ8shNv`N47CjBlN`^hummyFj`us2QEdnW9v3A<*(Zkn(kjef>{e>Gu$CuI(wr12a9 zCM?v1WiW}C%Y+p(GQZ1?(n)j15pBX6CH0!4c~Y-AS{vsB`{-!Wud7MF-X{APVDj1_ zCa;~5)TfR)Ch-=Uuw^D}l?hvCJQkeW7L$HS-Z!A%K9koTHen}B*jW?yj0t zCa(=L=@)9!FUdU}_L14-wb>1?#XXoa_ucnk&ip2?D`a>b?$w;djs3t%8yU{4yvb{; zne?k=(yyLLzlJ9Lni>1ySX!H~4koOt3F~EKIFEiN`yFc1Z=^}T7?XYzP5MnY={L)y z-+YsPi%t5iFzL72q~Chu`!<~KW@qAOCRmDlf9E{zJmI?6z*5}3hVzU`zYmkvX6F|s z?4}9(!GzsP$|8J{US}grawwuu(sPR_mh_k-N}23qqY2w)Wb#bJb>lS)>^l>7E9rGH z;x9eR*hl>A_m3;Zzflfdr- ze-6AIgI^E668v%S7r}qq1MHFZ{Pwc;I`$s+ zQFz}zA!KIAnvks_yF(6y91S@Yaz5nQke5Q<2)PpSNyxR3n;}1h{2nSp148Yg5uuqv zvxnvheJr$CXeqo~YaZG@v~y^W&_1C9Lx+Zr3XKV!5;`MvPUwQrrJ*ZBpAOv+Rxqqc zSnaU-VNJqXgmnz-88#qncvwu>l(3m$3&NI#tqF!mfqg2>Tv)uQuG7 zhJ{CjXTlw2RCsjw!tkZxtHRfYZw}uczB_z>_~G#5;itpThhGf86#i!Td*N5ZuZ7(c3Y=F~l*$F~_mcvCOf`vCgr@ zvD2~7aoBOfan|vS;|0eh$Lo%F93MEYI=*mRcYN#k(Q(W1hf_FxoK|O$Gt?R3%WT!M+xD)C589$z*@F0 z(t(G>WMBhJV;R_(t9Y_Y2DapCTdv}9EE(8|tJAoOXQ*UgFWXqjpO?tM$=G0@f(=f5 zp(X88*)J+!kL1&GGO!ev@N}CDD32~-cy3b$R6v)2++4*2qB5WiS1WTB&tA%aDqQUx zP(uc^p$w(;qpYG#gZO{x|CQt~s-(@wW|92KleAT~1xr4*FKt0w!cPOF?I?os5p!wV zgTuAqheXnL2;psWxB*YKOWPQ3z)zW^Z6-F@PvUU-F}SpE2{J4eHb#}TC6j(+wm>_XQ$@gRY;XD2H}R+0>l07zR0hAPSp6}h1X zH{ct5X|IAM`x9Kn*Y48ZnM?SZT-w`nLm#fO8LEt5s>A&(%d-#rL7ozL=}a5Xy-!F{P~&<1M$ZkoHyf)zbbn zmp0Ie__|QqH*yJI?@9YkynndRev00U?9cG)ksQC zuGVCiHk7W|M_@NB*;;b7JEaGuCuKfmIfjH!tjfRzEG_u;o(x=qod<5^20U;o1J`o{ zer+cMH*rHY4$ft4<%8QY;2j?6dtAlS)-vEjb_wILWahEh+4+B14cN_*#DniLfF=yX zgX+?MmSw+?*)7|J%t6UX$z{1DWE7Y3Q1VjpQSws?aBD#>J;tR%Tq;Z{LMciqMk!7y zK`F_8k5fufN|Q;eQ;5GHQsdI!l;f1Q$;w!M5waYmJf#AqBBc_gGNlToD&tk7RHxLS z)TGp+L{n;0>acTNN6sM)Ukd1kKO(;z%%_z+&Ef}gLms(L;Q`%74 zQrc14LqugtRjziRbmYrAaj7%Jf0Ct|kX^XimC}pSjnbXcgVK}Io6?8Um(q{YpE7{) z22uubbueWJWhiABWjMEv;L=FSD9UKc7|K{m47-fu(s;@Q%0$W}ZkSA&!qusiX@$IO3G7|Rg~52yoT~L zWi4eLWj$pBWg}%1J8!0Jp=_mWqim<_pzNgVf>_FNes}X^_K@vmfPGxrPdPw2NI66~ zOgTdFq9^eed4)X6)nk<75K)awC%9CDQk|=jT)IUoZ=(D`*+>~=Z6-uRYm^WpDStww zKgDV-Cd72I4wMm;Sjt>V7fKJxGn7#b^*xuya;ZO;Vkncj+KWpAxYV6X-6%sTJt@a1 zW3W})*yRZ2EafnzBexEu+=fU$iVww?Vu6TZl#7(ne8maMI7$<;t`O<$@(vST0(g6~2E^{-pdx`P&zNsY+nUPf|3B7sZ?6!>txB`EtpROIC_M#YPFB z1X6-1!R%+Jgiu1s!u-O8pM&D0L{KtNA}JXunJAh0iY%0@lx&pjlpK_tlw6eD>>NeO zL&;0YN6AkqKq*Lhj5{wxDNHFsDM~5ED8;!{f>M(5IHeS&G^GrsETtSfm*-LiN<~T~ zN_9$QN)<{~N;OIiN=-^FN;IW5r4B>YrPSkUeaaJ*29$=BM%>z%OHC+EDa|O&DJ>{1 z*`*biT2tCk+EUtaLwiaGu6Cq!;%a9ub>Wb7`aQ5I8{P?kc(i^v7(KvqaQC7cpQ7R;p}N+4GQ zC?Q-8#gb*cWdp?jJt4~bEfeA^Y!H_zA5s2C`I>Tr@-5|EyiB}D`Gu=DDc?}uC;O1{ z9am3s=_kq?ls73aP(G$yrF=p8jGe!vT;l3=%Bz&0$zG>iqr64=k@61Zd3HHP`GInj z>27ge+%h*u3t9ZCaAV@fkhOG+C`M@kn;cZe3orCyZ2lmV2%lwp*S zlrfZXl*yE75Y5S@Cn>Wj^C$}`%P1=;t0`+K8z`G0S|%=SqwJ*Yq3ov|q8z21pq!?h zqr^hAtXz7Q@&e^$%Bz&uDQ{8UrMyr1i1GU?<6waG>3}q5!I)xJ@zlCTexjK)+ zDf3=JSx#9^;gorAqHL$^qHvD1(p)-1q2avGP|j1Hp*%<7%y_>>d7E+tqLt$kC&l|S z%2$*d6dsE=XTked${!FPLGglU6}iN*_u<(4*eMQ5MhZvVCpU$|?!!U!;gD!mxKxT# zmcnuNsZOa);lTPdp|qrMIDI-pw74!kxZ0O8fHIUaibC`IOr%Vs%%aSPXtlV+@$*?p zd7843vW>Eva)5G}a*RS}YjwDEfpU@ZJmnJQGUW}*yOa+pS1F%CwEA4SM!8P8N%@ZQ zGvyZLcgkN73k_`XfoKi6#F4ZFQaF;9Fp85BNy$v%m|8fdmb?(jnb9~_mI9PQTy0Ki zMQKl=|1|nf>qX(vX&gFjFhu^!rD2qjlrfZXl*ts1q{fld=28|=mO>+qHs*L z^%Qzi+e+C%*-hC;IY^;%G`dqePB}$6OW`