From 7316760b145083ba72162bf8eee86679c5b38eb1 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sat, 7 Nov 2020 19:35:40 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.fir | 9222 +++++++++-------- el2_lsu_bus_buffer.v | 3454 +++--- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 4 + .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../lsu/el2_lsu_bus_buffer$$anon$1.class | Bin 15016 -> 15262 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 495402 -> 498374 bytes 7 files changed, 6345 insertions(+), 6335 deletions(-) diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 374d5aa3..98d325b3 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -291,180 +291,180 @@ circuit el2_lsu_bus_buffer : module el2_lsu_bus_buffer : input clock : Clock input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt} + output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt} - wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 120:22] - wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 121:23] + wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 122:22] + wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 123:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 125:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 126:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 128:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 128:89] - node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 128:74] - node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 128:109] - node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 128:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 128:129] - node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 128:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 128:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 128:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 128:89] - node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 128:74] - node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 128:109] - node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 128:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 128:129] - node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 128:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 128:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 128:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 128:89] - node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 128:74] - node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 128:109] - node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 128:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 128:129] - node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 128:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 128:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 128:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 128:89] - node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 128:74] - node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 128:109] - node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 128:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 128:129] - node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 128:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 128:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 129:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 129:89] - node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 129:74] - node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 129:109] - node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 129:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 129:129] - node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 129:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 129:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 129:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 129:89] - node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 129:74] - node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 129:109] - node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 129:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 129:129] - node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 129:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 129:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 129:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 129:89] - node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 129:74] - node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 129:109] - node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 129:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 129:129] - node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 129:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 129:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 129:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 129:89] - node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 129:74] - node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 129:109] - node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 129:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 129:129] - node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 129:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 129:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 130:33] + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 127:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 128:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 130:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 130:89] + node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 130:74] + node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 130:109] + node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 130:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 130:129] + node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 130:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 130:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 130:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 130:89] + node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 130:74] + node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 130:109] + node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 130:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 130:129] + node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 130:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 130:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 130:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 130:89] + node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 130:74] + node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 130:109] + node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 130:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 130:129] + node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 130:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 130:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 130:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 130:89] + node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 130:74] + node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 130:109] + node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 130:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 130:129] + node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 130:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 130:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] + node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 131:74] + node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 131:109] + node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 131:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] + node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 131:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] + node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 131:74] + node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 131:109] + node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 131:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] + node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 131:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] + node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 131:74] + node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 131:109] + node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 131:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] + node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 131:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] + node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 131:74] + node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 131:109] + node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 131:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] + node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 131:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 132:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 132:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 134:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 134:24] - buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 135:14] - buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 135:14] - buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 135:14] - buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 135:14] - wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 136:26] - buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:16] - buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:16] - buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:16] - buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:16] - wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 138:23] - buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:13] - buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:13] - buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:13] - buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:13] - wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 140:25] - buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:15] - buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:15] - buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:15] - buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:15] - wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 142:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:20] - wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 144:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] - wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 146:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] - wire buf_data_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 148:25] - buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] - buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] - buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] - buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 150:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:19] - wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 152:26] - buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 136:24] + buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:14] + buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:14] + buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:14] + buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 137:14] + wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 138:26] + buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:16] + buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:16] + buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:16] + buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 139:16] + wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 140:23] + buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:13] + buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:13] + buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:13] + buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:13] + wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 142:25] + buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:15] + buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:15] + buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:15] + buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:15] + wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 144:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:20] + wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 146:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:16] + wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 148:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:16] + wire buf_data_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 150:25] + buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] + buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] + buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] + buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 152:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:19] + wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 154:26] + buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 157:25] - buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] - buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] - buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] - buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] + wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 159:25] + buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:15] + buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:15] + buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:15] + buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 160:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 162:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 163:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 165:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:16] - wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 167:21] - buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:11] - buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:11] - buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:11] - buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:11] + wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 167:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 168:16] + wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 169:21] + buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:11] + buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:11] + buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:11] + buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 170:27] - buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:17] - buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:17] - buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:17] - buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:17] - wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 172:25] - buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:15] - buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:15] - buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:15] - buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:15] + wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 172:27] + buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:17] + buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:17] + buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:17] + buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 173:17] + wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 174:25] + buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:15] + buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:15] + buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:15] + buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -473,763 +473,763 @@ circuit el2_lsu_bus_buffer : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 178:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:18] + wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 180:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 182:23] - buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:13] - buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:13] - buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:13] - buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 183:13] + wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 184:23] + buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:13] + buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:13] + buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:13] + buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> buf_unsign <= UInt<1>("h00") wire buf_error : UInt<4> buf_error <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 188:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 188:98] - node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 188:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 188:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 188:98] - node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 188:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 188:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 188:98] - node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 188:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 188:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 188:98] - node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 188:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 190:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 190:98] + node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 190:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 190:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 190:98] + node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 190:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 190:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 190:98] + node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 190:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 190:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 190:98] + node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 190:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 188:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 189:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 189:98] - node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 189:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 189:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 189:98] - node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 189:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 189:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 189:98] - node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 189:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 189:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 189:98] - node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 189:77] + io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 190:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 191:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 191:98] + node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 191:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 191:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 191:98] + node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 191:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 191:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 191:98] + node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 191:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 191:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 191:98] + node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 191:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 189:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 191:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 191:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 191:132] - node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 191:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 191:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 191:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 191:132] - node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 191:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 191:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 191:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 191:132] - node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 191:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 191:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 191:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 191:132] - node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 191:114] + io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 191:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 193:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] + node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 193:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 193:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] + node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 193:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 193:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] + node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 193:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 193:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 193:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 193:132] + node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 193:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 191:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 191:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 191:132] - node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 191:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 191:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 191:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 191:132] - node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 191:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 191:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 191:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 191:132] - node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 191:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 191:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 191:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 191:132] - node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 191:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 193:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] + node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 193:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 193:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] + node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 193:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 193:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] + node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 193:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 193:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 193:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 193:132] + node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 193:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 191:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 191:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 191:132] - node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 191:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 191:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 191:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 191:132] - node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 191:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 191:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 191:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 191:132] - node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 191:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 191:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 191:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 191:132] - node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 191:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 193:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] + node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 193:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 193:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] + node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 193:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 193:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] + node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 193:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 193:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 193:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 193:132] + node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 193:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 191:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 191:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 191:132] - node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 191:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 191:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 191:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 191:132] - node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 191:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 191:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 191:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 191:132] - node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 191:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 191:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 191:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 191:132] - node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 191:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 193:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] + node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 193:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 193:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] + node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 193:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 193:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] + node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 193:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 193:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 193:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 193:132] + node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 193:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 192:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 192:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 192:132] - node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 192:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 192:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 192:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 192:132] - node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 192:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 192:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 192:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 192:132] - node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 192:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 192:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 192:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 192:132] - node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 192:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 194:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] + node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 194:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 194:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] + node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 194:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 194:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] + node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 194:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 194:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] + node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 194:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 192:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 192:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 192:132] - node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 192:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 192:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 192:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 192:132] - node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 192:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 192:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 192:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 192:132] - node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 192:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 192:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 192:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 192:132] - node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 192:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 194:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] + node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 194:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 194:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] + node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 194:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 194:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] + node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 194:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 194:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] + node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 194:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 192:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 192:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 192:132] - node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 192:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 192:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 192:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 192:132] - node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 192:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 192:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 192:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 192:132] - node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 192:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 192:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 192:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 192:132] - node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 192:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 194:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] + node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 194:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 194:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] + node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 194:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 194:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] + node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 194:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 194:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] + node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 194:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 192:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 192:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 192:132] - node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 192:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 192:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 192:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 192:132] - node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 192:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 192:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 192:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 192:132] - node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 192:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 192:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 192:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 192:132] - node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 192:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 194:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] + node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 194:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 194:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] + node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 194:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 194:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] + node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 194:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 194:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] + node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 194:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 194:29] - buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 195:19] - buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 195:19] - buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 195:19] - buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 195:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 196:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 196:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 196:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 196:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 196:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 196:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 196:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 196:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 196:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 196:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 196:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 196:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 196:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 196:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 196:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 196:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 196:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 196:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 196:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 196:148] + wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 196:29] + buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 197:19] + buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 197:19] + buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 197:19] + buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 197:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 198:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 198:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 198:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 198:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 198:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 198:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 198:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 198:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 198:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 198:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 198:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 198:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 198:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 196:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 196:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 196:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 196:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 196:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 196:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 196:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 196:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 196:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 196:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 196:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 196:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 196:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 196:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 196:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 196:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 196:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 196:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 196:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 196:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 198:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 198:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 198:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 198:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 198:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 198:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 198:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 198:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 198:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 198:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 198:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 198:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 198:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 196:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 196:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 196:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 196:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 196:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 196:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 196:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 196:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 196:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 196:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 196:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 196:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 196:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 196:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 196:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 196:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 196:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 196:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 196:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 196:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 198:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 198:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 198:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 198:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 198:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 198:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 198:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 198:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 198:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 198:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 198:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 198:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 198:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 196:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 196:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 196:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 196:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 196:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 196:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 196:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 196:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 196:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 196:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 196:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 196:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 196:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 196:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 196:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 196:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 196:122] - node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 196:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:99] - node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 196:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 196:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 196:150] - node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 196:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 198:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 198:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 198:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 198:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 198:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 198:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 198:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 198:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 198:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 198:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 198:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 198:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 198:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 198:122] + node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 198:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:99] + node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 198:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 198:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 198:150] + node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 198:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 196:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 196:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 196:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 196:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 197:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 197:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 197:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 197:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 197:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 197:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 197:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 197:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 197:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 197:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 197:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 197:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 197:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 197:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 197:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 197:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 197:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 197:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 197:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 197:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 198:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 198:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 198:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 198:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 199:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 199:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 199:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 199:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 199:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 199:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 199:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 199:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 199:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 199:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 199:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 199:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 197:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 197:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 197:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 197:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 197:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 197:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 197:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 197:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 197:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 197:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 197:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 197:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 197:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 197:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 197:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 197:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 197:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 197:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 197:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 197:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 199:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 199:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 199:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 199:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 199:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 199:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 199:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 199:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 199:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 199:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 199:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 199:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 197:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 197:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 197:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 197:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 197:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 197:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 197:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 197:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 197:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 197:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 197:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 197:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 197:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 197:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 197:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 197:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 197:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 197:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 197:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 197:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 199:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 199:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 199:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 199:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 199:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 199:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 199:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 199:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 199:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 199:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 199:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 199:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 197:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 197:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 197:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 197:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 197:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 197:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 197:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 197:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 197:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 197:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 197:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 197:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 197:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 197:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 197:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 197:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 197:122] - node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 197:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:99] - node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 197:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 197:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 197:150] - node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 197:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 199:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 199:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 199:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 199:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 199:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 199:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 199:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 199:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 199:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] + node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 199:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] + node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 199:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] + node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 199:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 197:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 197:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 197:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 197:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 199:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 199:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 199:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 199:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 202:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 202:64] - node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 202:51] - node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 202:73] - node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 202:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 202:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 203:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 203:64] - node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 203:51] - node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 203:73] - node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 203:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 203:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 204:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 204:64] + node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 204:51] + node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 204:73] + node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 204:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 204:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 205:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 205:64] + node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 205:51] + node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 205:73] + node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 205:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 205:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") - node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 207:61] - node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 207:48] - node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 207:83] - node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 207:65] - ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 207:25] - node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 208:61] - node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 208:48] - node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 208:83] - node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 208:65] - ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 208:25] - node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 207:61] - node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 207:48] - node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 207:83] - node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 207:65] - ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 207:25] - node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 208:61] - node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 208:48] - node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 208:83] - node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 208:65] - ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 208:25] - node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 207:61] - node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 207:48] - node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 207:83] - node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 207:65] - ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 207:25] - node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 208:61] - node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 208:48] - node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 208:83] - node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 208:65] - ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 208:25] - node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 207:61] - node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 207:48] - node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 207:83] - node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 207:65] - ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 207:25] - node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 208:61] - node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 208:48] - node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 208:83] - node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 208:65] - ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 208:25] - wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 210:22] - buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 211:12] - buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 211:12] - buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 211:12] - buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 211:12] + node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 209:61] + node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 209:48] + node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 209:83] + node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 209:65] + ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 209:25] + node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 210:61] + node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 210:48] + node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 210:83] + node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 210:65] + ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 210:25] + node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 209:61] + node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 209:48] + node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 209:83] + node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 209:65] + ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 209:25] + node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 210:61] + node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 210:48] + node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 210:83] + node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 210:65] + ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 210:25] + node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 209:61] + node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 209:48] + node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 209:83] + node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 209:65] + ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 209:25] + node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 210:61] + node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 210:48] + node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 210:83] + node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 210:65] + ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 210:25] + node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 209:61] + node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 209:48] + node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 209:83] + node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 209:65] + ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 209:25] + node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 210:61] + node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 210:48] + node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 210:83] + node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 210:65] + ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 210:25] + wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 212:22] + buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 213:12] + buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 213:12] + buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 213:12] + buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 213:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 214:86] + node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 216:86] node _T_553 = bits(_T_552, 0, 0) @[Bitwise.scala 72:15] node _T_554 = mux(_T_553, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 214:104] - node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 214:91] - node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 214:86] + node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 216:91] + node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 216:86] node _T_558 = bits(_T_557, 0, 0) @[Bitwise.scala 72:15] node _T_559 = mux(_T_558, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 214:104] - node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 214:91] - node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 214:86] + node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 216:91] + node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 216:86] node _T_563 = bits(_T_562, 0, 0) @[Bitwise.scala 72:15] node _T_564 = mux(_T_563, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 214:104] - node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 214:91] - node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 214:86] + node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 216:91] + node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 216:86] node _T_568 = bits(_T_567, 0, 0) @[Bitwise.scala 72:15] node _T_569 = mux(_T_568, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 214:104] - node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 214:91] - node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 214:123] - node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 214:123] - node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 214:123] - node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 215:60] + node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 216:91] + node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 216:123] + node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 216:123] + node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 216:123] + node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 217:60] node _T_576 = bits(_T_575, 0, 0) @[Bitwise.scala 72:15] node _T_577 = mux(_T_576, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 215:78] - node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 215:65] - node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 215:60] + node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] + node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 217:65] + node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 217:60] node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] node _T_582 = mux(_T_581, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 215:78] - node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 215:65] - node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 215:60] + node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] + node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 217:65] + node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 217:60] node _T_586 = bits(_T_585, 0, 0) @[Bitwise.scala 72:15] node _T_587 = mux(_T_586, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 215:78] - node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 215:65] - node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 215:60] + node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] + node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 217:65] + node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 217:60] node _T_591 = bits(_T_590, 0, 0) @[Bitwise.scala 72:15] node _T_592 = mux(_T_591, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 215:78] - node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 215:65] - node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 215:97] - node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 215:97] - node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 215:97] - node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 216:60] + node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] + node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 217:65] + node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 217:97] + node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 217:97] + node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 217:97] + node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 218:60] node _T_599 = bits(_T_598, 0, 0) @[Bitwise.scala 72:15] node _T_600 = mux(_T_599, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 216:78] - node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 216:65] - node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 216:60] + node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] + node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 218:65] + node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 218:60] node _T_604 = bits(_T_603, 0, 0) @[Bitwise.scala 72:15] node _T_605 = mux(_T_604, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 216:78] - node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 216:65] - node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 216:60] + node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] + node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 218:65] + node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 218:60] node _T_609 = bits(_T_608, 0, 0) @[Bitwise.scala 72:15] node _T_610 = mux(_T_609, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 216:78] - node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 216:65] - node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 216:60] + node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] + node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 218:65] + node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 218:60] node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 216:78] - node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 216:65] - node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 216:96] - node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 216:96] - node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 216:96] - node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 217:60] + node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] + node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 218:65] + node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 218:96] + node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 218:96] + node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 218:96] + node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 219:60] node _T_622 = bits(_T_621, 0, 0) @[Bitwise.scala 72:15] node _T_623 = mux(_T_622, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 217:78] - node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 217:65] - node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 217:60] + node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] + node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 219:65] + node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 219:60] node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 217:78] - node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 217:65] - node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 217:60] + node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] + node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 219:65] + node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 219:60] node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 217:78] - node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 217:65] - node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 217:60] + node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] + node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 219:65] + node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 219:60] node _T_637 = bits(_T_636, 0, 0) @[Bitwise.scala 72:15] node _T_638 = mux(_T_637, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 217:78] - node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 217:65] - node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 217:95] - node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 217:95] - node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 217:95] + node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] + node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 219:65] + node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 219:95] + node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 219:95] + node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 219:95] node _T_644 = cat(_T_620, _T_643) @[Cat.scala 29:58] node _T_645 = cat(_T_574, _T_597) @[Cat.scala 29:58] node _T_646 = cat(_T_645, _T_644) @[Cat.scala 29:58] - io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 214:24] - node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 219:86] + io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 216:24] + node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 221:86] node _T_648 = bits(_T_647, 0, 0) @[Bitwise.scala 72:15] node _T_649 = mux(_T_648, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 219:104] - node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 219:91] - node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 219:86] + node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] + node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 221:91] + node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 221:86] node _T_653 = bits(_T_652, 0, 0) @[Bitwise.scala 72:15] node _T_654 = mux(_T_653, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 219:104] - node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 219:91] - node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 219:86] + node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] + node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 221:91] + node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 221:86] node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 219:104] - node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 219:91] - node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 219:86] + node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] + node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 221:91] + node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 221:86] node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] node _T_664 = mux(_T_663, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 219:104] - node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 219:91] - node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 219:123] - node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 219:123] - node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 219:123] - node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 220:60] + node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] + node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 221:91] + node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 221:123] + node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 221:123] + node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 221:123] + node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 222:60] node _T_671 = bits(_T_670, 0, 0) @[Bitwise.scala 72:15] node _T_672 = mux(_T_671, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 220:78] - node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 220:65] - node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 220:60] + node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] + node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 222:65] + node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 222:60] node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] node _T_677 = mux(_T_676, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 220:78] - node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 220:65] - node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 220:60] + node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] + node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 222:65] + node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 222:60] node _T_681 = bits(_T_680, 0, 0) @[Bitwise.scala 72:15] node _T_682 = mux(_T_681, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 220:78] - node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 220:65] - node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 220:60] + node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] + node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 222:65] + node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 222:60] node _T_686 = bits(_T_685, 0, 0) @[Bitwise.scala 72:15] node _T_687 = mux(_T_686, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 220:78] - node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 220:65] - node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 220:97] - node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 220:97] - node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 220:97] - node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 221:60] + node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] + node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 222:65] + node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 222:97] + node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 222:97] + node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 222:97] + node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] node _T_694 = bits(_T_693, 0, 0) @[Bitwise.scala 72:15] node _T_695 = mux(_T_694, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 221:78] - node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 221:65] - node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 221:60] + node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] + node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 223:65] + node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] node _T_700 = mux(_T_699, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 221:78] - node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 221:65] - node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 221:60] + node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] + node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 223:65] + node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] node _T_704 = bits(_T_703, 0, 0) @[Bitwise.scala 72:15] node _T_705 = mux(_T_704, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 221:78] - node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 221:65] - node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 221:60] + node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] + node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 223:65] + node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] node _T_710 = mux(_T_709, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 221:78] - node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 221:65] - node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 221:96] - node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 221:96] - node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 221:96] - node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 222:60] + node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] + node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 223:65] + node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 223:96] + node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 223:96] + node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 223:96] + node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] node _T_717 = bits(_T_716, 0, 0) @[Bitwise.scala 72:15] node _T_718 = mux(_T_717, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 222:78] - node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 222:65] - node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 222:60] + node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] + node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 224:65] + node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] node _T_722 = bits(_T_721, 0, 0) @[Bitwise.scala 72:15] node _T_723 = mux(_T_722, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 222:78] - node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 222:65] - node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 222:60] + node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] + node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 224:65] + node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] node _T_727 = bits(_T_726, 0, 0) @[Bitwise.scala 72:15] node _T_728 = mux(_T_727, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 222:78] - node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 222:65] - node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 222:60] + node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] + node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 224:65] + node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] node _T_732 = bits(_T_731, 0, 0) @[Bitwise.scala 72:15] node _T_733 = mux(_T_732, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 222:78] - node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 222:65] - node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 222:95] - node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 222:95] - node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 222:95] + node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] + node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 224:65] + node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 224:95] + node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 224:95] + node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 224:95] node _T_739 = cat(_T_715, _T_738) @[Cat.scala 29:58] node _T_740 = cat(_T_669, _T_692) @[Cat.scala 29:58] node _T_741 = cat(_T_740, _T_739) @[Cat.scala 29:58] - io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 219:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 224:65] + io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 221:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 226:65] node _T_742 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_743 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_744 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1238,23 +1238,23 @@ circuit el2_lsu_bus_buffer : wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_746 @[Mux.scala 27:72] node _T_747 = cat(UInt<4>("h00"), ldst_byteen_r) @[Cat.scala 29:58] - node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 228:61] - node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 228:45] - node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 229:32] - node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 230:32] + node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 230:61] + node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 230:45] + node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 231:32] + node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 232:32] node _T_749 = cat(UInt<32>("h00"), io.store_data_r) @[Cat.scala 29:58] - node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 231:73] - node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 231:58] - node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 231:52] - node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 232:35] - node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 233:35] - node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 234:36] - node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 234:57] - node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 234:40] - node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 235:67] - node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 235:74] - node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 236:40] - node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 236:26] + node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 233:73] + node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 233:58] + node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 233:52] + node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 234:35] + node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 235:35] + node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 236:36] + node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 236:57] + node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 236:40] + node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:67] + node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 237:74] + node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 238:40] + node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 238:26] node _T_758 = mux(io.lsu_pkt_r.word, _T_755, UInt<1>("h00")) @[Mux.scala 27:72] node _T_759 = mux(io.lsu_pkt_r.half, _T_757, UInt<1>("h00")) @[Mux.scala 27:72] node _T_760 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1262,26 +1262,26 @@ circuit el2_lsu_bus_buffer : node _T_762 = or(_T_761, _T_760) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_762 @[Mux.scala 27:72] - node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 238:55] - node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 238:34] - node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 238:79] - node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 238:77] - node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 239:36] - node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 239:56] - node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 239:54] + node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 240:55] + node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 240:34] + node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 240:79] + node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 240:77] + node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 241:36] + node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:56] + node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 241:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:36] - node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 241:34] - node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 241:49] - node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:44] - node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 242:42] - node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 242:61] - node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 242:107] - node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 242:132] - node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 242:115] - node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 242:95] - node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 242:74] + node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 243:36] + node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 243:34] + node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 243:49] + node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:44] + node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 244:42] + node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 244:61] + node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 244:107] + node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 244:132] + node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 244:115] + node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 244:95] + node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 244:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1290,211 +1290,211 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 247:62] - node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 247:48] - node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 247:98] - node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 247:82] - node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 247:80] - node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 248:5] - node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 248:16] - node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 248:35] - node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 248:55] - node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 248:53] - node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 248:67] - node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 247:32] - ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 247:18] + node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 249:62] + node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 249:48] + node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 249:98] + node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 249:82] + node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 249:80] + node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 250:5] + node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 250:16] + node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 250:35] + node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 250:55] + node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 250:53] + node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 250:67] + node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 249:32] + ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 249:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 253:39] - node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 253:69] - node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 253:24] + node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 255:39] + node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 255:69] + node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 255:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 256:25] - node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 257:42] - node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 257:70] - node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 257:95] - node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 257:77] - node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 258:41] - node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 258:65] - node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 258:8] - node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 257:27] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 258:25] + node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 259:42] + node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 259:70] + node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 259:95] + node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 259:77] + node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 260:41] + node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 260:65] + node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 260:8] + node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 259:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 261:61] - node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 262:25] - node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 262:45] - node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 262:76] - node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 262:8] - node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 262:108] - node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 261:46] - node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 261:61] - node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 262:25] - node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 262:45] - node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 262:76] - node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 262:8] - node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 262:108] - node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 261:46] - node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 261:61] - node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 262:25] - node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 262:45] - node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 262:76] - node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 262:8] - node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 262:108] - node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 261:46] - node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 261:61] - node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 262:25] - node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 262:45] - node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 262:76] - node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 262:8] - node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 262:108] - node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 261:46] + node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:61] + node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 264:25] + node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 264:45] + node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 264:76] + node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 264:8] + node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 264:108] + node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 263:46] + node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:61] + node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 264:25] + node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 264:45] + node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 264:76] + node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 264:8] + node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 264:108] + node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 263:46] + node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:61] + node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 264:25] + node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 264:45] + node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 264:76] + node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 264:8] + node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 264:108] + node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 263:46] + node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:61] + node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 264:25] + node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 264:45] + node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 264:76] + node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 264:8] + node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 264:108] + node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 263:46] node _T_826 = cat(_T_825, _T_818) @[Cat.scala 29:58] node _T_827 = cat(_T_826, _T_811) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_827, _T_804) @[Cat.scala 29:58] - node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 263:59] - node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 263:79] - node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 263:93] - node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 263:93] - node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 263:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 263:26] - node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 265:36] - node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 265:54] - node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 265:75] - node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 265:88] - node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 265:117] - node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 265:137] - node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 265:124] - node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 265:101] - node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:147] - node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 265:145] - node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:170] - node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 265:168] - ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 265:17] - node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:20] - ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 266:17] - node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:65] - node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 267:63] - node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 267:92] - node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 267:114] - node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 267:96] - node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 267:130] - node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 267:48] - node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:65] - node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 267:63] - node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 267:92] - node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 267:114] - node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 267:96] - node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 267:130] - node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 267:48] - node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:65] - node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 267:63] - node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 267:92] - node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 267:114] - node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 267:96] - node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 267:130] - node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 267:48] - node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:65] - node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 267:63] - node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 267:92] - node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 267:114] - node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 267:96] - node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 267:130] - node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 267:48] + node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 265:59] + node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 265:79] + node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 265:93] + node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 265:93] + node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 265:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 265:26] + node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 267:36] + node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 267:54] + node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 267:75] + node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 267:88] + node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 267:117] + node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 267:137] + node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 267:124] + node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 267:101] + node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:147] + node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 267:145] + node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:170] + node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 267:168] + ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 267:17] + node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:20] + ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 268:17] + node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:65] + node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 269:63] + node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 269:92] + node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 269:114] + node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 269:96] + node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 269:130] + node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 269:48] + node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:65] + node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 269:63] + node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 269:92] + node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 269:114] + node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 269:96] + node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 269:130] + node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 269:48] + node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:65] + node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 269:63] + node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 269:92] + node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 269:114] + node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 269:96] + node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 269:130] + node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 269:48] + node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:65] + node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 269:63] + node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 269:92] + node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 269:114] + node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 269:96] + node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 269:130] + node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 269:48] node _T_874 = cat(_T_873, _T_866) @[Cat.scala 29:58] node _T_875 = cat(_T_874, _T_859) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_875, _T_852) @[Cat.scala 29:58] - node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:62] - node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 268:60] - node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 268:98] - node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 268:118] - node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 268:143] - node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 268:81] - node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 268:169] - node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 268:45] - node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:62] - node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 268:60] - node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 268:98] - node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 268:118] - node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 268:143] - node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 268:81] - node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 268:169] - node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 268:45] - node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:62] - node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 268:60] - node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 268:98] - node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 268:118] - node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 268:143] - node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 268:81] - node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 268:169] - node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 268:45] - node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:62] - node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 268:60] - node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 268:98] - node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 268:118] - node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 268:143] - node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 268:81] - node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 268:169] - node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 268:45] + node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:62] + node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 270:60] + node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 270:98] + node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 270:118] + node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 270:143] + node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 270:81] + node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 270:169] + node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 270:45] + node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:62] + node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 270:60] + node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 270:98] + node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 270:118] + node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 270:143] + node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 270:81] + node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 270:169] + node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 270:45] + node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:62] + node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 270:60] + node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 270:98] + node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 270:118] + node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 270:143] + node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 270:81] + node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 270:169] + node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 270:45] + node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:62] + node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 270:60] + node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 270:98] + node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 270:118] + node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 270:143] + node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 270:81] + node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 270:169] + node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 270:45] node _T_908 = cat(_T_907, _T_899) @[Cat.scala 29:58] node _T_909 = cat(_T_908, _T_891) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_909, _T_883) @[Cat.scala 29:58] - node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 270:28] - node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:63] - node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 270:61] - reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 270:24] - _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 270:24] - ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 270:14] - node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 271:120] - node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 271:120] - node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 271:89] + node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 272:28] + node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 272:63] + node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 272:61] + reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 272:24] + _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 272:24] + ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 272:14] + node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 273:120] + node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 273:120] + node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 273:89] reg _T_917 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_916 : @[Reg.scala 28:19] _T_917 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 271:12] - node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 272:131] - node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 272:131] - node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 272:100] + ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 273:12] + node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 274:131] + node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 274:131] + node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 274:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_920 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 273:127] - node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 273:127] - node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 273:96] + node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 275:127] + node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 275:127] + node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 275:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_923 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 274:128] - node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 274:128] - node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 274:97] + node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 276:128] + node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 276:128] + node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 276:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_926 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 275:135] - node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 275:135] - node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 275:104] + node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 277:135] + node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 277:135] + node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 277:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_929 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 276:135] - node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 276:135] - node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 276:104] + node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 278:135] + node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 278:135] + node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 278:104] reg _T_933 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_932 : @[Reg.scala 28:19] _T_933 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 276:19] - node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 277:134] - node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 277:134] - node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 277:103] + ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 278:19] + node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:134] + node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 279:134] + node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 279:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_936 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] @@ -1503,7 +1503,7 @@ circuit el2_lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] _T_937 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 278:14] + ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 280:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1516,12 +1516,12 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_938 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_938 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 280:13] + ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 282:13] reg _T_939 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_939 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 281:15] + ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 283:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -1530,21 +1530,21 @@ circuit el2_lsu_bus_buffer : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_940 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_940 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 282:13] - reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 283:59] - _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 283:59] - ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 283:14] + ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 284:13] + reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 285:59] + _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 285:59] + ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 285:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 287:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 288:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 288:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 288:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 288:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 289:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 290:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 290:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 290:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 290:15] wire Cmdptr0 : UInt<2> Cmdptr0 <= UInt<1>("h00") wire buf_sideeffect : UInt<4> @@ -1553,17 +1553,17 @@ circuit el2_lsu_bus_buffer : obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 293:43] - node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 293:72] - node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 293:51] - node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 293:97] - node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 293:80] - node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:5] - node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 293:114] - node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:114] - node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 294:114] - node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 294:114] - node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 294:114] + node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 295:43] + node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 295:72] + node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 295:51] + node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 295:97] + node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 295:80] + node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:5] + node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 295:114] + node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:114] + node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 296:114] + node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 296:114] + node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 296:114] node _T_953 = mux(_T_949, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_954 = mux(_T_950, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_955 = mux(_T_951, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1573,16 +1573,16 @@ circuit el2_lsu_bus_buffer : node _T_959 = or(_T_958, _T_956) @[Mux.scala 27:72] wire _T_960 : UInt<1> @[Mux.scala 27:72] _T_960 <= _T_959 @[Mux.scala 27:72] - node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:31] - node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 294:29] - node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:88] - node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 295:111] - node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 295:88] - node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 295:111] - node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 295:88] - node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 295:111] - node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 295:88] - node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 295:111] + node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:31] + node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 296:29] + node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:88] + node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 297:111] + node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 297:88] + node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 297:111] + node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 297:88] + node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 297:111] + node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 297:88] + node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 297:111] node _T_971 = mux(_T_963, _T_964, UInt<1>("h00")) @[Mux.scala 27:72] node _T_972 = mux(_T_965, _T_966, UInt<1>("h00")) @[Mux.scala 27:72] node _T_973 = mux(_T_967, _T_968, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1592,32 +1592,32 @@ circuit el2_lsu_bus_buffer : node _T_977 = or(_T_976, _T_974) @[Mux.scala 27:72] wire _T_978 : UInt<1> @[Mux.scala 27:72] _T_978 <= _T_977 @[Mux.scala 27:72] - node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:5] - node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 294:140] - node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:119] - node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 295:117] - node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 296:75] - node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 296:95] - node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 296:79] - node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 296:121] - node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 296:121] - node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 296:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 296:29] - node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:41] - node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 297:39] - node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:60] - node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 297:58] - node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 297:93] - node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 297:72] - node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 297:117] - node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:208] - node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 297:228] - node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 297:208] - node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 297:228] - node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 297:208] - node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 297:228] - node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 297:208] - node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 297:228] + node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:5] + node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 296:140] + node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:119] + node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 297:117] + node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 298:75] + node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 298:95] + node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 298:79] + node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 298:121] + node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 298:121] + node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 298:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 298:29] + node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 299:41] + node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 299:39] + node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 299:60] + node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 299:58] + node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 299:93] + node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 299:72] + node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 299:117] + node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 299:208] + node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 299:228] + node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 299:208] + node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 299:228] + node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 299:208] + node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 299:228] + node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 299:208] + node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 299:228] node _T_1003 = mux(_T_995, _T_996, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1004 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1005 = mux(_T_999, _T_1000, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1627,35 +1627,35 @@ circuit el2_lsu_bus_buffer : node _T_1009 = or(_T_1008, _T_1006) @[Mux.scala 27:72] wire _T_1010 : UInt<30> @[Mux.scala 27:72] _T_1010 <= _T_1009 @[Mux.scala 27:72] - node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 297:123] - node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 297:101] - obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 297:20] + node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 299:123] + node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 299:101] + obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 299:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 299:53] - node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 299:31] - node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 299:64] - node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 299:84] - node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 299:61] + node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:53] + node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 301:31] + node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:64] + node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 301:84] + node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 301:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 302:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 303:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 303:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 303:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 303:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 304:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 306:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 304:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 305:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 306:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 307:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 308:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 309:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 309:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 309:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 309:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1668,14 +1668,14 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 314:32] - node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 314:74] - node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 314:52] - node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 314:50] - node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 316:32] + node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 316:74] + node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 316:52] + node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 316:50] + node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1025 = mux(_T_1021, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1026 = mux(_T_1022, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1027 = mux(_T_1023, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1685,19 +1685,19 @@ circuit el2_lsu_bus_buffer : node _T_1031 = or(_T_1030, _T_1028) @[Mux.scala 27:72] wire _T_1032 : UInt<3> @[Mux.scala 27:72] _T_1032 <= _T_1031 @[Mux.scala 27:72] - node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 315:36] - node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 315:47] + node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 317:36] + node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 317:47] node _T_1035 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1036 = cat(_T_1035, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1037 = cat(_T_1036, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1046 = mux(_T_1038, _T_1039, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1047 = mux(_T_1040, _T_1041, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1048 = mux(_T_1042, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1707,16 +1707,16 @@ circuit el2_lsu_bus_buffer : node _T_1052 = or(_T_1051, _T_1049) @[Mux.scala 27:72] wire _T_1053 : UInt<1> @[Mux.scala 27:72] _T_1053 <= _T_1052 @[Mux.scala 27:72] - node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 316:23] - node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 316:21] - node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 318:23] + node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 318:21] + node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1064 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1065 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1066 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1726,20 +1726,20 @@ circuit el2_lsu_bus_buffer : node _T_1070 = or(_T_1069, _T_1067) @[Mux.scala 27:72] wire _T_1071 : UInt<1> @[Mux.scala 27:72] _T_1071 <= _T_1070 @[Mux.scala 27:72] - node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 316:141] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 316:105] - node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 316:103] + node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 318:141] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 318:105] + node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 318:103] node _T_1075 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1076 = cat(_T_1075, buf_dual[1]) @[Cat.scala 29:58] node _T_1077 = cat(_T_1076, buf_dual[0]) @[Cat.scala 29:58] - node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1086 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1087 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1088 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1752,14 +1752,14 @@ circuit el2_lsu_bus_buffer : node _T_1094 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1095 = cat(_T_1094, buf_samedw[1]) @[Cat.scala 29:58] node _T_1096 = cat(_T_1095, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1105 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1106 = mux(_T_1099, _T_1100, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1107 = mux(_T_1101, _T_1102, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1769,15 +1769,15 @@ circuit el2_lsu_bus_buffer : node _T_1111 = or(_T_1110, _T_1108) @[Mux.scala 27:72] wire _T_1112 : UInt<1> @[Mux.scala 27:72] _T_1112 <= _T_1111 @[Mux.scala 27:72] - node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 317:77] - node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 319:77] + node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1122 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1123 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1124 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1787,21 +1787,21 @@ circuit el2_lsu_bus_buffer : node _T_1128 = or(_T_1127, _T_1125) @[Mux.scala 27:72] wire _T_1129 : UInt<1> @[Mux.scala 27:72] _T_1129 <= _T_1128 @[Mux.scala 27:72] - node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:150] - node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 317:148] - node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:8] - node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 317:181] + node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:150] + node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 319:148] + node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:8] + node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 319:181] node _T_1134 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1135 = cat(_T_1134, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1136 = cat(_T_1135, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1145 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1146 = mux(_T_1139, _T_1140, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1147 = mux(_T_1141, _T_1142, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1811,38 +1811,38 @@ circuit el2_lsu_bus_buffer : node _T_1151 = or(_T_1150, _T_1148) @[Mux.scala 27:72] wire _T_1152 : UInt<1> @[Mux.scala 27:72] _T_1152 <= _T_1151 @[Mux.scala 27:72] - node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 317:197] - node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 317:269] - node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 316:164] - node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 314:98] - node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 318:48] - node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 318:46] - node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 318:60] - node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 318:29] - node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 318:77] - node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 318:75] - node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 318:93] - node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 318:91] - node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 318:118] - node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 318:116] - node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 318:142] - obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 314:14] + node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 319:197] + node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 319:269] + node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 318:164] + node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 316:98] + node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:48] + node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 320:46] + node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 320:60] + node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 320:29] + node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:77] + node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 320:75] + node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:93] + node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 320:91] + node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:118] + node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 320:116] + node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 320:142] + obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 316:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 320:47] - node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 320:33] - node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:65] - node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 320:63] - node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 320:77] - node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 320:98] - node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 322:47] + node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 322:33] + node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:65] + node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 322:63] + node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 322:77] + node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 322:98] + node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1181 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1182 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1183 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1852,15 +1852,15 @@ circuit el2_lsu_bus_buffer : node _T_1187 = or(_T_1186, _T_1184) @[Mux.scala 27:72] wire _T_1188 : UInt<1> @[Mux.scala 27:72] _T_1188 <= _T_1187 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 321:26] - node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 323:26] + node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1197 = mux(_T_1189, _T_1190, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1198 = mux(_T_1191, _T_1192, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1199 = mux(_T_1193, _T_1194, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1870,11 +1870,11 @@ circuit el2_lsu_bus_buffer : node _T_1203 = or(_T_1202, _T_1200) @[Mux.scala 27:72] wire _T_1204 : UInt<1> @[Mux.scala 27:72] _T_1204 <= _T_1203 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 322:31] - node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 324:31] + node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1209 = mux(_T_1205, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1210 = mux(_T_1206, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1211 = mux(_T_1207, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1884,17 +1884,17 @@ circuit el2_lsu_bus_buffer : node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] wire _T_1216 : UInt<32> @[Mux.scala 27:72] _T_1216 <= _T_1215 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 323:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 324:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 325:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 326:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:10] node _T_1217 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1222 = mux(_T_1218, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1223 = mux(_T_1219, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1224 = mux(_T_1220, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1904,43 +1904,43 @@ circuit el2_lsu_bus_buffer : node _T_1228 = or(_T_1227, _T_1225) @[Mux.scala 27:72] wire _T_1229 : UInt<2> @[Mux.scala 27:72] _T_1229 <= _T_1228 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 326:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 328:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 329:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 331:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 331:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 333:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 334:39] - node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 334:26] - node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 334:68] - node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 334:51] + node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 336:39] + node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:26] + node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 336:68] + node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 336:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 337:40] - node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 337:27] - node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 337:70] - node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 337:52] - node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 338:67] - node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 338:72] - node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 338:92] - node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 338:111] - node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 338:98] - node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 338:96] - node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 338:79] - node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 338:129] - node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 338:147] - node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 338:153] - node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 338:134] - node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 338:132] - node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 338:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 338:28] + node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 339:40] + node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 339:27] + node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 339:70] + node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 339:52] + node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 340:67] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:72] + node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 340:92] + node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 340:111] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:98] + node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 340:96] + node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 340:79] + node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 340:129] + node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 340:147] + node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 340:153] + node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:134] + node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 340:132] + node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 340:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 340:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -1953,57 +1953,57 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:44] - node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 346:42] - node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:29] - node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 346:61] - node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 346:116] - node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 346:96] - node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:81] - node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 346:79] - node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:22] - node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 347:20] - node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:37] - node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 347:35] - node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 346:138] + node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:44] + node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 348:42] + node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:29] + node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 348:61] + node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 348:116] + node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 348:96] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:81] + node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 348:79] + node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:22] + node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 349:20] + node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:37] + node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 349:35] + node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 348:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:46] - node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 349:44] - node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 349:30] + node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 351:46] + node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 351:44] + node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 351:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 352:34] - node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 352:52] - node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 352:40] - node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 352:60] - node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 352:80] - node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 352:78] - node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 352:99] - node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 352:97] - node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 352:113] - node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 352:111] - node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 352:130] - node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 352:128] - node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 353:20] - node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 353:18] - node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 353:90] - node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 353:70] - node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 353:55] - node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 353:53] - node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 353:34] - node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 352:165] - obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 352:18] - node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 354:60] + node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 354:34] + node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 354:52] + node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 354:40] + node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 354:60] + node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:80] + node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 354:78] + node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:99] + node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 354:97] + node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:113] + node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 354:111] + node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:130] + node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 354:128] + node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:20] + node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 355:18] + node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 355:90] + node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 355:70] + node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:55] + node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 355:53] + node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 355:34] + node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 354:165] + obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 354:18] + node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 356:60] node _T_1284 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1285 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 354:46] - node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 356:46] + node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1291 = mux(_T_1287, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1292 = mux(_T_1288, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1293 = mux(_T_1289, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2013,12 +2013,12 @@ circuit el2_lsu_bus_buffer : node _T_1297 = or(_T_1296, _T_1294) @[Mux.scala 27:72] wire _T_1298 : UInt<32> @[Mux.scala 27:72] _T_1298 <= _T_1297 @[Mux.scala 27:72] - node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 355:36] - node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 355:46] - node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 357:36] + node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 357:46] + node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1305 = mux(_T_1301, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1306 = mux(_T_1302, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1307 = mux(_T_1303, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2029,10 +2029,10 @@ circuit el2_lsu_bus_buffer : wire _T_1312 : UInt<4> @[Mux.scala 27:72] _T_1312 <= _T_1311 @[Mux.scala 27:72] node _T_1313 = cat(_T_1312, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1318 = mux(_T_1314, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1319 = mux(_T_1315, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1320 = mux(_T_1316, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2043,16 +2043,16 @@ circuit el2_lsu_bus_buffer : wire _T_1325 : UInt<4> @[Mux.scala 27:72] _T_1325 <= _T_1324 @[Mux.scala 27:72] node _T_1326 = cat(UInt<4>("h00"), _T_1325) @[Cat.scala 29:58] - node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 355:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 354:28] - node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 356:60] + node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 357:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 356:28] + node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 358:60] node _T_1329 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1330 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 356:46] - node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 358:46] + node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1336 = mux(_T_1332, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1337 = mux(_T_1333, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1338 = mux(_T_1334, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2062,12 +2062,12 @@ circuit el2_lsu_bus_buffer : node _T_1342 = or(_T_1341, _T_1339) @[Mux.scala 27:72] wire _T_1343 : UInt<32> @[Mux.scala 27:72] _T_1343 <= _T_1342 @[Mux.scala 27:72] - node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 357:36] - node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 357:46] - node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 359:36] + node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 359:46] + node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1350 = mux(_T_1346, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1351 = mux(_T_1347, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1352 = mux(_T_1348, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2078,10 +2078,10 @@ circuit el2_lsu_bus_buffer : wire _T_1357 : UInt<4> @[Mux.scala 27:72] _T_1357 <= _T_1356 @[Mux.scala 27:72] node _T_1358 = cat(_T_1357, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1363 = mux(_T_1359, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1364 = mux(_T_1360, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1365 = mux(_T_1361, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2092,16 +2092,16 @@ circuit el2_lsu_bus_buffer : wire _T_1370 : UInt<4> @[Mux.scala 27:72] _T_1370 <= _T_1369 @[Mux.scala 27:72] node _T_1371 = cat(UInt<4>("h00"), _T_1370) @[Cat.scala 29:58] - node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 357:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 356:28] - node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 359:58] + node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 359:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 358:28] + node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 361:58] node _T_1374 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1375 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 359:44] - node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 361:44] + node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1381 = mux(_T_1377, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1382 = mux(_T_1378, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1383 = mux(_T_1379, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2111,12 +2111,12 @@ circuit el2_lsu_bus_buffer : node _T_1387 = or(_T_1386, _T_1384) @[Mux.scala 27:72] wire _T_1388 : UInt<32> @[Mux.scala 27:72] _T_1388 <= _T_1387 @[Mux.scala 27:72] - node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 360:36] - node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 360:46] - node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 362:36] + node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 362:46] + node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1395 = mux(_T_1391, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1396 = mux(_T_1392, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1397 = mux(_T_1393, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2127,10 +2127,10 @@ circuit el2_lsu_bus_buffer : wire _T_1402 : UInt<32> @[Mux.scala 27:72] _T_1402 <= _T_1401 @[Mux.scala 27:72] node _T_1403 = cat(_T_1402, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1408 = mux(_T_1404, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1409 = mux(_T_1405, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1410 = mux(_T_1406, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2141,16 +2141,16 @@ circuit el2_lsu_bus_buffer : wire _T_1415 : UInt<32> @[Mux.scala 27:72] _T_1415 <= _T_1414 @[Mux.scala 27:72] node _T_1416 = cat(UInt<32>("h00"), _T_1415) @[Cat.scala 29:58] - node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 360:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 359:26] - node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 361:58] + node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 362:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 361:26] + node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 363:58] node _T_1419 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1420 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 361:44] - node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 363:44] + node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1426 = mux(_T_1422, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1427 = mux(_T_1423, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1428 = mux(_T_1424, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2160,12 +2160,12 @@ circuit el2_lsu_bus_buffer : node _T_1432 = or(_T_1431, _T_1429) @[Mux.scala 27:72] wire _T_1433 : UInt<32> @[Mux.scala 27:72] _T_1433 <= _T_1432 @[Mux.scala 27:72] - node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 362:36] - node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 362:46] - node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 364:36] + node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 364:46] + node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1440 = mux(_T_1436, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1441 = mux(_T_1437, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1442 = mux(_T_1438, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2176,10 +2176,10 @@ circuit el2_lsu_bus_buffer : wire _T_1447 : UInt<32> @[Mux.scala 27:72] _T_1447 <= _T_1446 @[Mux.scala 27:72] node _T_1448 = cat(_T_1447, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1453 = mux(_T_1449, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1454 = mux(_T_1450, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1455 = mux(_T_1451, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2190,40 +2190,40 @@ circuit el2_lsu_bus_buffer : wire _T_1460 : UInt<32> @[Mux.scala 27:72] _T_1460 <= _T_1459 @[Mux.scala 27:72] node _T_1461 = cat(UInt<32>("h00"), _T_1460) @[Cat.scala 29:58] - node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 362:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 361:26] - node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 363:59] - node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 363:97] - node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 363:80] - node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 363:63] - node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 363:59] - node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 363:97] - node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 363:80] - node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 363:63] - node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 363:59] - node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 363:97] - node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 363:80] - node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 363:63] - node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 363:59] - node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 363:97] - node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 363:80] - node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 363:63] - node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 363:59] - node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 363:97] - node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 363:80] - node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 363:63] - node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 363:59] - node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 363:97] - node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 363:80] - node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 363:63] - node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 363:59] - node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 363:97] - node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 363:80] - node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 363:63] - node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 363:59] - node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 363:97] - node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 363:80] - node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 363:63] + node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 364:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 363:26] + node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:59] + node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:97] + node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 365:80] + node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 365:63] + node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 365:59] + node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 365:97] + node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 365:80] + node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 365:63] + node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 365:59] + node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 365:97] + node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 365:80] + node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 365:63] + node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 365:59] + node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 365:97] + node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 365:80] + node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 365:63] + node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 365:59] + node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 365:97] + node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 365:80] + node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 365:63] + node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 365:59] + node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 365:97] + node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 365:80] + node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 365:63] + node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 365:59] + node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 365:97] + node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 365:80] + node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 365:63] + node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 365:59] + node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 365:97] + node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 365:80] + node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 365:63] node _T_1495 = cat(_T_1494, _T_1490) @[Cat.scala 29:58] node _T_1496 = cat(_T_1495, _T_1486) @[Cat.scala 29:58] node _T_1497 = cat(_T_1496, _T_1482) @[Cat.scala 29:58] @@ -2231,46 +2231,46 @@ circuit el2_lsu_bus_buffer : node _T_1499 = cat(_T_1498, _T_1474) @[Cat.scala 29:58] node _T_1500 = cat(_T_1499, _T_1470) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1500, _T_1466) @[Cat.scala 29:58] - node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 364:76] - node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 364:59] - node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 364:94] - node _T_1504 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 364:123] - node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 364:44] - node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 364:76] - node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 364:59] - node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 364:94] - node _T_1509 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 364:123] - node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 364:44] - node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 364:76] - node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 364:59] - node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 364:94] - node _T_1514 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 364:123] - node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 364:44] - node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 364:76] - node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 364:59] - node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 364:94] - node _T_1519 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 364:123] - node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 364:44] - node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 364:76] - node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 364:59] - node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 364:94] - node _T_1524 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 364:123] - node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 364:44] - node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 364:76] - node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 364:59] - node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 364:94] - node _T_1529 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 364:123] - node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 364:44] - node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 364:76] - node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 364:59] - node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 364:94] - node _T_1534 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 364:123] - node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 364:44] - node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 364:76] - node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 364:59] - node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 364:94] - node _T_1539 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 364:123] - node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 364:44] + node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:76] + node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 366:94] + node _T_1504 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 366:123] + node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 366:44] + node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:76] + node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 366:94] + node _T_1509 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 366:123] + node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 366:44] + node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 366:76] + node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 366:94] + node _T_1514 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 366:123] + node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 366:44] + node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 366:76] + node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 366:94] + node _T_1519 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 366:123] + node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 366:44] + node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 366:76] + node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 366:94] + node _T_1524 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 366:123] + node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 366:44] + node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 366:76] + node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 366:94] + node _T_1529 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 366:123] + node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 366:44] + node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 366:76] + node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 366:94] + node _T_1534 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 366:123] + node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 366:44] + node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 366:76] + node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 366:59] + node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 366:94] + node _T_1539 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 366:123] + node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 366:44] node _T_1541 = cat(_T_1540, _T_1535) @[Cat.scala 29:58] node _T_1542 = cat(_T_1541, _T_1530) @[Cat.scala 29:58] node _T_1543 = cat(_T_1542, _T_1525) @[Cat.scala 29:58] @@ -2278,18 +2278,18 @@ circuit el2_lsu_bus_buffer : node _T_1545 = cat(_T_1544, _T_1515) @[Cat.scala 29:58] node _T_1546 = cat(_T_1545, _T_1510) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1546, _T_1505) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 365:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 366:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 366:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 366:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 366:14] - node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 367:30] - node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 367:43] - node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 367:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 368:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 368:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 368:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 368:14] + node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 369:30] + node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 369:43] + node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 369:59] + node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1554 = mux(_T_1550, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1555 = mux(_T_1551, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1556 = mux(_T_1552, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2299,12 +2299,12 @@ circuit el2_lsu_bus_buffer : node _T_1560 = or(_T_1559, _T_1557) @[Mux.scala 27:72] wire _T_1561 : UInt<3> @[Mux.scala 27:72] _T_1561 <= _T_1560 @[Mux.scala 27:72] - node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 367:107] - node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 367:75] - node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 369:107] + node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 369:75] + node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1568 = mux(_T_1564, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1569 = mux(_T_1565, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1570 = mux(_T_1566, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2314,19 +2314,19 @@ circuit el2_lsu_bus_buffer : node _T_1574 = or(_T_1573, _T_1571) @[Mux.scala 27:72] wire _T_1575 : UInt<3> @[Mux.scala 27:72] _T_1575 <= _T_1574 @[Mux.scala 27:72] - node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 367:150] - node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 367:118] + node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 369:150] + node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 369:118] node _T_1578 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1579 = cat(_T_1578, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1580 = cat(_T_1579, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1589 = mux(_T_1581, _T_1582, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1590 = mux(_T_1583, _T_1584, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1591 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2336,16 +2336,16 @@ circuit el2_lsu_bus_buffer : node _T_1595 = or(_T_1594, _T_1592) @[Mux.scala 27:72] wire _T_1596 : UInt<1> @[Mux.scala 27:72] _T_1596 <= _T_1595 @[Mux.scala 27:72] - node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 368:3] - node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 367:161] - node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 370:3] + node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 369:161] + node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1607 = mux(_T_1599, _T_1600, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1608 = mux(_T_1601, _T_1602, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1609 = mux(_T_1603, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2355,16 +2355,16 @@ circuit el2_lsu_bus_buffer : node _T_1613 = or(_T_1612, _T_1610) @[Mux.scala 27:72] wire _T_1614 : UInt<1> @[Mux.scala 27:72] _T_1614 <= _T_1613 @[Mux.scala 27:72] - node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 368:85] - node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 368:83] - node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 370:85] + node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 370:83] + node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1625 = mux(_T_1617, _T_1618, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1626 = mux(_T_1619, _T_1620, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1627 = mux(_T_1621, _T_1622, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2374,14 +2374,14 @@ circuit el2_lsu_bus_buffer : node _T_1631 = or(_T_1630, _T_1628) @[Mux.scala 27:72] wire _T_1632 : UInt<1> @[Mux.scala 27:72] _T_1632 <= _T_1631 @[Mux.scala 27:72] - node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1641 = mux(_T_1633, _T_1634, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1642 = mux(_T_1635, _T_1636, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1643 = mux(_T_1637, _T_1638, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2391,11 +2391,11 @@ circuit el2_lsu_bus_buffer : node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] wire _T_1648 : UInt<1> @[Mux.scala 27:72] _T_1648 <= _T_1647 @[Mux.scala 27:72] - node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 369:36] - node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 371:36] + node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1654 = mux(_T_1650, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1655 = mux(_T_1651, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1656 = mux(_T_1652, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2405,11 +2405,11 @@ circuit el2_lsu_bus_buffer : node _T_1660 = or(_T_1659, _T_1657) @[Mux.scala 27:72] wire _T_1661 : UInt<32> @[Mux.scala 27:72] _T_1661 <= _T_1660 @[Mux.scala 27:72] - node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 370:33] - node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 372:33] + node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_1667 = mux(_T_1663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1668 = mux(_T_1664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1669 = mux(_T_1665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2419,21 +2419,21 @@ circuit el2_lsu_bus_buffer : node _T_1673 = or(_T_1672, _T_1670) @[Mux.scala 27:72] wire _T_1674 : UInt<32> @[Mux.scala 27:72] _T_1674 <= _T_1673 @[Mux.scala 27:72] - node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 370:69] - node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 370:39] - node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 369:67] - node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 370:79] - node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 370:77] - node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 370:105] - node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 370:103] - node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 372:69] + node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 372:39] + node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 371:67] + node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:79] + node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 372:77] + node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:105] + node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 372:103] + node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1690 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1691 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1692 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2443,18 +2443,18 @@ circuit el2_lsu_bus_buffer : node _T_1696 = or(_T_1695, _T_1693) @[Mux.scala 27:72] wire _T_1697 : UInt<1> @[Mux.scala 27:72] _T_1697 <= _T_1696 @[Mux.scala 27:72] - node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:6] + node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:6] node _T_1699 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1700 = cat(_T_1699, buf_dual[1]) @[Cat.scala 29:58] node _T_1701 = cat(_T_1700, buf_dual[0]) @[Cat.scala 29:58] - node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1710 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1711 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1712 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2464,18 +2464,18 @@ circuit el2_lsu_bus_buffer : node _T_1716 = or(_T_1715, _T_1713) @[Mux.scala 27:72] wire _T_1717 : UInt<1> @[Mux.scala 27:72] _T_1717 <= _T_1716 @[Mux.scala 27:72] - node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 371:36] + node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 373:36] node _T_1719 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1720 = cat(_T_1719, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1721 = cat(_T_1720, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1730 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1731 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1732 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2485,19 +2485,19 @@ circuit el2_lsu_bus_buffer : node _T_1736 = or(_T_1735, _T_1733) @[Mux.scala 27:72] wire _T_1737 : UInt<1> @[Mux.scala 27:72] _T_1737 <= _T_1736 @[Mux.scala 27:72] - node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:107] - node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 371:105] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:107] + node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 373:105] node _T_1740 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1741 = cat(_T_1740, buf_samedw[1]) @[Cat.scala 29:58] node _T_1742 = cat(_T_1741, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_1751 = mux(_T_1743, _T_1744, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1752 = mux(_T_1745, _T_1746, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1753 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2507,43 +2507,43 @@ circuit el2_lsu_bus_buffer : node _T_1757 = or(_T_1756, _T_1754) @[Mux.scala 27:72] wire _T_1758 : UInt<1> @[Mux.scala 27:72] _T_1758 <= _T_1757 @[Mux.scala 27:72] - node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 371:177] - node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 370:126] - node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 368:120] - node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 372:19] - node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 372:35] - node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 371:251] - obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 367:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 374:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 374:55] - node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 375:58] - node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:93] - node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 375:91] - reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 375:54] - _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 375:54] - obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 375:14] + node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 373:177] + node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 372:126] + node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 370:120] + node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 374:19] + node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 374:35] + node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 373:251] + obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 369:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 376:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 376:55] + node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 377:58] + node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 377:93] + node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 377:91] + reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 377:54] + _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 377:54] + obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 377:14] reg _T_1769 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1769 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 376:15] - reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 377:54] - _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 377:54] - obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 377:17] - reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 378:55] - _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 378:55] - obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 378:18] - reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 379:56] - _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 379:56] - obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 379:19] - reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 380:55] - _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 380:55] - obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 380:18] + obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 378:15] + reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 379:54] + _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 379:54] + obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 379:17] + reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 380:55] + _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 380:55] + obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 380:18] + reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 381:56] + _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 381:56] + obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 381:19] + reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 382:55] + _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 382:55] + obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 382:18] reg _T_1774 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1774 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 381:13] + obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 383:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2556,12 +2556,12 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1775 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 384:14] + obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 386:14] reg _T_1776 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1776 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 385:19] + obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 387:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2574,7 +2574,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1777 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1777 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 387:13] + obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 389:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2587,227 +2587,227 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 390:54] - _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 390:54] - obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 390:17] + reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 392:54] + _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 392:54] + obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 392:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 392:86] - node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 393:33] - node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 393:22] - node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 392:106] - node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 393:72] - node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 393:60] - node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 393:42] - node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] - node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 392:70] - node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 392:86] - node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 393:33] - node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 393:22] - node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 392:106] - node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 393:72] - node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 393:60] - node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 393:42] - node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] - node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 392:70] - node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 392:86] - node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 393:33] - node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 393:22] - node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 392:106] - node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 393:72] - node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 393:60] - node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 393:42] - node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] - node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 392:70] - node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 392:97] - node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 392:86] - node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 393:33] - node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 393:22] - node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 392:106] - node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 393:72] - node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 393:60] - node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 393:42] - node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] - node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 392:70] + node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 394:59] + node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:97] + node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 394:86] + node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:33] + node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 395:22] + node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 394:106] + node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 395:60] + node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 395:42] + node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:72] + node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 394:70] + node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 394:59] + node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 394:97] + node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 394:86] + node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:33] + node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 395:22] + node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 394:106] + node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 395:60] + node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 395:42] + node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:72] + node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 394:70] + node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 394:59] + node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 394:97] + node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 394:86] + node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:33] + node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 395:22] + node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 394:106] + node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 395:60] + node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 395:42] + node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:72] + node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 394:70] + node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 394:59] + node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 394:97] + node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 394:86] + node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:33] + node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 395:22] + node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 394:106] + node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 395:60] + node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 395:42] + node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 394:72] + node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 394:70] node _T_1823 = mux(_T_1822, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1824 = mux(_T_1811, UInt<2>("h02"), _T_1823) @[Mux.scala 98:16] node _T_1825 = mux(_T_1800, UInt<1>("h01"), _T_1824) @[Mux.scala 98:16] node _T_1826 = mux(_T_1789, UInt<1>("h00"), _T_1825) @[Mux.scala 98:16] - WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 394:12] - node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:73] - node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 396:61] - node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:112] - node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 396:101] - node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:73] - node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 396:61] - node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:112] - node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 396:101] - node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:73] - node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 396:61] - node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:112] - node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 396:101] - node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:73] - node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 396:61] - node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:112] - node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 396:101] - node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 395:70] + WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 396:12] + node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 397:59] + node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:97] + node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 397:86] + node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:33] + node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 398:22] + node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 397:106] + node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:73] + node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 398:61] + node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 398:42] + node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:112] + node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 398:101] + node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 398:83] + node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:72] + node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 397:70] + node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 397:59] + node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 397:97] + node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 397:86] + node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 398:33] + node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 398:22] + node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 397:106] + node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 398:73] + node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 398:61] + node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 398:42] + node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 398:112] + node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 398:101] + node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 398:83] + node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:72] + node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 397:70] + node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 397:59] + node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 397:97] + node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 397:86] + node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 398:33] + node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 398:22] + node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 397:106] + node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 398:73] + node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 398:61] + node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 398:42] + node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 398:112] + node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 398:101] + node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 398:83] + node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:72] + node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 397:70] + node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 397:59] + node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 397:97] + node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 397:86] + node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 398:33] + node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 398:22] + node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 397:106] + node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 398:73] + node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 398:61] + node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 398:42] + node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 398:112] + node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 398:101] + node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 398:83] + node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:72] + node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 397:70] node _T_1883 = mux(_T_1882, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1884 = mux(_T_1868, UInt<2>("h02"), _T_1883) @[Mux.scala 98:16] node _T_1885 = mux(_T_1854, UInt<1>("h01"), _T_1884) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1840, UInt<1>("h00"), _T_1885) @[Mux.scala 98:16] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 399:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] - node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 402:58] - node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:78] - node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 402:63] - node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:90] - node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 402:88] - node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 402:58] - node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:78] - node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 402:63] - node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:90] - node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 402:88] - node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 402:58] - node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:78] - node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 402:63] - node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:90] - node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 402:88] - node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 402:58] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:78] - node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 402:63] - node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:90] - node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 402:88] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 401:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 402:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 402:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 402:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 402:11] + node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 404:58] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:45] + node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 404:63] + node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:90] + node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 404:88] + node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 404:58] + node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:45] + node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 404:63] + node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:90] + node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 404:88] + node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 404:58] + node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:45] + node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 404:63] + node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:90] + node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 404:88] + node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 404:58] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:45] + node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 404:78] + node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 404:63] + node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:90] + node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 404:88] node _T_1910 = cat(_T_1909, _T_1903) @[Cat.scala 29:58] node _T_1911 = cat(_T_1910, _T_1897) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1911, _T_1891) @[Cat.scala 29:58] - node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 403:62] - node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 403:76] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:45] - node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 403:94] - node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:83] - node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 403:81] - node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:113] - node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 403:98] - node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:125] - node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 403:123] - node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 403:62] - node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 403:76] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:45] - node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 403:94] - node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:83] - node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 403:81] - node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:113] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 403:98] - node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:125] - node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 403:123] - node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 403:62] - node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 403:76] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:45] - node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 403:94] - node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:83] - node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 403:81] - node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:113] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 403:98] - node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:125] - node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 403:123] - node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 403:62] - node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 403:76] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:45] - node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 403:94] - node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:83] - node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 403:81] - node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 403:113] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 403:98] - node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:125] - node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 403:123] + node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 405:62] + node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 405:59] + node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 405:76] + node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] + node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 405:94] + node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:83] + node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 405:81] + node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:113] + node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 405:98] + node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:125] + node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 405:123] + node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 405:62] + node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 405:59] + node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 405:76] + node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] + node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 405:94] + node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:83] + node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 405:81] + node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:113] + node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 405:98] + node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:125] + node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 405:123] + node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 405:62] + node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 405:59] + node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 405:76] + node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] + node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 405:94] + node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:83] + node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 405:81] + node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:113] + node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 405:98] + node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:125] + node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 405:123] + node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 405:62] + node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 405:59] + node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 405:76] + node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] + node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 405:94] + node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:83] + node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 405:81] + node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:113] + node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 405:98] + node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:125] + node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 405:123] node _T_1956 = cat(_T_1955, _T_1944) @[Cat.scala 29:58] node _T_1957 = cat(_T_1956, _T_1933) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_1957, _T_1922) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 404:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 405:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 405:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 405:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 405:19] - node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 406:65] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:44] - node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 406:85] - node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 406:70] - node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 406:65] - node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:44] - node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 406:85] - node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 406:70] - node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 406:65] - node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:44] - node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 406:85] - node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 406:70] - node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 406:65] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:44] - node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 406:85] - node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 406:70] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 406:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 407:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 407:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 407:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 407:19] + node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 408:65] + node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:44] + node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:85] + node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 408:70] + node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 408:65] + node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:44] + node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:85] + node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 408:70] + node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 408:65] + node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:44] + node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:85] + node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 408:70] + node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 408:65] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 408:44] + node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 408:85] + node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 408:70] node _T_1974 = cat(_T_1973, _T_1969) @[Cat.scala 29:58] node _T_1975 = cat(_T_1974, _T_1965) @[Cat.scala 29:58] node RspPtrDec = cat(_T_1975, _T_1961) @[Cat.scala 29:58] - node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 407:31] - found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 407:17] - node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 408:31] - found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 408:17] + node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 409:31] + found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 409:17] + node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 410:31] + found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 410:17] wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") wire CmdPtr1 : UInt<2> @@ -2816,1666 +2816,1666 @@ circuit el2_lsu_bus_buffer : RspPtr <= UInt<1>("h00") node _T_1978 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1979 = cat(_T_1978, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] - node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] - node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 410:42] - node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] - node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 410:48] - node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] - node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 410:54] - node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] - node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] - node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 410:67] - node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] - node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 410:73] - node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] - node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 410:79] - node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] - node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] - node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 410:92] - node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] - node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 410:98] - node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] - node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 410:104] + node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] + node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] + node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 412:42] + node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] + node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 412:48] + node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] + node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 412:54] + node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] + node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] + node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 412:67] + node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] + node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 412:73] + node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] + node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 412:79] + node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] + node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] + node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 412:92] + node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] + node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 412:98] + node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] + node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 412:104] node _T_2001 = cat(_T_1986, _T_1993) @[Cat.scala 29:58] node _T_2002 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] - CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 415:11] - io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 416:11] + CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 417:11] + io.test <= CmdPtr0 @[el2_lsu_bus_buffer.scala 418:11] node _T_2003 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2004 = cat(_T_2003, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] - node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] - node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 410:42] - node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] - node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 410:48] - node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] - node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 410:54] - node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] - node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] - node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 410:67] - node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] - node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 410:73] - node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] - node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 410:79] - node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] - node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] - node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 410:92] - node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] - node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 410:98] - node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] - node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 410:104] + node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] + node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] + node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 412:42] + node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] + node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 412:48] + node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] + node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 412:54] + node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] + node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] + node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 412:67] + node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] + node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 412:73] + node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] + node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 412:79] + node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] + node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] + node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 412:92] + node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] + node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 412:98] + node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] + node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 412:104] node _T_2026 = cat(_T_2011, _T_2018) @[Cat.scala 29:58] node _T_2027 = cat(_T_2026, _T_2025) @[Cat.scala 29:58] - CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 417:11] + CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 419:11] node _T_2028 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2029 = cat(_T_2028, RspPtrDec) @[Cat.scala 29:58] - node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 410:39] - node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 410:45] - node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 410:42] - node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 410:51] - node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 410:48] - node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 410:57] - node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 410:54] - node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 410:64] - node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 410:70] - node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 410:67] - node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 410:76] - node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 410:73] - node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 410:82] - node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 410:79] - node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 410:89] - node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 410:95] - node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 410:92] - node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 410:101] - node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 410:98] - node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 410:107] - node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 410:104] + node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 412:39] + node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 412:45] + node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 412:42] + node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 412:51] + node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 412:48] + node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 412:57] + node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 412:54] + node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 412:64] + node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 412:70] + node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 412:67] + node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 412:76] + node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 412:73] + node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 412:82] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 412:79] + node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 412:89] + node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 412:95] + node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 412:92] + node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 412:101] + node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 412:98] + node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 412:107] + node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 412:104] node _T_2051 = cat(_T_2036, _T_2043) @[Cat.scala 29:58] node _T_2052 = cat(_T_2051, _T_2050) @[Cat.scala 29:58] - RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 418:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 419:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 420:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 421:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 423:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 425:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:14] - node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 433:97] + RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 420:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 421:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 422:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 423:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 424:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 425:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 426:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 429:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:14] + node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 435:97] node _T_2153 = cat(_T_2152, _T_2127) @[Cat.scala 29:58] node _T_2154 = cat(_T_2153, _T_2102) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2154, _T_2077) @[Cat.scala 29:58] - node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 435:97] node _T_2255 = cat(_T_2254, _T_2229) @[Cat.scala 29:58] node _T_2256 = cat(_T_2255, _T_2204) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2256, _T_2179) @[Cat.scala 29:58] - node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 435:97] node _T_2357 = cat(_T_2356, _T_2331) @[Cat.scala 29:58] node _T_2358 = cat(_T_2357, _T_2306) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2358, _T_2281) @[Cat.scala 29:58] - node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 433:97] - node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 431:20] - node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:47] - node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:59] - node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 431:57] - node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 431:31] - node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 432:23] - node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 432:53] - node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 432:41] - node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:83] - node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 432:71] - node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:104] - node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 432:92] - node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 431:86] - node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 433:17] - node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 433:35] - node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:64] - node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 433:52] - node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 433:73] - node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 432:114] - node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 433:109] - node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 433:97] + node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 435:97] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 432:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 432:94] + node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 433:20] + node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 433:47] + node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:59] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 433:57] + node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 433:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 434:53] + node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 434:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:83] + node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 434:71] + node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 434:104] + node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 434:92] + node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 433:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:64] + node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 435:52] + node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:85] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 434:114] + node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 432:113] + node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 435:109] + node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 435:97] node _T_2459 = cat(_T_2458, _T_2433) @[Cat.scala 29:58] node _T_2460 = cat(_T_2459, _T_2408) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2460, _T_2383) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 434:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:12] - node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 436:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 436:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:12] + node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 438:78] node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 438:78] node _T_2496 = cat(_T_2495, _T_2491) @[Cat.scala 29:58] node _T_2497 = cat(_T_2496, _T_2487) @[Cat.scala 29:58] node _T_2498 = cat(_T_2497, _T_2483) @[Cat.scala 29:58] - node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 438:78] node _T_2515 = cat(_T_2514, _T_2510) @[Cat.scala 29:58] node _T_2516 = cat(_T_2515, _T_2506) @[Cat.scala 29:58] node _T_2517 = cat(_T_2516, _T_2502) @[Cat.scala 29:58] - node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 436:78] - node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:74] - node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:94] - node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 436:104] - node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 436:78] + node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 438:78] + node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:74] + node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 438:78] node _T_2534 = cat(_T_2533, _T_2529) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2525) @[Cat.scala 29:58] node _T_2536 = cat(_T_2535, _T_2521) @[Cat.scala 29:58] - buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 436:13] - buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 436:13] - buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 436:13] - buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 436:13] - node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 437:74] + buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 438:13] + buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 438:13] + buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 438:13] + buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 438:13] + node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 439:74] node _T_2561 = cat(_T_2560, _T_2554) @[Cat.scala 29:58] node _T_2562 = cat(_T_2561, _T_2548) @[Cat.scala 29:58] node _T_2563 = cat(_T_2562, _T_2542) @[Cat.scala 29:58] - node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 439:74] node _T_2588 = cat(_T_2587, _T_2581) @[Cat.scala 29:58] node _T_2589 = cat(_T_2588, _T_2575) @[Cat.scala 29:58] node _T_2590 = cat(_T_2589, _T_2569) @[Cat.scala 29:58] - node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 439:74] node _T_2615 = cat(_T_2614, _T_2608) @[Cat.scala 29:58] node _T_2616 = cat(_T_2615, _T_2602) @[Cat.scala 29:58] node _T_2617 = cat(_T_2616, _T_2596) @[Cat.scala 29:58] - node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 437:74] - node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 437:78] - node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:102] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:91] - node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 437:121] - node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 437:106] - node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 437:74] + node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 439:74] + node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 439:102] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:91] + node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 439:121] + node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 439:106] + node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 439:74] node _T_2642 = cat(_T_2641, _T_2635) @[Cat.scala 29:58] node _T_2643 = cat(_T_2642, _T_2629) @[Cat.scala 29:58] node _T_2644 = cat(_T_2643, _T_2623) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 437:21] - buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 437:21] - buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 437:21] - buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 437:21] - node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 438:89] + buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 439:21] + buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 439:21] + buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 439:21] + buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 439:21] + node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 440:89] node _T_2657 = cat(_T_2656, _T_2653) @[Cat.scala 29:58] node _T_2658 = cat(_T_2657, _T_2650) @[Cat.scala 29:58] node _T_2659 = cat(_T_2658, _T_2647) @[Cat.scala 29:58] - node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 440:89] node _T_2672 = cat(_T_2671, _T_2668) @[Cat.scala 29:58] node _T_2673 = cat(_T_2672, _T_2665) @[Cat.scala 29:58] node _T_2674 = cat(_T_2673, _T_2662) @[Cat.scala 29:58] - node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 440:89] node _T_2687 = cat(_T_2686, _T_2683) @[Cat.scala 29:58] node _T_2688 = cat(_T_2687, _T_2680) @[Cat.scala 29:58] node _T_2689 = cat(_T_2688, _T_2677) @[Cat.scala 29:58] - node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 438:89] - node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:85] - node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 438:104] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 440:89] + node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 440:89] node _T_2702 = cat(_T_2701, _T_2698) @[Cat.scala 29:58] node _T_2703 = cat(_T_2702, _T_2695) @[Cat.scala 29:58] node _T_2704 = cat(_T_2703, _T_2692) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 438:21] - buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 438:21] - buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 438:21] - buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 438:21] - node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 440:114] + buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 440:21] + buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 440:21] + buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 440:21] + buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 440:21] + node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 442:114] node _T_2793 = cat(_T_2792, _T_2770) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2748) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2726) @[Cat.scala 29:58] - node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 442:114] node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] - node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 442:114] + node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 442:114] node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] - node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 441:34] - node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 442:43] - node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 442:73] - node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 442:92] - node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 441:61] - node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 443:54] - node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 443:73] - node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 442:112] - node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 440:114] - node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 441:34] - node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 442:43] - node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 442:73] - node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 442:92] - node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 441:61] - node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 443:54] - node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 443:73] - node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 440:114] - node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 441:34] - node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 442:43] - node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 442:73] - node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 442:92] - node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 441:61] - node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 443:54] - node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 443:73] - node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 440:114] - node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:84] - node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 440:95] - node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:23] - node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 441:49] - node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 441:34] - node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:8] - node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 442:25] - node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 442:55] - node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 442:43] - node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:84] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 442:73] - node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 442:103] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 442:92] - node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 441:61] - node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:19] - node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:37] - node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 443:54] - node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 443:73] - node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 442:112] - node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 443:34] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 444:43] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 443:61] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 445:54] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 445:73] + node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 444:112] + node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 442:114] + node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 443:34] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 444:43] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 444:73] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 444:92] + node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 443:61] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 445:54] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 445:73] + node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 442:114] + node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 443:34] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 444:43] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 444:73] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 444:92] + node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 443:61] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 445:54] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 445:73] + node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 442:114] + node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 442:84] + node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 442:95] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:23] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 443:49] + node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 443:34] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:8] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:25] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:55] + node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 444:43] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:84] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 444:73] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:103] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 444:92] + node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 443:61] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:19] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:37] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:65] + node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 445:54] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 445:73] + node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 444:112] + node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 442:114] node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 440:20] - buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 440:20] - buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 440:20] - buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 440:20] - node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 444:90] + buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 442:20] + buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 442:20] + buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 442:20] + buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 442:20] + node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 446:90] node _T_3081 = cat(_T_3080, _T_3077) @[Cat.scala 29:58] node _T_3082 = cat(_T_3081, _T_3074) @[Cat.scala 29:58] node _T_3083 = cat(_T_3082, _T_3071) @[Cat.scala 29:58] - node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 446:90] node _T_3096 = cat(_T_3095, _T_3092) @[Cat.scala 29:58] node _T_3097 = cat(_T_3096, _T_3089) @[Cat.scala 29:58] node _T_3098 = cat(_T_3097, _T_3086) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 446:90] node _T_3111 = cat(_T_3110, _T_3107) @[Cat.scala 29:58] node _T_3112 = cat(_T_3111, _T_3104) @[Cat.scala 29:58] node _T_3113 = cat(_T_3112, _T_3101) @[Cat.scala 29:58] - node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 444:90] - node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:105] - node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 444:90] + node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 446:90] + node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 446:86] + node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 446:105] + node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 446:90] node _T_3126 = cat(_T_3125, _T_3122) @[Cat.scala 29:58] node _T_3127 = cat(_T_3126, _T_3119) @[Cat.scala 29:58] node _T_3128 = cat(_T_3127, _T_3116) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 444:19] - buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 444:19] - buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 444:19] - buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 444:19] - node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 445:84] + buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 446:19] + buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 446:19] + buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 446:19] + buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 446:19] + node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 447:84] node _T_3153 = cat(_T_3152, _T_3146) @[Cat.scala 29:58] node _T_3154 = cat(_T_3153, _T_3140) @[Cat.scala 29:58] node _T_3155 = cat(_T_3154, _T_3134) @[Cat.scala 29:58] - node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 447:84] node _T_3180 = cat(_T_3179, _T_3173) @[Cat.scala 29:58] node _T_3181 = cat(_T_3180, _T_3167) @[Cat.scala 29:58] node _T_3182 = cat(_T_3181, _T_3161) @[Cat.scala 29:58] - node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 447:84] node _T_3207 = cat(_T_3206, _T_3200) @[Cat.scala 29:58] node _T_3208 = cat(_T_3207, _T_3194) @[Cat.scala 29:58] node _T_3209 = cat(_T_3208, _T_3188) @[Cat.scala 29:58] - node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 445:80] - node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 445:101] - node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:127] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:86] - node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 445:84] + node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 447:84] + node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:80] + node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 447:101] + node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 447:127] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 447:112] + node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 447:86] + node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 447:84] node _T_3234 = cat(_T_3233, _T_3227) @[Cat.scala 29:58] node _T_3235 = cat(_T_3234, _T_3221) @[Cat.scala 29:58] node _T_3236 = cat(_T_3235, _T_3215) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 445:16] - buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 445:16] - buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 445:16] - buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 445:16] - node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:77] - node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:77] - node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:77] - node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 450:65] - node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:77] - node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 450:65] + buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 447:16] + buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 447:16] + buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 447:16] + buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 447:16] + node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:77] + node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 452:77] + node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 452:77] + node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 452:65] + node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 452:77] + node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 452:65] node _T_3245 = cat(_T_3244, _T_3242) @[Cat.scala 29:58] node _T_3246 = cat(_T_3245, _T_3240) @[Cat.scala 29:58] node _T_3247 = cat(_T_3246, _T_3238) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 450:23] - node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:66] - node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] - node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] - node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:134] - node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 451:123] - node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] - node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] - node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 451:96] - node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 451:48] - node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:66] - node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] - node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] - node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:134] - node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 451:123] - node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] - node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] - node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 451:96] - node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 451:48] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:66] - node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] - node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] - node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:134] - node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 451:123] - node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] - node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] - node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 451:96] - node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 451:48] - node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:66] - node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 451:86] - node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:106] - node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:134] - node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 451:123] - node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:159] - node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 451:182] - node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 451:96] - node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 451:48] - buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 451:19] - buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 451:19] - buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 451:19] - buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 451:19] - node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:64] - node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] - node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:121] - node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 452:110] - node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] - node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 452:46] - node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:64] - node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] - node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 452:121] - node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 452:110] - node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] - node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 452:46] - node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:64] - node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] - node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 452:121] - node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 452:110] - node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] - node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 452:46] - node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:64] - node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 452:93] - node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 452:121] - node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 452:110] - node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 452:83] - node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 452:46] - buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 452:17] - buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 452:17] - buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 452:17] - buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 452:17] - node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] - node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] - node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] - node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:47] + ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 452:23] + node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:66] + node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:106] + node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:134] + node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 453:123] + node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:159] + node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:182] + node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 453:96] + node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 453:48] + node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:66] + node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:106] + node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:134] + node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 453:123] + node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:159] + node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:182] + node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 453:96] + node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 453:48] + node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:66] + node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:106] + node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:134] + node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 453:123] + node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:159] + node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:182] + node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 453:96] + node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 453:48] + node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:66] + node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:106] + node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:134] + node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 453:123] + node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:159] + node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 453:182] + node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 453:96] + node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 453:48] + buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 453:19] + buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 453:19] + buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 453:19] + buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 453:19] + node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:64] + node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:93] + node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:121] + node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 454:110] + node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 454:83] + node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 454:46] + node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:64] + node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:93] + node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:121] + node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 454:110] + node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 454:83] + node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 454:46] + node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:64] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:93] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:121] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 454:110] + node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 454:83] + node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 454:46] + node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:64] + node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:93] + node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:121] + node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 454:110] + node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 454:83] + node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 454:46] + buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 454:17] + buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 454:17] + buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 454:17] + buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 454:17] + node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:65] + node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:47] + node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:65] + node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:47] + node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:65] + node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:47] + node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:65] + node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:47] node _T_3316 = cat(_T_3315, _T_3313) @[Cat.scala 29:58] node _T_3317 = cat(_T_3316, _T_3311) @[Cat.scala 29:58] node _T_3318 = cat(_T_3317, _T_3309) @[Cat.scala 29:58] - buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 453:17] - node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] - node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] - node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:67] - node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 454:49] + buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 455:17] + node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 456:49] + node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:67] + node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 456:49] node _T_3327 = cat(_T_3326, _T_3324) @[Cat.scala 29:58] node _T_3328 = cat(_T_3327, _T_3322) @[Cat.scala 29:58] node _T_3329 = cat(_T_3328, _T_3320) @[Cat.scala 29:58] - buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 454:19] - node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:68] - node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] - node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:68] - node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] - node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:68] - node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] - node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:68] - node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 455:86] - node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 455:50] + buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 456:19] + node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:68] + node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 457:86] + node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 457:50] + node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:68] + node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 457:86] + node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 457:50] + node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:68] + node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 457:86] + node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 457:50] + node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:68] + node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 457:86] + node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 457:50] node _T_3342 = cat(_T_3341, _T_3338) @[Cat.scala 29:58] node _T_3343 = cat(_T_3342, _T_3335) @[Cat.scala 29:58] node _T_3344 = cat(_T_3343, _T_3332) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 455:20] - node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] - node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:120] - node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 456:109] - node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] - node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 456:120] - node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 456:109] - node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] - node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 456:120] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 456:109] - node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 456:49] - node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:67] - node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:92] - node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 456:120] - node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 456:109] - node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 456:49] + buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 457:20] + node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:92] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:120] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 458:109] + node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:92] + node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:120] + node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 458:109] + node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:92] + node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:120] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 458:109] + node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 458:49] + node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:67] + node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:92] + node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:120] + node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 458:109] + node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 458:49] node _T_3365 = cat(_T_3364, _T_3359) @[Cat.scala 29:58] node _T_3366 = cat(_T_3365, _T_3354) @[Cat.scala 29:58] node _T_3367 = cat(_T_3366, _T_3349) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 456:19] - node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] - node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:127] - node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 457:116] - node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] - node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 457:49] - node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] - node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:127] - node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 457:116] - node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] - node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 457:49] - node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] - node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:127] - node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 457:116] - node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] - node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 457:49] - node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:99] - node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:127] - node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 457:116] - node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 457:89] - node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 457:49] - buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 457:20] - buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 457:20] - buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 457:20] - buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 457:20] - node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:71] - node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] - node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:71] - node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] - node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:71] - node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] - node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:71] - node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 458:53] + buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 458:19] + node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:99] + node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:127] + node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 459:116] + node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:89] + node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:99] + node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:127] + node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 459:116] + node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:89] + node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:99] + node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:127] + node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 459:116] + node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:89] + node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 459:49] + node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:67] + node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:99] + node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:127] + node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 459:116] + node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 459:89] + node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 459:49] + buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 459:20] + buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 459:20] + buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 459:20] + buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 459:20] + node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:71] + node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:71] + node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:71] + node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:71] + node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 460:53] node _T_3400 = cat(_T_3399, _T_3397) @[Cat.scala 29:58] node _T_3401 = cat(_T_3400, _T_3395) @[Cat.scala 29:58] node _T_3402 = cat(_T_3401, _T_3393) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 458:23] - node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 459:49] + buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 460:23] + node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:67] + node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 461:49] + node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:67] + node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 461:49] + node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:67] + node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 461:49] + node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:67] + node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 461:49] node _T_3411 = cat(_T_3410, _T_3408) @[Cat.scala 29:58] node _T_3412 = cat(_T_3411, _T_3406) @[Cat.scala 29:58] node _T_3413 = cat(_T_3412, _T_3404) @[Cat.scala 29:58] - buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 459:19] - node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:62] + buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 461:19] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:62] node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 460:44] - node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:62] + node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 462:44] + node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:62] node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 460:44] - node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:62] + node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 462:44] + node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:62] node _T_3421 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 460:44] - node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:62] + node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 462:44] + node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:62] node _T_3424 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 460:44] - buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 460:15] - buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 460:15] - buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 460:15] - buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 460:15] - node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:66] - node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] - node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:66] - node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] - node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:66] - node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] - node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:66] - node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 461:48] + node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 462:44] + buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 462:15] + buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 462:15] + buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 462:15] + buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 462:15] + node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:66] + node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 463:48] + node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:66] + node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 463:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:66] + node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 463:48] + node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:66] + node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 463:48] node _T_3434 = cat(_T_3433, _T_3431) @[Cat.scala 29:58] node _T_3435 = cat(_T_3434, _T_3429) @[Cat.scala 29:58] node _T_3436 = cat(_T_3435, _T_3427) @[Cat.scala 29:58] - buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 461:18] + buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 463:18] node _T_3437 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3437 : @[Conditional.scala 40:58] - node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] - node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] - node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] - node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] - node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] - node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] - node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 467:161] - node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 467:132] - node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 467:63] - node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] - node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 467:201] - node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 467:183] - buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 467:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 468:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 469:24] - node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] - node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 470:47] - node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] - node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] - node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 470:30] - buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 470:24] + node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 468:56] + node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:31] + buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 468:25] + node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 469:45] + node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:77] + node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:97] + node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 469:117] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:144] + node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 469:166] + node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 469:161] + node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 469:132] + node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 469:63] + node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:206] + node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 469:201] + node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 469:183] + buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 469:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 470:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 471:24] + node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 472:52] + node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 472:47] + node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 472:114] + node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 472:30] + buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 472:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3460 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3460 : @[Conditional.scala 39:67] - node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] - node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] - buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 473:25] - node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] - buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 474:25] + node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 475:60] + node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:31] + buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 475:25] + node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 476:46] + buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 476:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3464 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3464 : @[Conditional.scala 39:67] - node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] - node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] - node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] - node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 477:104] - node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] - node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 477:31] - buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 477:25] - node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:104] - node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 478:91] - node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] - node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] - buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 478:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 479:29] - node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] - node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] - buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 480:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] - node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 482:56] - node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] - node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 482:44] - node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] - node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] - node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 482:74] - buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] - buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 483:28] - node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] - node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] - buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 484:24] - node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] - node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] - buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] - node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] - node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] - node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] - node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 486:30] - buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 486:24] + node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 479:60] + node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 479:89] + node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 479:124] + node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 479:104] + node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 479:75] + node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 479:31] + buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 479:25] + node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:104] + node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 480:91] + node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 480:77] + node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 480:135] + node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 480:148] + buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 480:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 481:29] + node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:70] + buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 482:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 483:25] + node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:56] + node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:46] + node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 484:44] + node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:60] + node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:76] + node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 484:74] + buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 485:46] + buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 485:28] + node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 486:47] + node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 486:81] + buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 486:24] + node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:68] + node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 487:82] + buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 487:25] + node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:61] + node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 488:85] + node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 488:103] + node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:126] + node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 488:30] + buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 488:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3498 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3498 : @[Conditional.scala 39:67] - node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 489:67] - node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] - node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 489:71] - node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 489:55] - node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] - node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] - node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 490:28] - node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 490:57] - node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 490:45] - node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] - node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 490:61] - node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 491:27] - node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] - node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] - node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 491:68] - node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 491:97] - node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] - node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 491:85] - node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 491:94] + node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 491:71] + node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 491:55] + node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 491:125] + node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:30] + node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 492:28] + node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 492:57] + node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 492:45] + node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 492:90] + node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 492:61] + node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 493:27] + node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:31] + node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:70] + node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 493:97] + node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:87] + node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 493:85] + node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_3527 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3528 = mux(_T_3521, _T_3522, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3529 = mux(_T_3523, _T_3524, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4485,273 +4485,273 @@ circuit el2_lsu_bus_buffer : node _T_3533 = or(_T_3532, _T_3530) @[Mux.scala 27:72] wire _T_3534 : UInt<1> @[Mux.scala 27:72] _T_3534 <= _T_3533 @[Mux.scala 27:72] - node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 491:101] - node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] - node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 491:138] - node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] - node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 491:53] - node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] - node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 490:14] - node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 489:31] - buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 489:25] - node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 492:52] - node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:23] - node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 494:47] - node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 494:27] - node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 493:77] - node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 495:26] - node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 495:54] - node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 495:44] - node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 495:42] - node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 495:58] - node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 495:94] - node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 495:74] - node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 494:71] - node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 493:25] - node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 492:105] - buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 492:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 496:29] - node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] - node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] - buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 497:25] - node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] - node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] - buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 498:24] - node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 499:111] - node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 499:91] - node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 500:42] - node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 500:31] - node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 500:46] - node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 499:143] - node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] - node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 501:74] - node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 501:53] - node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 500:88] - node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 499:68] - buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] - node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 502:48] - node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] - node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] - node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] - node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 502:72] - node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] - node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 502:30] - buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 502:24] + node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 493:101] + node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:167] + node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 493:138] + node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:187] + node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 493:53] + node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 493:16] + node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 492:14] + node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 491:31] + buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 491:25] + node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 494:73] + node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 494:52] + node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 495:46] + node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 496:23] + node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 496:47] + node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 496:27] + node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 497:26] + node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 497:54] + node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 497:44] + node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 497:42] + node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 497:58] + node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 497:94] + node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 497:74] + node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 496:71] + node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 495:25] + node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 494:105] + buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 494:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 498:29] + node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:49] + node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 499:70] + buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 500:47] + node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:62] + buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 500:24] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:48] + node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 501:111] + node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 501:91] + node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 502:42] + node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 502:31] + node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 502:66] + node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 502:46] + node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 501:143] + node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 503:32] + node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 503:74] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 503:53] + node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 502:88] + node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 501:68] + buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:50] + node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 504:48] + node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 504:84] + node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 504:102] + node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:125] + node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 504:72] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:148] + node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 504:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 504:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3585 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3585 : @[Conditional.scala 39:67] - node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 505:86] - node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 505:101] - node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] - node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 505:90] - node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] - node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] - node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 506:66] - node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 507:21] - node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] - node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 507:58] - node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 507:38] - node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 506:95] - node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 506:45] - buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 506:29] - node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] + node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 507:86] + node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 507:101] + node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 507:101] + node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 507:90] + node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 507:118] + node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 507:75] + node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 507:31] + buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 509:21] + node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 509:21] + node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 509:58] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 509:38] + node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 508:95] + node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 508:45] + buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 508:29] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] + node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] + buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3603 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3603 : @[Conditional.scala 39:67] - node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 512:37] - node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] - node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 512:80] - node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 512:65] - node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] - buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 514:37] + node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 514:98] + node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 514:80] + node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 514:65] + node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 514:112] + buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 514:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3611 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3611 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 519:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 520:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 521:25] skip @[Conditional.scala 39:67] - node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 524:108] reg _T_3613 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3612 : @[Reg.scala 28:19] _T_3613 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 522:18] - reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] - _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 523:60] - buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 523:17] - reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] - _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 524:63] - buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 524:20] - node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 524:18] + reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 525:60] + _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 525:60] + buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 525:17] + reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:63] + _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 526:63] + buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 526:20] + node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:109] reg _T_3617 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3616 : @[Reg.scala 28:19] _T_3617 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 525:20] - node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 526:74] - node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] + buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 527:20] + node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:74] + node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:107] reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3619 : @[Reg.scala 28:19] _T_3620 <= _T_3618 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 526:17] - node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:78] - node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] + buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 528:17] + node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:78] + node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3622 : @[Reg.scala 28:19] _T_3623 <= _T_3621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 527:19] - node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:80] - node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] + buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 529:19] + node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 530:80] + node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:113] reg _T_3626 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3625 : @[Reg.scala 28:19] _T_3626 <= _T_3624 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 528:20] - node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:78] - node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 530:20] + node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 531:78] + node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:111] reg _T_3629 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3628 : @[Reg.scala 28:19] _T_3629 <= _T_3627 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 529:19] + buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 531:19] node _T_3630 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3630 : @[Conditional.scala 40:58] - node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] - node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] - node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] - node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] - node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] - node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] - node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] - node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 467:161] - node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 467:132] - node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 467:63] - node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] - node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 467:201] - node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 467:183] - buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 467:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 468:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 469:24] - node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] - node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 470:47] - node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] - node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] - node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 470:30] - buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 470:24] + node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 468:56] + node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:31] + buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 468:25] + node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 469:45] + node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:77] + node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:97] + node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 469:117] + node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:144] + node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 469:166] + node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 469:161] + node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 469:132] + node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 469:63] + node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:206] + node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 469:201] + node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 469:183] + buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 469:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 470:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 471:24] + node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 472:52] + node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 472:47] + node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 472:114] + node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 472:30] + buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 472:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3653 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3653 : @[Conditional.scala 39:67] - node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] - node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] - buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 473:25] - node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] - buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 474:25] + node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 475:60] + node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:31] + buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 475:25] + node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 476:46] + buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 476:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3657 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3657 : @[Conditional.scala 39:67] - node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] - node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] - node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] - node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 477:104] - node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] - node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 477:31] - buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 477:25] - node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 478:104] - node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 478:91] - node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] - node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] - buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 478:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 479:29] - node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] - node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] - buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 480:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] - node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 482:56] - node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] - node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 482:44] - node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] - node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 482:74] - buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] - buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 483:28] - node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] - node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] - buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 484:24] - node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] - node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] - buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] - node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] - node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] - node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] - node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 486:30] - buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 486:24] + node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 479:60] + node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 479:89] + node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 479:124] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 479:104] + node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 479:75] + node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 479:31] + buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 479:25] + node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 480:104] + node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 480:91] + node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 480:77] + node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 480:135] + node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 480:148] + buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 480:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 481:29] + node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:70] + buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 482:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 483:25] + node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:56] + node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:46] + node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 484:44] + node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:60] + node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:76] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 484:74] + buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 485:46] + buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 485:28] + node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 486:47] + node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 486:81] + buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 486:24] + node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:68] + node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 487:82] + buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 487:25] + node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:61] + node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 488:85] + node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 488:103] + node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:126] + node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 488:30] + buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 488:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3691 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3691 : @[Conditional.scala 39:67] - node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 489:67] - node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] - node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 489:71] - node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 489:55] - node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] - node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] - node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 490:28] - node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 490:57] - node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 490:45] - node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 490:61] - node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 491:27] - node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] - node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] - node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 491:68] - node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 491:97] - node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] - node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 491:85] - node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 491:94] + node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 491:71] + node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 491:55] + node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 491:125] + node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:30] + node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 492:28] + node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 492:57] + node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 492:45] + node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 492:90] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 492:61] + node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 493:27] + node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:31] + node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:70] + node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 493:97] + node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:87] + node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 493:85] + node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_3720 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3721 = mux(_T_3714, _T_3715, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3722 = mux(_T_3716, _T_3717, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4761,273 +4761,273 @@ circuit el2_lsu_bus_buffer : node _T_3726 = or(_T_3725, _T_3723) @[Mux.scala 27:72] wire _T_3727 : UInt<1> @[Mux.scala 27:72] _T_3727 <= _T_3726 @[Mux.scala 27:72] - node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 491:101] - node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] - node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 491:138] - node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] - node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 491:53] - node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] - node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 490:14] - node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 489:31] - buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 489:25] - node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 492:52] - node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:23] - node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 494:47] - node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 494:27] - node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 493:77] - node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 495:26] - node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 495:54] - node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 495:44] - node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 495:42] - node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 495:58] - node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 495:94] - node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 495:74] - node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 494:71] - node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 493:25] - node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 492:105] - buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 492:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 496:29] - node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] - node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] - buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 497:25] - node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] - node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] - buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 498:24] - node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 499:111] - node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 499:91] - node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 500:42] - node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 500:31] - node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 500:46] - node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 499:143] - node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] - node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 501:74] - node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 501:53] - node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 500:88] - node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 499:68] - buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] - node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 502:48] - node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] - node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] - node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] - node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 502:72] - node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] - node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 502:30] - buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 502:24] + node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 493:101] + node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:167] + node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 493:138] + node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:187] + node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 493:53] + node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 493:16] + node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 492:14] + node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 491:31] + buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 491:25] + node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:73] + node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 494:52] + node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:46] + node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 496:23] + node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 496:47] + node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 496:27] + node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 497:26] + node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 497:54] + node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 497:44] + node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 497:42] + node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 497:58] + node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 497:94] + node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 497:74] + node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 496:71] + node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 495:25] + node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 494:105] + buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 494:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 498:29] + node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:49] + node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 499:70] + buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 500:47] + node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:62] + buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 500:24] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:48] + node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 501:111] + node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 501:91] + node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 502:42] + node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 502:31] + node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 502:66] + node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 502:46] + node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 501:143] + node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 503:32] + node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:74] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 503:53] + node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 502:88] + node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 501:68] + buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:50] + node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 504:48] + node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 504:84] + node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 504:102] + node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:125] + node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 504:72] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:148] + node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 504:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 504:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3778 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3778 : @[Conditional.scala 39:67] - node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 505:86] - node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 505:101] - node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] - node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 505:90] - node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] - node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] - node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 506:66] - node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 507:21] - node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] - node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 507:58] - node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 507:38] - node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 506:95] - node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 506:45] - buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 506:29] - node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] + node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 507:86] + node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 507:101] + node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 507:101] + node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 507:90] + node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 507:118] + node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 507:75] + node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 507:31] + buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 509:21] + node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 509:21] + node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 509:58] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 509:38] + node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 508:95] + node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 508:45] + buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 508:29] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] + node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] + buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3796 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3796 : @[Conditional.scala 39:67] - node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 512:37] - node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] - node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 512:80] - node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 512:65] - node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] - buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 514:37] + node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 514:98] + node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 514:80] + node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 514:65] + node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 514:112] + buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 514:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3804 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3804 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 519:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 520:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 521:25] skip @[Conditional.scala 39:67] - node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 524:108] reg _T_3806 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3805 : @[Reg.scala 28:19] _T_3806 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 522:18] - reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] - _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 523:60] - buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 523:17] - reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] - _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 524:63] - buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 524:20] - node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 524:18] + reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 525:60] + _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 525:60] + buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 525:17] + reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:63] + _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 526:63] + buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 526:20] + node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:109] reg _T_3810 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3809 : @[Reg.scala 28:19] _T_3810 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 525:20] - node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 526:74] - node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] + buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 527:20] + node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:74] + node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:107] reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3812 : @[Reg.scala 28:19] _T_3813 <= _T_3811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 526:17] - node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:78] - node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] + buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 528:17] + node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:78] + node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3815 : @[Reg.scala 28:19] _T_3816 <= _T_3814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 527:19] - node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:80] - node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] + buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 529:19] + node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 530:80] + node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:113] reg _T_3819 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3818 : @[Reg.scala 28:19] _T_3819 <= _T_3817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 528:20] - node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:78] - node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 530:20] + node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 531:78] + node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:111] reg _T_3822 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3821 : @[Reg.scala 28:19] _T_3822 <= _T_3820 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 529:19] + buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 531:19] node _T_3823 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3823 : @[Conditional.scala 40:58] - node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] - node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] - node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] - node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] - node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] - node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] - node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] - node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 467:161] - node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 467:132] - node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 467:63] - node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] - node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 467:201] - node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 467:183] - buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 467:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 468:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 469:24] - node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] - node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 470:47] - node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] - node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] - node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 470:30] - buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 470:24] + node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 468:56] + node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:31] + buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 468:25] + node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 469:45] + node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:77] + node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:97] + node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 469:117] + node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:144] + node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 469:166] + node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 469:161] + node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 469:132] + node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 469:63] + node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:206] + node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 469:201] + node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 469:183] + buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 469:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 470:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 471:24] + node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 472:52] + node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 472:47] + node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 472:114] + node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 472:30] + buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 472:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3846 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3846 : @[Conditional.scala 39:67] - node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] - node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] - buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 473:25] - node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] - buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 474:25] + node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 475:60] + node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:31] + buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 475:25] + node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 476:46] + buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 476:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3850 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3850 : @[Conditional.scala 39:67] - node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] - node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] - node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] - node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 477:104] - node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] - node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 477:31] - buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 477:25] - node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 478:104] - node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 478:91] - node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] - node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] - buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 478:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 479:29] - node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] - node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] - buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 480:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] - node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 482:56] - node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] - node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 482:44] - node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] - node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 482:74] - buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] - buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 483:28] - node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] - node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] - buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 484:24] - node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] - node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] - node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] - buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] - node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] - node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] - node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] - node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 486:73] - node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 486:30] - buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 486:24] + node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 479:60] + node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 479:89] + node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 479:124] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 479:104] + node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 479:75] + node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 479:31] + buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 479:25] + node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 480:104] + node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 480:91] + node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 480:77] + node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 480:135] + node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 480:148] + buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 480:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 481:29] + node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:70] + buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 482:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 483:25] + node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:56] + node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:46] + node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 484:44] + node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:60] + node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:76] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 484:74] + buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 485:46] + buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 485:28] + node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 486:47] + node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 486:81] + buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 486:24] + node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:68] + node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 487:82] + buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 487:25] + node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:61] + node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 488:85] + node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 488:103] + node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:126] + node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 488:73] + node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 488:30] + buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 488:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3884 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3884 : @[Conditional.scala 39:67] - node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 489:67] - node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] - node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 489:71] - node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 489:55] - node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] - node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] - node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 490:28] - node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 490:57] - node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] - node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 490:45] - node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 490:61] - node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 491:27] - node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] - node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] - node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 491:68] - node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 491:97] - node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] - node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 491:85] - node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 491:67] + node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 491:94] + node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 491:71] + node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 491:55] + node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 491:125] + node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:30] + node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 492:28] + node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 492:57] + node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 492:45] + node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 492:90] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 492:61] + node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 493:27] + node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:31] + node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:70] + node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 493:97] + node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:87] + node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 493:85] + node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_3913 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3914 = mux(_T_3907, _T_3908, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3915 = mux(_T_3909, _T_3910, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5037,273 +5037,273 @@ circuit el2_lsu_bus_buffer : node _T_3919 = or(_T_3918, _T_3916) @[Mux.scala 27:72] wire _T_3920 : UInt<1> @[Mux.scala 27:72] _T_3920 <= _T_3919 @[Mux.scala 27:72] - node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 491:101] - node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] - node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 491:138] - node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] - node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 491:53] - node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] - node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 490:14] - node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 489:31] - buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 489:25] - node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 492:52] - node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:23] - node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 494:47] - node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 494:27] - node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 493:77] - node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 495:26] - node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 495:54] - node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 495:44] - node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 495:42] - node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 495:58] - node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 495:94] - node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 495:74] - node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 494:71] - node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 493:25] - node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 492:105] - buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 492:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 496:29] - node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] - node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] - buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 497:25] - node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] - node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] - buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 498:24] - node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:111] - node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 499:91] - node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 500:42] - node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 500:31] - node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 500:46] - node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 499:143] - node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] - node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:74] - node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 501:53] - node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 500:88] - node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 499:68] - buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] - node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 502:48] - node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] - node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] - node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] - node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 502:72] - node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] - node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 502:30] - buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 502:24] + node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 493:101] + node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:167] + node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 493:138] + node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:187] + node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 493:53] + node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 493:16] + node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 492:14] + node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 491:31] + buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 491:25] + node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 494:73] + node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 494:52] + node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 495:46] + node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 496:23] + node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 496:47] + node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 496:27] + node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 497:26] + node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 497:54] + node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 497:44] + node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 497:42] + node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 497:58] + node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 497:94] + node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 497:74] + node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 496:71] + node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 495:25] + node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 494:105] + buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 494:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 498:29] + node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:49] + node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 499:70] + buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 499:25] + node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 500:47] + node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:62] + buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 500:24] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:48] + node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:111] + node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 501:91] + node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 502:42] + node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 502:31] + node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 502:66] + node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 502:46] + node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 501:143] + node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 503:32] + node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:74] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 503:53] + node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 502:88] + node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 501:68] + buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:50] + node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 504:48] + node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 504:84] + node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 504:102] + node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:125] + node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 504:72] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:148] + node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 504:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 504:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3971 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_3971 : @[Conditional.scala 39:67] - node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 505:86] - node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 505:101] - node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] - node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 505:90] - node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] - node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] - node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 506:66] - node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 507:21] - node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] - node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 507:58] - node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 507:38] - node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 506:95] - node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 506:45] - buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 506:29] - node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] + node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 507:86] + node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 507:101] + node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 507:101] + node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 507:90] + node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 507:118] + node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 507:75] + node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 507:31] + buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 509:21] + node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 509:21] + node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 509:58] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 509:38] + node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 508:95] + node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 508:45] + buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 508:29] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] + node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] + buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3989 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_3989 : @[Conditional.scala 39:67] - node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 512:37] - node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] - node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 512:80] - node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 512:65] - node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] - buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 514:37] + node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 514:98] + node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 514:80] + node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 514:65] + node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 514:112] + buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 514:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3997 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_3997 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 519:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 520:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 521:25] skip @[Conditional.scala 39:67] - node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 524:108] reg _T_3999 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3998 : @[Reg.scala 28:19] _T_3999 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 522:18] - reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] - _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 523:60] - buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 523:17] - reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] - _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 524:63] - buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 524:20] - node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 524:18] + reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 525:60] + _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 525:60] + buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 525:17] + reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:63] + _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 526:63] + buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 526:20] + node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:109] reg _T_4003 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4002 : @[Reg.scala 28:19] _T_4003 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 525:20] - node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 526:74] - node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] + buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:74] + node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:107] reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4005 : @[Reg.scala 28:19] _T_4006 <= _T_4004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 526:17] - node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:78] - node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] + buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 528:17] + node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:78] + node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4008 : @[Reg.scala 28:19] _T_4009 <= _T_4007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 527:19] - node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:80] - node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] + buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 529:19] + node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 530:80] + node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:113] reg _T_4012 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4011 : @[Reg.scala 28:19] _T_4012 <= _T_4010 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 528:20] - node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:78] - node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 530:20] + node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 531:78] + node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:111] reg _T_4015 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4014 : @[Reg.scala 28:19] _T_4015 <= _T_4013 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 529:19] + buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 531:19] node _T_4016 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4016 : @[Conditional.scala 40:58] - node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 466:56] - node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 466:25] - node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 467:45] - node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:77] - node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:97] - node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 467:95] - node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 467:117] - node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 467:112] - node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:144] - node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 467:166] - node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 467:161] - node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 467:132] - node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 467:63] - node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 467:206] - node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 467:201] - node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 467:183] - buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 467:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 468:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 469:24] - node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:52] - node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 470:47] - node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 470:73] - node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 470:90] - node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 470:114] - node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 470:30] - buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 470:24] + node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 468:56] + node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:31] + buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 468:25] + node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 469:45] + node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:77] + node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:97] + node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 469:95] + node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 469:117] + node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 469:112] + node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:144] + node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 469:166] + node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 469:161] + node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 469:132] + node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 469:63] + node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 469:206] + node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 469:201] + node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 469:183] + buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 469:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 470:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 471:24] + node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 472:52] + node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 472:47] + node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 472:73] + node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 472:90] + node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 472:114] + node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 472:30] + buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 472:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4039 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4039 : @[Conditional.scala 39:67] - node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 473:60] - node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:31] - buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 473:25] - node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 474:46] - buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 474:25] + node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 475:60] + node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 475:31] + buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 475:25] + node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 476:46] + buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 476:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4043 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4043 : @[Conditional.scala 39:67] - node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 477:60] - node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:89] - node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 477:124] - node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 477:104] - node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 477:75] - node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 477:31] - buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 477:25] - node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 478:48] - node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 478:104] - node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 478:91] - node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 478:77] - node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 478:135] - node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 478:148] - buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 478:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 479:29] - node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 480:49] - node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 480:70] - buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 480:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 481:25] - node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 482:56] - node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:46] - node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 482:44] - node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 482:60] - node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:76] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 482:74] - buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 482:25] - node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 483:46] - buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 483:28] - node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 484:47] - node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:67] - node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 484:81] - buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 484:24] - node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 485:48] - node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:68] - node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 485:82] - buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 485:25] - node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:61] - node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 486:85] - node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 486:103] - node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 486:126] - node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 486:73] - node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 486:30] - buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 486:24] + node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 479:60] + node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 479:89] + node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 479:124] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 479:104] + node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 479:75] + node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 479:31] + buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 479:25] + node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:48] + node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:104] + node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 480:91] + node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 480:77] + node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 480:135] + node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 480:148] + buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 480:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 481:29] + node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 482:49] + node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:70] + buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 482:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 483:25] + node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:56] + node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:46] + node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 484:44] + node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 484:60] + node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:76] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 484:74] + buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 484:25] + node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 485:46] + buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 485:28] + node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 486:47] + node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 486:67] + node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 486:81] + buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 486:24] + node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:48] + node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:68] + node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 487:82] + buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 487:25] + node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:61] + node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 488:85] + node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 488:103] + node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 488:126] + node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 488:73] + node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 488:30] + buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 488:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 489:67] - node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 489:94] - node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:73] - node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 489:71] - node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 489:55] - node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 489:125] - node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:30] - node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 490:28] - node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 490:57] - node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:47] - node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 490:45] - node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 490:90] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 490:61] - node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 491:27] - node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:31] - node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:70] - node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 491:68] - node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 491:97] - node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:87] - node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 491:85] - node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 491:67] + node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 491:94] + node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 491:73] + node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 491:71] + node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 491:55] + node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 491:125] + node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:30] + node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 492:28] + node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 492:57] + node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:47] + node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 492:45] + node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 492:90] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 492:61] + node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 493:27] + node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:31] + node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:70] + node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 493:68] + node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 493:97] + node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:87] + node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 493:85] + node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_4106 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4107 = mux(_T_4100, _T_4101, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4108 = mux(_T_4102, _T_4103, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5313,172 +5313,172 @@ circuit el2_lsu_bus_buffer : node _T_4112 = or(_T_4111, _T_4109) @[Mux.scala 27:72] wire _T_4113 : UInt<1> @[Mux.scala 27:72] _T_4113 <= _T_4112 @[Mux.scala 27:72] - node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 491:101] - node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 491:167] - node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 491:138] - node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 491:187] - node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 491:53] - node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 491:16] - node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 490:14] - node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 489:31] - buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 489:25] - node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 492:52] - node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 493:46] - node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:23] - node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 494:47] - node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 494:27] - node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 493:77] - node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 495:26] - node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 495:54] - node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 495:44] - node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 495:42] - node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 495:58] - node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 495:94] - node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 495:74] - node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 494:71] - node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 493:25] - node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 492:105] - buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 492:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 496:29] - node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 497:49] - node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 497:70] - buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 497:25] - node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 498:47] - node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 498:62] - buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 498:24] - node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:48] - node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 499:111] - node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 499:91] - node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 500:42] - node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 500:31] - node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 500:66] - node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 500:46] - node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 499:143] - node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 501:32] - node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 501:74] - node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 501:53] - node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 500:88] - node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 499:68] - buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 499:25] - node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:50] - node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 502:48] - node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 502:84] - node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 502:102] - node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:125] - node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 502:72] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 502:148] - node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 502:30] - buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 502:24] + node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 493:101] + node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:167] + node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 493:138] + node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 493:187] + node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 493:53] + node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 493:16] + node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 492:14] + node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 491:31] + buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 491:25] + node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 494:73] + node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 494:52] + node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 495:46] + node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 496:23] + node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 496:47] + node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 496:27] + node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 495:77] + node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 497:26] + node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 497:54] + node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 497:44] + node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 497:42] + node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 497:58] + node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 497:94] + node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 497:74] + node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 496:71] + node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 495:25] + node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 494:105] + buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 494:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 498:29] + node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 499:49] + node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 499:70] + buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 499:25] + node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 500:47] + node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:62] + buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 500:24] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:48] + node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 501:111] + node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 501:91] + node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 502:42] + node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 502:31] + node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 502:66] + node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 502:46] + node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 501:143] + node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 503:32] + node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:74] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 503:53] + node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 502:88] + node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 501:68] + buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 501:25] + node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 504:50] + node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 504:48] + node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 504:84] + node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 504:102] + node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:125] + node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 504:72] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 504:148] + node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 504:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 504:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4164 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4164 : @[Conditional.scala 39:67] - node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] - node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 505:86] - node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 505:101] - node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 505:101] - node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 505:90] - node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 505:118] - node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 505:75] - node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 505:31] - buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 505:25] - node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 506:66] - node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 507:21] - node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 507:21] - node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 507:58] - node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 507:38] - node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 506:95] - node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 506:45] - buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 506:29] - node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] - node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] - buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 508:25] + node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] + node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 507:86] + node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 507:101] + node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 507:101] + node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 507:90] + node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 507:118] + node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 507:75] + node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 507:31] + buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 507:25] + node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 509:21] + node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 509:21] + node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 509:58] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 509:38] + node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 508:95] + node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 508:45] + buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 508:29] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] + node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] + buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4182 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4182 : @[Conditional.scala 39:67] - node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 511:25] - node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 512:37] - node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 512:98] - node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 512:80] - node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 512:65] - node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:112] - buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 512:25] + node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 513:25] + node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 514:37] + node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 514:98] + node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 514:80] + node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 514:65] + node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 514:112] + buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 514:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4190 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4190 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 515:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 516:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 519:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 517:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 518:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 520:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 521:25] skip @[Conditional.scala 39:67] - node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:108] + node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 524:108] reg _T_4192 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4191 : @[Reg.scala 28:19] _T_4192 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 522:18] - reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 523:60] - _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 523:60] - buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 523:17] - reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 524:63] - _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 524:63] - buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 524:20] - node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:109] + buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 524:18] + reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 525:60] + _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 525:60] + buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 525:17] + reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:63] + _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 526:63] + buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 526:20] + node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:109] reg _T_4196 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4195 : @[Reg.scala 28:19] _T_4196 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 525:20] - node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 526:74] - node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:107] + buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:74] + node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:107] reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4198 : @[Reg.scala 28:19] _T_4199 <= _T_4197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 526:17] - node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:78] - node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:111] + buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 528:17] + node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:78] + node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4201 : @[Reg.scala 28:19] _T_4202 <= _T_4200 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 527:19] - node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:80] - node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:113] + buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 529:19] + node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 530:80] + node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:113] reg _T_4205 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4204 : @[Reg.scala 28:19] _T_4205 <= _T_4203 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 528:20] - node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:78] - node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:111] + buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 530:20] + node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 531:78] + node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:111] reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4207 : @[Reg.scala 28:19] _T_4208 <= _T_4206 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 529:19] - node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 531:19] + node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:133] reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4209 : @[Reg.scala 28:19] _T_4210 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:133] reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4211 : @[Reg.scala 28:19] _T_4212 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:133] reg _T_4214 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4213 : @[Reg.scala 28:19] _T_4214 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:133] + node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:133] reg _T_4216 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4215 : @[Reg.scala 28:19] _T_4216 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5486,51 +5486,51 @@ circuit el2_lsu_bus_buffer : node _T_4217 = cat(_T_4216, _T_4214) @[Cat.scala 29:58] node _T_4218 = cat(_T_4217, _T_4212) @[Cat.scala 29:58] node _T_4219 = cat(_T_4218, _T_4210) @[Cat.scala 29:58] - buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 532:15] - node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 534:15] + node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:134] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] _T_4221 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:134] reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4222 : @[Reg.scala 28:19] _T_4223 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:134] reg _T_4225 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4224 : @[Reg.scala 28:19] _T_4225 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:134] + node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:134] reg _T_4227 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4226 : @[Reg.scala 28:19] _T_4227 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 533:18] - buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 533:18] - buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 533:18] - buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 533:18] - node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 534:107] - node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] + buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 535:18] + buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 535:18] + buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 535:18] + buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 535:18] + node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 536:107] + node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:140] reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4229 : @[Reg.scala 28:19] _T_4230 <= _T_4228 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 534:107] - node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] + node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 536:107] + node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:140] reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= _T_4231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 534:107] - node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] + node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 536:107] + node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:140] reg _T_4236 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4235 : @[Reg.scala 28:19] _T_4236 <= _T_4234 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 534:107] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:140] + node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 536:107] + node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:140] reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4238 : @[Reg.scala 28:19] _T_4239 <= _T_4237 @[Reg.scala 28:23] @@ -5538,27 +5538,27 @@ circuit el2_lsu_bus_buffer : node _T_4240 = cat(_T_4239, _T_4236) @[Cat.scala 29:58] node _T_4241 = cat(_T_4240, _T_4233) @[Cat.scala 29:58] node _T_4242 = cat(_T_4241, _T_4230) @[Cat.scala 29:58] - buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 534:20] - node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 535:99] - node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] + buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 536:20] + node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:99] + node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:132] reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4244 : @[Reg.scala 28:19] _T_4245 <= _T_4243 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 535:99] - node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] + node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:99] + node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:132] reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4247 : @[Reg.scala 28:19] _T_4248 <= _T_4246 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 535:99] - node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] + node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:99] + node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:132] reg _T_4251 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4250 : @[Reg.scala 28:19] _T_4251 <= _T_4249 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 535:99] - node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:132] + node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:99] + node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:132] reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4253 : @[Reg.scala 28:19] _T_4254 <= _T_4252 @[Reg.scala 28:23] @@ -5566,27 +5566,27 @@ circuit el2_lsu_bus_buffer : node _T_4255 = cat(_T_4254, _T_4251) @[Cat.scala 29:58] node _T_4256 = cat(_T_4255, _T_4248) @[Cat.scala 29:58] node _T_4257 = cat(_T_4256, _T_4245) @[Cat.scala 29:58] - buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 535:16] - node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 536:97] - node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] + buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 537:16] + node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 538:97] + node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:130] reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4259 : @[Reg.scala 28:19] _T_4260 <= _T_4258 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 536:97] - node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] + node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 538:97] + node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:130] reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4262 : @[Reg.scala 28:19] _T_4263 <= _T_4261 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 536:97] - node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] + node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 538:97] + node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:130] reg _T_4266 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4265 : @[Reg.scala 28:19] _T_4266 <= _T_4264 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 536:97] - node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:130] + node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 538:97] + node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:130] reg _T_4269 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4268 : @[Reg.scala 28:19] _T_4269 <= _T_4267 @[Reg.scala 28:23] @@ -5594,32 +5594,32 @@ circuit el2_lsu_bus_buffer : node _T_4270 = cat(_T_4269, _T_4266) @[Cat.scala 29:58] node _T_4271 = cat(_T_4270, _T_4263) @[Cat.scala 29:58] node _T_4272 = cat(_T_4271, _T_4260) @[Cat.scala 29:58] - buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 536:15] - node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 538:15] + node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:119] reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4273 : @[Reg.scala 28:19] _T_4274 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:119] reg _T_4276 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4275 : @[Reg.scala 28:19] _T_4276 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:119] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:119] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:119] reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4279 : @[Reg.scala 28:19] _T_4280 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 537:12] - buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 537:12] - buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 537:12] - buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 537:12] - node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] + buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 539:12] + buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 539:12] + buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 539:12] + buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 539:12] + node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5628,7 +5628,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4282 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4282 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] + node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5637,7 +5637,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4284 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4284 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] + node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5646,7 +5646,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4286 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4286 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:82] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5655,34 +5655,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4288 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4288 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 538:14] - buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 538:14] - buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 538:14] - buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 538:14] - node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 540:14] + buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 540:14] + buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 540:14] + buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 540:14] + node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 541:127] reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4289 : @[Reg.scala 28:19] _T_4290 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 541:127] reg _T_4292 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4291 : @[Reg.scala 28:19] _T_4292 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 541:127] reg _T_4294 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:127] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 541:127] reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 539:16] - buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 539:16] - buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 539:16] - buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 539:16] + buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 541:16] + buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 541:16] + buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 541:16] + buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 541:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5715,167 +5715,167 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4300 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4300 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 540:14] - buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 540:14] - buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 540:14] - buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 540:14] - node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 541:121] - node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 541:86] - node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] - node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 541:126] - reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] - _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 541:82] - node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 541:121] - node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 541:86] - node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] - node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 541:126] - reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] - _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 541:82] - node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 541:121] - node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 541:86] - node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] - node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 541:126] - reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] - _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 541:82] - node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 541:121] - node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 541:86] - node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 541:128] - node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 541:126] - reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 541:82] - _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 541:82] + buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 542:14] + buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 542:14] + buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 542:14] + buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 542:14] + node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 543:121] + node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 543:86] + node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:128] + node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 543:126] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 543:82] + _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 543:82] + node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 543:121] + node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 543:86] + node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:128] + node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 543:126] + reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 543:82] + _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 543:82] + node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 543:121] + node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 543:86] + node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:128] + node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 543:126] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 543:82] + _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 543:82] + node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 543:121] + node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 543:86] + node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:128] + node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 543:126] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 543:82] + _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 543:82] node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] node _T_4322 = cat(_T_4321, _T_4310) @[Cat.scala 29:58] node _T_4323 = cat(_T_4322, _T_4305) @[Cat.scala 29:58] - buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 541:15] - node _T_4324 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] - node _T_4325 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] - node _T_4326 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] - node _T_4327 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 543:60] - node _T_4328 = add(_T_4327, _T_4326) @[el2_lsu_bus_buffer.scala 543:96] - node _T_4329 = add(_T_4328, _T_4325) @[el2_lsu_bus_buffer.scala 543:96] - node buf_numvld_any = add(_T_4329, _T_4324) @[el2_lsu_bus_buffer.scala 543:96] - node _T_4330 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4331 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] - node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 544:64] - node _T_4333 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] - node _T_4334 = and(_T_4332, _T_4333) @[el2_lsu_bus_buffer.scala 544:89] - node _T_4335 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4336 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] - node _T_4337 = and(_T_4335, _T_4336) @[el2_lsu_bus_buffer.scala 544:64] - node _T_4338 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] - node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 544:89] - node _T_4340 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4341 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] - node _T_4342 = and(_T_4340, _T_4341) @[el2_lsu_bus_buffer.scala 544:64] - node _T_4343 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] - node _T_4344 = and(_T_4342, _T_4343) @[el2_lsu_bus_buffer.scala 544:89] - node _T_4345 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 544:60] - node _T_4346 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 544:79] - node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 544:64] - node _T_4348 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:91] - node _T_4349 = and(_T_4347, _T_4348) @[el2_lsu_bus_buffer.scala 544:89] - node _T_4350 = add(_T_4349, _T_4344) @[el2_lsu_bus_buffer.scala 544:142] - node _T_4351 = add(_T_4350, _T_4339) @[el2_lsu_bus_buffer.scala 544:142] - node _T_4352 = add(_T_4351, _T_4334) @[el2_lsu_bus_buffer.scala 544:142] - buf_numvld_wrcmd_any <= _T_4352 @[el2_lsu_bus_buffer.scala 544:24] - node _T_4353 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] - node _T_4354 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] - node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 545:73] - node _T_4356 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] - node _T_4357 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] - node _T_4358 = and(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 545:73] - node _T_4359 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] - node _T_4360 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] - node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 545:73] - node _T_4362 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 545:63] - node _T_4363 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:75] - node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 545:73] - node _T_4365 = add(_T_4364, _T_4361) @[el2_lsu_bus_buffer.scala 545:126] - node _T_4366 = add(_T_4365, _T_4358) @[el2_lsu_bus_buffer.scala 545:126] - node _T_4367 = add(_T_4366, _T_4355) @[el2_lsu_bus_buffer.scala 545:126] - buf_numvld_cmd_any <= _T_4367 @[el2_lsu_bus_buffer.scala 545:22] - node _T_4368 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] - node _T_4369 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:90] - node _T_4370 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] - node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 546:100] - node _T_4372 = or(_T_4368, _T_4371) @[el2_lsu_bus_buffer.scala 546:74] - node _T_4373 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] - node _T_4374 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:90] - node _T_4375 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] - node _T_4376 = and(_T_4374, _T_4375) @[el2_lsu_bus_buffer.scala 546:100] - node _T_4377 = or(_T_4373, _T_4376) @[el2_lsu_bus_buffer.scala 546:74] - node _T_4378 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] - node _T_4379 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:90] - node _T_4380 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] - node _T_4381 = and(_T_4379, _T_4380) @[el2_lsu_bus_buffer.scala 546:100] - node _T_4382 = or(_T_4378, _T_4381) @[el2_lsu_bus_buffer.scala 546:74] - node _T_4383 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 546:63] - node _T_4384 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:90] - node _T_4385 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:102] - node _T_4386 = and(_T_4384, _T_4385) @[el2_lsu_bus_buffer.scala 546:100] - node _T_4387 = or(_T_4383, _T_4386) @[el2_lsu_bus_buffer.scala 546:74] - node _T_4388 = add(_T_4387, _T_4382) @[el2_lsu_bus_buffer.scala 546:154] - node _T_4389 = add(_T_4388, _T_4377) @[el2_lsu_bus_buffer.scala 546:154] - node _T_4390 = add(_T_4389, _T_4372) @[el2_lsu_bus_buffer.scala 546:154] - buf_numvld_pend_any <= _T_4390 @[el2_lsu_bus_buffer.scala 546:23] - node _T_4391 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] - node _T_4392 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] - node _T_4393 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] - node _T_4394 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 547:61] - node _T_4395 = or(_T_4394, _T_4393) @[el2_lsu_bus_buffer.scala 547:93] - node _T_4396 = or(_T_4395, _T_4392) @[el2_lsu_bus_buffer.scala 547:93] - node _T_4397 = or(_T_4396, _T_4391) @[el2_lsu_bus_buffer.scala 547:93] - any_done_wait_state <= _T_4397 @[el2_lsu_bus_buffer.scala 547:23] - node _T_4398 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 548:53] - io.lsu_bus_buffer_pend_any <= _T_4398 @[el2_lsu_bus_buffer.scala 548:30] - node _T_4399 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 549:52] - node _T_4400 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:92] - node _T_4401 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 549:119] - node _T_4402 = mux(_T_4399, _T_4400, _T_4401) @[el2_lsu_bus_buffer.scala 549:36] - io.lsu_bus_buffer_full_any <= _T_4402 @[el2_lsu_bus_buffer.scala 549:30] - node _T_4403 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 550:52] - node _T_4404 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 550:52] - node _T_4405 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 550:52] - node _T_4406 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 550:52] - node _T_4407 = or(_T_4403, _T_4404) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4408 = or(_T_4407, _T_4405) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4409 = or(_T_4408, _T_4406) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4410 = eq(_T_4409, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:34] - node _T_4411 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:72] - node _T_4412 = and(_T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 550:70] - node _T_4413 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:86] - node _T_4414 = and(_T_4412, _T_4413) @[el2_lsu_bus_buffer.scala 550:84] - io.lsu_bus_buffer_empty_any <= _T_4414 @[el2_lsu_bus_buffer.scala 550:31] - node _T_4415 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 552:51] - node _T_4416 = and(_T_4415, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 552:72] - node _T_4417 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:94] - node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4419 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:111] - node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 552:109] - io.lsu_nonblock_load_valid_m <= _T_4420 @[el2_lsu_bus_buffer.scala 552:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 553:30] + buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 543:15] + node _T_4324 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4325 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4326 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4327 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 545:60] + node _T_4328 = add(_T_4327, _T_4326) @[el2_lsu_bus_buffer.scala 545:96] + node _T_4329 = add(_T_4328, _T_4325) @[el2_lsu_bus_buffer.scala 545:96] + node buf_numvld_any = add(_T_4329, _T_4324) @[el2_lsu_bus_buffer.scala 545:96] + node _T_4330 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 546:60] + node _T_4331 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:79] + node _T_4332 = and(_T_4330, _T_4331) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4333 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:91] + node _T_4334 = and(_T_4332, _T_4333) @[el2_lsu_bus_buffer.scala 546:89] + node _T_4335 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 546:60] + node _T_4336 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:79] + node _T_4337 = and(_T_4335, _T_4336) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4338 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:91] + node _T_4339 = and(_T_4337, _T_4338) @[el2_lsu_bus_buffer.scala 546:89] + node _T_4340 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 546:60] + node _T_4341 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:79] + node _T_4342 = and(_T_4340, _T_4341) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4343 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:91] + node _T_4344 = and(_T_4342, _T_4343) @[el2_lsu_bus_buffer.scala 546:89] + node _T_4345 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 546:60] + node _T_4346 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 546:79] + node _T_4347 = and(_T_4345, _T_4346) @[el2_lsu_bus_buffer.scala 546:64] + node _T_4348 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 546:91] + node _T_4349 = and(_T_4347, _T_4348) @[el2_lsu_bus_buffer.scala 546:89] + node _T_4350 = add(_T_4349, _T_4344) @[el2_lsu_bus_buffer.scala 546:142] + node _T_4351 = add(_T_4350, _T_4339) @[el2_lsu_bus_buffer.scala 546:142] + node _T_4352 = add(_T_4351, _T_4334) @[el2_lsu_bus_buffer.scala 546:142] + buf_numvld_wrcmd_any <= _T_4352 @[el2_lsu_bus_buffer.scala 546:24] + node _T_4353 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:63] + node _T_4354 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:75] + node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 547:73] + node _T_4356 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:63] + node _T_4357 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:75] + node _T_4358 = and(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 547:73] + node _T_4359 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:63] + node _T_4360 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:75] + node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 547:73] + node _T_4362 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 547:63] + node _T_4363 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 547:75] + node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 547:73] + node _T_4365 = add(_T_4364, _T_4361) @[el2_lsu_bus_buffer.scala 547:126] + node _T_4366 = add(_T_4365, _T_4358) @[el2_lsu_bus_buffer.scala 547:126] + node _T_4367 = add(_T_4366, _T_4355) @[el2_lsu_bus_buffer.scala 547:126] + buf_numvld_cmd_any <= _T_4367 @[el2_lsu_bus_buffer.scala 547:22] + node _T_4368 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 548:63] + node _T_4369 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:90] + node _T_4370 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:102] + node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 548:100] + node _T_4372 = or(_T_4368, _T_4371) @[el2_lsu_bus_buffer.scala 548:74] + node _T_4373 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 548:63] + node _T_4374 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:90] + node _T_4375 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:102] + node _T_4376 = and(_T_4374, _T_4375) @[el2_lsu_bus_buffer.scala 548:100] + node _T_4377 = or(_T_4373, _T_4376) @[el2_lsu_bus_buffer.scala 548:74] + node _T_4378 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 548:63] + node _T_4379 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:90] + node _T_4380 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:102] + node _T_4381 = and(_T_4379, _T_4380) @[el2_lsu_bus_buffer.scala 548:100] + node _T_4382 = or(_T_4378, _T_4381) @[el2_lsu_bus_buffer.scala 548:74] + node _T_4383 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 548:63] + node _T_4384 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:90] + node _T_4385 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:102] + node _T_4386 = and(_T_4384, _T_4385) @[el2_lsu_bus_buffer.scala 548:100] + node _T_4387 = or(_T_4383, _T_4386) @[el2_lsu_bus_buffer.scala 548:74] + node _T_4388 = add(_T_4387, _T_4382) @[el2_lsu_bus_buffer.scala 548:154] + node _T_4389 = add(_T_4388, _T_4377) @[el2_lsu_bus_buffer.scala 548:154] + node _T_4390 = add(_T_4389, _T_4372) @[el2_lsu_bus_buffer.scala 548:154] + buf_numvld_pend_any <= _T_4390 @[el2_lsu_bus_buffer.scala 548:23] + node _T_4391 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 549:61] + node _T_4392 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 549:61] + node _T_4393 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 549:61] + node _T_4394 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 549:61] + node _T_4395 = or(_T_4394, _T_4393) @[el2_lsu_bus_buffer.scala 549:93] + node _T_4396 = or(_T_4395, _T_4392) @[el2_lsu_bus_buffer.scala 549:93] + node _T_4397 = or(_T_4396, _T_4391) @[el2_lsu_bus_buffer.scala 549:93] + any_done_wait_state <= _T_4397 @[el2_lsu_bus_buffer.scala 549:23] + node _T_4398 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 550:53] + io.lsu_bus_buffer_pend_any <= _T_4398 @[el2_lsu_bus_buffer.scala 550:30] + node _T_4399 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 551:52] + node _T_4400 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 551:92] + node _T_4401 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 551:119] + node _T_4402 = mux(_T_4399, _T_4400, _T_4401) @[el2_lsu_bus_buffer.scala 551:36] + io.lsu_bus_buffer_full_any <= _T_4402 @[el2_lsu_bus_buffer.scala 551:30] + node _T_4403 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 552:52] + node _T_4404 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 552:52] + node _T_4405 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 552:52] + node _T_4406 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 552:52] + node _T_4407 = or(_T_4403, _T_4404) @[el2_lsu_bus_buffer.scala 552:65] + node _T_4408 = or(_T_4407, _T_4405) @[el2_lsu_bus_buffer.scala 552:65] + node _T_4409 = or(_T_4408, _T_4406) @[el2_lsu_bus_buffer.scala 552:65] + node _T_4410 = eq(_T_4409, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:34] + node _T_4411 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:72] + node _T_4412 = and(_T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 552:70] + node _T_4413 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:86] + node _T_4414 = and(_T_4412, _T_4413) @[el2_lsu_bus_buffer.scala 552:84] + io.lsu_bus_buffer_empty_any <= _T_4414 @[el2_lsu_bus_buffer.scala 552:31] + node _T_4415 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 554:51] + node _T_4416 = and(_T_4415, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 554:72] + node _T_4417 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:94] + node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 554:92] + node _T_4419 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:111] + node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 554:109] + io.lsu_nonblock_load_valid_m <= _T_4420 @[el2_lsu_bus_buffer.scala 554:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 555:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4421 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:61] - node _T_4422 = and(lsu_nonblock_load_valid_r, _T_4421) @[el2_lsu_bus_buffer.scala 555:59] - io.lsu_nonblock_load_inv_r <= _T_4422 @[el2_lsu_bus_buffer.scala 555:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 556:34] - node _T_4423 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4424 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 557:127] - node _T_4425 = and(UInt<1>("h01"), _T_4424) @[el2_lsu_bus_buffer.scala 557:116] - node _T_4426 = eq(_T_4425, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] - node _T_4427 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4428 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 557:127] - node _T_4429 = and(UInt<1>("h01"), _T_4428) @[el2_lsu_bus_buffer.scala 557:116] - node _T_4430 = eq(_T_4429, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] - node _T_4431 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4432 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 557:127] - node _T_4433 = and(UInt<1>("h01"), _T_4432) @[el2_lsu_bus_buffer.scala 557:116] - node _T_4434 = eq(_T_4433, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] - node _T_4435 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4436 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 557:127] - node _T_4437 = and(UInt<1>("h01"), _T_4436) @[el2_lsu_bus_buffer.scala 557:116] - node _T_4438 = eq(_T_4437, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:95] + node _T_4421 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:61] + node _T_4422 = and(lsu_nonblock_load_valid_r, _T_4421) @[el2_lsu_bus_buffer.scala 557:59] + io.lsu_nonblock_load_inv_r <= _T_4422 @[el2_lsu_bus_buffer.scala 557:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 558:34] + node _T_4423 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:80] + node _T_4424 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 559:127] + node _T_4425 = and(UInt<1>("h01"), _T_4424) @[el2_lsu_bus_buffer.scala 559:116] + node _T_4426 = eq(_T_4425, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:95] + node _T_4427 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:80] + node _T_4428 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 559:127] + node _T_4429 = and(UInt<1>("h01"), _T_4428) @[el2_lsu_bus_buffer.scala 559:116] + node _T_4430 = eq(_T_4429, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:95] + node _T_4431 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:80] + node _T_4432 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 559:127] + node _T_4433 = and(UInt<1>("h01"), _T_4432) @[el2_lsu_bus_buffer.scala 559:116] + node _T_4434 = eq(_T_4433, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:95] + node _T_4435 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:80] + node _T_4436 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 559:127] + node _T_4437 = and(UInt<1>("h01"), _T_4436) @[el2_lsu_bus_buffer.scala 559:116] + node _T_4438 = eq(_T_4437, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:95] node _T_4439 = mux(_T_4423, _T_4426, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4440 = mux(_T_4427, _T_4430, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4441 = mux(_T_4431, _T_4434, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5885,26 +5885,26 @@ circuit el2_lsu_bus_buffer : node _T_4445 = or(_T_4444, _T_4442) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4445 @[Mux.scala 27:72] - node _T_4446 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4447 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 558:104] - node _T_4448 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4449 = eq(_T_4448, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] - node _T_4450 = and(_T_4447, _T_4449) @[el2_lsu_bus_buffer.scala 558:108] - node _T_4451 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4452 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 558:104] - node _T_4453 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4454 = eq(_T_4453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] - node _T_4455 = and(_T_4452, _T_4454) @[el2_lsu_bus_buffer.scala 558:108] - node _T_4456 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4457 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 558:104] - node _T_4458 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] - node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 558:108] - node _T_4461 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 558:80] - node _T_4462 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 558:104] - node _T_4463 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 558:120] - node _T_4464 = eq(_T_4463, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:110] - node _T_4465 = and(_T_4462, _T_4464) @[el2_lsu_bus_buffer.scala 558:108] + node _T_4446 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] + node _T_4447 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 560:104] + node _T_4448 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4449 = eq(_T_4448, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:110] + node _T_4450 = and(_T_4447, _T_4449) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4451 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] + node _T_4452 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 560:104] + node _T_4453 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4454 = eq(_T_4453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:110] + node _T_4455 = and(_T_4452, _T_4454) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4456 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] + node _T_4457 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 560:104] + node _T_4458 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4459 = eq(_T_4458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:110] + node _T_4460 = and(_T_4457, _T_4459) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4461 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:80] + node _T_4462 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 560:104] + node _T_4463 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:120] + node _T_4464 = eq(_T_4463, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:110] + node _T_4465 = and(_T_4462, _T_4464) @[el2_lsu_bus_buffer.scala 560:108] node _T_4466 = mux(_T_4446, _T_4450, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4467 = mux(_T_4451, _T_4455, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4468 = mux(_T_4456, _T_4460, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5914,39 +5914,39 @@ circuit el2_lsu_bus_buffer : node _T_4472 = or(_T_4471, _T_4469) @[Mux.scala 27:72] wire _T_4473 : UInt<1> @[Mux.scala 27:72] _T_4473 <= _T_4472 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4473 @[el2_lsu_bus_buffer.scala 558:35] - node _T_4474 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] - node _T_4475 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 559:102] - node _T_4476 = eq(_T_4475, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] - node _T_4477 = and(_T_4474, _T_4476) @[el2_lsu_bus_buffer.scala 559:90] - node _T_4478 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] - node _T_4479 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] - node _T_4480 = or(_T_4478, _T_4479) @[el2_lsu_bus_buffer.scala 559:122] - node _T_4481 = and(_T_4477, _T_4480) @[el2_lsu_bus_buffer.scala 559:106] - node _T_4482 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] - node _T_4483 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 559:102] - node _T_4484 = eq(_T_4483, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] - node _T_4485 = and(_T_4482, _T_4484) @[el2_lsu_bus_buffer.scala 559:90] - node _T_4486 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] - node _T_4487 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] - node _T_4488 = or(_T_4486, _T_4487) @[el2_lsu_bus_buffer.scala 559:122] - node _T_4489 = and(_T_4485, _T_4488) @[el2_lsu_bus_buffer.scala 559:106] - node _T_4490 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] - node _T_4491 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 559:102] - node _T_4492 = eq(_T_4491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] - node _T_4493 = and(_T_4490, _T_4492) @[el2_lsu_bus_buffer.scala 559:90] - node _T_4494 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] - node _T_4495 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] - node _T_4496 = or(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 559:122] - node _T_4497 = and(_T_4493, _T_4496) @[el2_lsu_bus_buffer.scala 559:106] - node _T_4498 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 559:79] - node _T_4499 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 559:102] - node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:92] - node _T_4501 = and(_T_4498, _T_4500) @[el2_lsu_bus_buffer.scala 559:90] - node _T_4502 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:109] - node _T_4503 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:124] - node _T_4504 = or(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 559:122] - node _T_4505 = and(_T_4501, _T_4504) @[el2_lsu_bus_buffer.scala 559:106] + io.lsu_nonblock_load_data_error <= _T_4473 @[el2_lsu_bus_buffer.scala 560:35] + node _T_4474 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:79] + node _T_4475 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:102] + node _T_4476 = eq(_T_4475, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:92] + node _T_4477 = and(_T_4474, _T_4476) @[el2_lsu_bus_buffer.scala 561:90] + node _T_4478 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:109] + node _T_4479 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:124] + node _T_4480 = or(_T_4478, _T_4479) @[el2_lsu_bus_buffer.scala 561:122] + node _T_4481 = and(_T_4477, _T_4480) @[el2_lsu_bus_buffer.scala 561:106] + node _T_4482 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:79] + node _T_4483 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:102] + node _T_4484 = eq(_T_4483, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:92] + node _T_4485 = and(_T_4482, _T_4484) @[el2_lsu_bus_buffer.scala 561:90] + node _T_4486 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:109] + node _T_4487 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:124] + node _T_4488 = or(_T_4486, _T_4487) @[el2_lsu_bus_buffer.scala 561:122] + node _T_4489 = and(_T_4485, _T_4488) @[el2_lsu_bus_buffer.scala 561:106] + node _T_4490 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:79] + node _T_4491 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:102] + node _T_4492 = eq(_T_4491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:92] + node _T_4493 = and(_T_4490, _T_4492) @[el2_lsu_bus_buffer.scala 561:90] + node _T_4494 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:109] + node _T_4495 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:124] + node _T_4496 = or(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 561:122] + node _T_4497 = and(_T_4493, _T_4496) @[el2_lsu_bus_buffer.scala 561:106] + node _T_4498 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:79] + node _T_4499 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:102] + node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:92] + node _T_4501 = and(_T_4498, _T_4500) @[el2_lsu_bus_buffer.scala 561:90] + node _T_4502 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:109] + node _T_4503 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:124] + node _T_4504 = or(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 561:122] + node _T_4505 = and(_T_4501, _T_4504) @[el2_lsu_bus_buffer.scala 561:106] node _T_4506 = mux(_T_4481, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4507 = mux(_T_4489, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4508 = mux(_T_4497, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -5956,39 +5956,39 @@ circuit el2_lsu_bus_buffer : node _T_4512 = or(_T_4511, _T_4509) @[Mux.scala 27:72] wire _T_4513 : UInt<2> @[Mux.scala 27:72] _T_4513 <= _T_4512 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4513 @[el2_lsu_bus_buffer.scala 559:33] - node _T_4514 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] - node _T_4515 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 560:101] - node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] - node _T_4517 = and(_T_4514, _T_4516) @[el2_lsu_bus_buffer.scala 560:89] - node _T_4518 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4519 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] - node _T_4520 = or(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 560:121] - node _T_4521 = and(_T_4517, _T_4520) @[el2_lsu_bus_buffer.scala 560:105] - node _T_4522 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] - node _T_4523 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 560:101] - node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] - node _T_4525 = and(_T_4522, _T_4524) @[el2_lsu_bus_buffer.scala 560:89] - node _T_4526 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4527 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] - node _T_4528 = or(_T_4526, _T_4527) @[el2_lsu_bus_buffer.scala 560:121] - node _T_4529 = and(_T_4525, _T_4528) @[el2_lsu_bus_buffer.scala 560:105] - node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] - node _T_4531 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 560:101] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] - node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 560:89] - node _T_4534 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4535 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] - node _T_4536 = or(_T_4534, _T_4535) @[el2_lsu_bus_buffer.scala 560:121] - node _T_4537 = and(_T_4533, _T_4536) @[el2_lsu_bus_buffer.scala 560:105] - node _T_4538 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 560:78] - node _T_4539 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 560:101] - node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:91] - node _T_4541 = and(_T_4538, _T_4540) @[el2_lsu_bus_buffer.scala 560:89] - node _T_4542 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:108] - node _T_4543 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:123] - node _T_4544 = or(_T_4542, _T_4543) @[el2_lsu_bus_buffer.scala 560:121] - node _T_4545 = and(_T_4541, _T_4544) @[el2_lsu_bus_buffer.scala 560:105] + io.lsu_nonblock_load_data_tag <= _T_4513 @[el2_lsu_bus_buffer.scala 561:33] + node _T_4514 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:78] + node _T_4515 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 562:101] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:91] + node _T_4517 = and(_T_4514, _T_4516) @[el2_lsu_bus_buffer.scala 562:89] + node _T_4518 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4519 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:123] + node _T_4520 = or(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 562:121] + node _T_4521 = and(_T_4517, _T_4520) @[el2_lsu_bus_buffer.scala 562:105] + node _T_4522 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:78] + node _T_4523 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 562:101] + node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:91] + node _T_4525 = and(_T_4522, _T_4524) @[el2_lsu_bus_buffer.scala 562:89] + node _T_4526 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4527 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:123] + node _T_4528 = or(_T_4526, _T_4527) @[el2_lsu_bus_buffer.scala 562:121] + node _T_4529 = and(_T_4525, _T_4528) @[el2_lsu_bus_buffer.scala 562:105] + node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:78] + node _T_4531 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 562:101] + node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:91] + node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 562:89] + node _T_4534 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4535 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:123] + node _T_4536 = or(_T_4534, _T_4535) @[el2_lsu_bus_buffer.scala 562:121] + node _T_4537 = and(_T_4533, _T_4536) @[el2_lsu_bus_buffer.scala 562:105] + node _T_4538 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:78] + node _T_4539 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 562:101] + node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:91] + node _T_4541 = and(_T_4538, _T_4540) @[el2_lsu_bus_buffer.scala 562:89] + node _T_4542 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4543 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:123] + node _T_4544 = or(_T_4542, _T_4543) @[el2_lsu_bus_buffer.scala 562:121] + node _T_4545 = and(_T_4541, _T_4544) @[el2_lsu_bus_buffer.scala 562:105] node _T_4546 = mux(_T_4521, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4547 = mux(_T_4529, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4548 = mux(_T_4537, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -5998,30 +5998,30 @@ circuit el2_lsu_bus_buffer : node _T_4552 = or(_T_4551, _T_4549) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4552 @[Mux.scala 27:72] - node _T_4553 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] - node _T_4554 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:101] - node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] - node _T_4556 = and(_T_4553, _T_4555) @[el2_lsu_bus_buffer.scala 561:89] - node _T_4557 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4558 = and(_T_4556, _T_4557) @[el2_lsu_bus_buffer.scala 561:105] - node _T_4559 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] - node _T_4560 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:101] - node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] - node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 561:89] - node _T_4563 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 561:105] - node _T_4565 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] - node _T_4566 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:101] - node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] - node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 561:89] - node _T_4569 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 561:105] - node _T_4571 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:78] - node _T_4572 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:101] - node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:91] - node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 561:89] - node _T_4575 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 561:120] - node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 561:105] + node _T_4553 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4554 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 563:101] + node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] + node _T_4556 = and(_T_4553, _T_4555) @[el2_lsu_bus_buffer.scala 563:89] + node _T_4557 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 563:120] + node _T_4558 = and(_T_4556, _T_4557) @[el2_lsu_bus_buffer.scala 563:105] + node _T_4559 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4560 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 563:101] + node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] + node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 563:89] + node _T_4563 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 563:120] + node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 563:105] + node _T_4565 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4566 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 563:101] + node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] + node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 563:89] + node _T_4569 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 563:120] + node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 563:105] + node _T_4571 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4572 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 563:101] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:91] + node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 563:89] + node _T_4575 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 563:120] + node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 563:105] node _T_4577 = mux(_T_4558, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4578 = mux(_T_4564, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4579 = mux(_T_4570, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6031,10 +6031,10 @@ circuit el2_lsu_bus_buffer : node _T_4583 = or(_T_4582, _T_4580) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_hi <= _T_4583 @[Mux.scala 27:72] - node _T_4584 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4585 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4586 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4587 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4584 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4585 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4586 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4587 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_4588 = mux(_T_4584, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4589 = mux(_T_4585, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4590 = mux(_T_4586, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6044,11 +6044,11 @@ circuit el2_lsu_bus_buffer : node _T_4594 = or(_T_4593, _T_4591) @[Mux.scala 27:72] wire _T_4595 : UInt<32> @[Mux.scala 27:72] _T_4595 <= _T_4594 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4595, 1, 0) @[el2_lsu_bus_buffer.scala 562:83] - node _T_4596 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4597 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4598 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4599 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node lsu_nonblock_addr_offset = bits(_T_4595, 1, 0) @[el2_lsu_bus_buffer.scala 564:83] + node _T_4596 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4597 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4598 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4599 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_4600 = mux(_T_4596, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4601 = mux(_T_4597, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4602 = mux(_T_4598, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6058,14 +6058,14 @@ circuit el2_lsu_bus_buffer : node _T_4606 = or(_T_4605, _T_4603) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] lsu_nonblock_sz <= _T_4606 @[Mux.scala 27:72] - node _T_4607 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4608 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4609 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4610 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4611 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4612 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4614 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4607 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4608 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4609 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4610 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4611 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4612 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4614 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_4615 = mux(_T_4607, _T_4608, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4616 = mux(_T_4609, _T_4610, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4617 = mux(_T_4611, _T_4612, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6078,14 +6078,14 @@ circuit el2_lsu_bus_buffer : node _T_4622 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_4623 = cat(_T_4622, buf_dual[1]) @[Cat.scala 29:58] node _T_4624 = cat(_T_4623, buf_dual[0]) @[Cat.scala 29:58] - node _T_4625 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4626 = bits(_T_4624, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4627 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4628 = bits(_T_4624, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4629 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4630 = bits(_T_4624, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4632 = bits(_T_4624, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4625 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4626 = bits(_T_4624, 0, 0) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4627 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4628 = bits(_T_4624, 1, 1) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4629 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4630 = bits(_T_4624, 2, 2) @[el2_lsu_bus_buffer.scala 112:129] + node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 112:118] + node _T_4632 = bits(_T_4624, 3, 3) @[el2_lsu_bus_buffer.scala 112:129] node _T_4633 = mux(_T_4625, _T_4626, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4634 = mux(_T_4627, _T_4628, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4635 = mux(_T_4629, _T_4630, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6096,36 +6096,38 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4639 @[Mux.scala 27:72] node _T_4640 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4641 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 566:121] - node lsu_nonblock_data_unalgn = dshr(_T_4640, _T_4641) @[el2_lsu_bus_buffer.scala 566:92] - node _T_4642 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:69] - node _T_4643 = and(lsu_nonblock_load_data_ready, _T_4642) @[el2_lsu_bus_buffer.scala 567:67] - io.lsu_nonblock_load_data_valid <= _T_4643 @[el2_lsu_bus_buffer.scala 567:35] - node _T_4644 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:81] - node _T_4645 = and(lsu_nonblock_unsign, _T_4644) @[el2_lsu_bus_buffer.scala 568:63] - node _T_4646 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 568:131] + node _T_4641 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 568:121] + node lsu_nonblock_data_unalgn = dshr(_T_4640, _T_4641) @[el2_lsu_bus_buffer.scala 568:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 569:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 570:14] + node _T_4642 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:69] + node _T_4643 = and(lsu_nonblock_load_data_ready, _T_4642) @[el2_lsu_bus_buffer.scala 571:67] + io.lsu_nonblock_load_data_valid <= _T_4643 @[el2_lsu_bus_buffer.scala 571:35] + node _T_4644 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:81] + node _T_4645 = and(lsu_nonblock_unsign, _T_4644) @[el2_lsu_bus_buffer.scala 572:63] + node _T_4646 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 572:131] node _T_4647 = cat(UInt<24>("h00"), _T_4646) @[Cat.scala 29:58] - node _T_4648 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 569:45] - node _T_4649 = and(lsu_nonblock_unsign, _T_4648) @[el2_lsu_bus_buffer.scala 569:26] - node _T_4650 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 569:95] + node _T_4648 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 573:45] + node _T_4649 = and(lsu_nonblock_unsign, _T_4648) @[el2_lsu_bus_buffer.scala 573:26] + node _T_4650 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 573:95] node _T_4651 = cat(UInt<16>("h00"), _T_4650) @[Cat.scala 29:58] - node _T_4652 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:6] - node _T_4653 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:45] - node _T_4654 = and(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 570:27] - node _T_4655 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 570:93] + node _T_4652 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:6] + node _T_4653 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:45] + node _T_4654 = and(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 574:27] + node _T_4655 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 574:93] node _T_4656 = bits(_T_4655, 0, 0) @[Bitwise.scala 72:15] node _T_4657 = mux(_T_4656, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4658 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 570:123] + node _T_4658 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 574:123] node _T_4659 = cat(_T_4657, _T_4658) @[Cat.scala 29:58] - node _T_4660 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:6] - node _T_4661 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 571:45] - node _T_4662 = and(_T_4660, _T_4661) @[el2_lsu_bus_buffer.scala 571:27] - node _T_4663 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 571:93] + node _T_4660 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:6] + node _T_4661 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:45] + node _T_4662 = and(_T_4660, _T_4661) @[el2_lsu_bus_buffer.scala 575:27] + node _T_4663 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 575:93] node _T_4664 = bits(_T_4663, 0, 0) @[Bitwise.scala 72:15] node _T_4665 = mux(_T_4664, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4666 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 571:124] + node _T_4666 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 575:124] node _T_4667 = cat(_T_4665, _T_4666) @[Cat.scala 29:58] - node _T_4668 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 572:21] + node _T_4668 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 576:21] node _T_4669 = mux(_T_4645, _T_4647, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4670 = mux(_T_4649, _T_4651, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4671 = mux(_T_4654, _T_4659, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6137,75 +6139,75 @@ circuit el2_lsu_bus_buffer : node _T_4677 = or(_T_4676, _T_4673) @[Mux.scala 27:72] wire _T_4678 : UInt<64> @[Mux.scala 27:72] _T_4678 <= _T_4677 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4678 @[el2_lsu_bus_buffer.scala 568:29] - node _T_4679 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] - node _T_4680 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4681 = and(_T_4679, _T_4680) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4682 = and(_T_4681, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] - node _T_4683 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] - node _T_4684 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4685 = and(_T_4683, _T_4684) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4686 = and(_T_4685, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] - node _T_4687 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] - node _T_4688 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4689 = and(_T_4687, _T_4688) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4690 = and(_T_4689, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] - node _T_4691 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 573:62] - node _T_4692 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 573:89] - node _T_4693 = and(_T_4691, _T_4692) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4694 = and(_T_4693, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 573:93] - node _T_4695 = or(_T_4682, _T_4686) @[el2_lsu_bus_buffer.scala 573:141] - node _T_4696 = or(_T_4695, _T_4690) @[el2_lsu_bus_buffer.scala 573:141] - node _T_4697 = or(_T_4696, _T_4694) @[el2_lsu_bus_buffer.scala 573:141] - bus_sideeffect_pend <= _T_4697 @[el2_lsu_bus_buffer.scala 573:23] - node _T_4698 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] - node _T_4699 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] - node _T_4700 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] - node _T_4701 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] - node _T_4702 = eq(_T_4700, _T_4701) @[el2_lsu_bus_buffer.scala 575:56] - node _T_4703 = and(_T_4699, _T_4702) @[el2_lsu_bus_buffer.scala 575:38] - node _T_4704 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:92] - node _T_4705 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4706 = and(obuf_merge, _T_4705) @[el2_lsu_bus_buffer.scala 575:114] - node _T_4707 = or(_T_4704, _T_4706) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4708 = eq(_T_4707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] - node _T_4709 = and(_T_4703, _T_4708) @[el2_lsu_bus_buffer.scala 575:78] - node _T_4710 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] - node _T_4711 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] - node _T_4712 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] - node _T_4713 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] - node _T_4714 = eq(_T_4712, _T_4713) @[el2_lsu_bus_buffer.scala 575:56] - node _T_4715 = and(_T_4711, _T_4714) @[el2_lsu_bus_buffer.scala 575:38] - node _T_4716 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:92] - node _T_4717 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4718 = and(obuf_merge, _T_4717) @[el2_lsu_bus_buffer.scala 575:114] - node _T_4719 = or(_T_4716, _T_4718) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4720 = eq(_T_4719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] - node _T_4721 = and(_T_4715, _T_4720) @[el2_lsu_bus_buffer.scala 575:78] - node _T_4722 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] - node _T_4723 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] - node _T_4724 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] - node _T_4725 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] - node _T_4726 = eq(_T_4724, _T_4725) @[el2_lsu_bus_buffer.scala 575:56] - node _T_4727 = and(_T_4723, _T_4726) @[el2_lsu_bus_buffer.scala 575:38] - node _T_4728 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:92] - node _T_4729 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4730 = and(obuf_merge, _T_4729) @[el2_lsu_bus_buffer.scala 575:114] - node _T_4731 = or(_T_4728, _T_4730) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4732 = eq(_T_4731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] - node _T_4733 = and(_T_4727, _T_4732) @[el2_lsu_bus_buffer.scala 575:78] - node _T_4734 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 574:71] - node _T_4735 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 575:25] - node _T_4736 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 575:50] - node _T_4737 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 575:70] - node _T_4738 = eq(_T_4736, _T_4737) @[el2_lsu_bus_buffer.scala 575:56] - node _T_4739 = and(_T_4735, _T_4738) @[el2_lsu_bus_buffer.scala 575:38] - node _T_4740 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:92] - node _T_4741 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4742 = and(obuf_merge, _T_4741) @[el2_lsu_bus_buffer.scala 575:114] - node _T_4743 = or(_T_4740, _T_4742) @[el2_lsu_bus_buffer.scala 575:100] - node _T_4744 = eq(_T_4743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:80] - node _T_4745 = and(_T_4739, _T_4744) @[el2_lsu_bus_buffer.scala 575:78] + io.lsu_nonblock_load_data <= _T_4678 @[el2_lsu_bus_buffer.scala 572:29] + node _T_4679 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 577:62] + node _T_4680 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 577:89] + node _T_4681 = and(_T_4679, _T_4680) @[el2_lsu_bus_buffer.scala 577:73] + node _T_4682 = and(_T_4681, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4683 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 577:62] + node _T_4684 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 577:89] + node _T_4685 = and(_T_4683, _T_4684) @[el2_lsu_bus_buffer.scala 577:73] + node _T_4686 = and(_T_4685, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4687 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 577:62] + node _T_4688 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 577:89] + node _T_4689 = and(_T_4687, _T_4688) @[el2_lsu_bus_buffer.scala 577:73] + node _T_4690 = and(_T_4689, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4691 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 577:62] + node _T_4692 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 577:89] + node _T_4693 = and(_T_4691, _T_4692) @[el2_lsu_bus_buffer.scala 577:73] + node _T_4694 = and(_T_4693, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4695 = or(_T_4682, _T_4686) @[el2_lsu_bus_buffer.scala 577:141] + node _T_4696 = or(_T_4695, _T_4690) @[el2_lsu_bus_buffer.scala 577:141] + node _T_4697 = or(_T_4696, _T_4694) @[el2_lsu_bus_buffer.scala 577:141] + bus_sideeffect_pend <= _T_4697 @[el2_lsu_bus_buffer.scala 577:23] + node _T_4698 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:71] + node _T_4699 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 579:25] + node _T_4700 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 579:50] + node _T_4701 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 579:70] + node _T_4702 = eq(_T_4700, _T_4701) @[el2_lsu_bus_buffer.scala 579:56] + node _T_4703 = and(_T_4699, _T_4702) @[el2_lsu_bus_buffer.scala 579:38] + node _T_4704 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:92] + node _T_4705 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:126] + node _T_4706 = and(obuf_merge, _T_4705) @[el2_lsu_bus_buffer.scala 579:114] + node _T_4707 = or(_T_4704, _T_4706) @[el2_lsu_bus_buffer.scala 579:100] + node _T_4708 = eq(_T_4707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:80] + node _T_4709 = and(_T_4703, _T_4708) @[el2_lsu_bus_buffer.scala 579:78] + node _T_4710 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:71] + node _T_4711 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 579:25] + node _T_4712 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 579:50] + node _T_4713 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 579:70] + node _T_4714 = eq(_T_4712, _T_4713) @[el2_lsu_bus_buffer.scala 579:56] + node _T_4715 = and(_T_4711, _T_4714) @[el2_lsu_bus_buffer.scala 579:38] + node _T_4716 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 579:92] + node _T_4717 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 579:126] + node _T_4718 = and(obuf_merge, _T_4717) @[el2_lsu_bus_buffer.scala 579:114] + node _T_4719 = or(_T_4716, _T_4718) @[el2_lsu_bus_buffer.scala 579:100] + node _T_4720 = eq(_T_4719, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:80] + node _T_4721 = and(_T_4715, _T_4720) @[el2_lsu_bus_buffer.scala 579:78] + node _T_4722 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:71] + node _T_4723 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 579:25] + node _T_4724 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 579:50] + node _T_4725 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 579:70] + node _T_4726 = eq(_T_4724, _T_4725) @[el2_lsu_bus_buffer.scala 579:56] + node _T_4727 = and(_T_4723, _T_4726) @[el2_lsu_bus_buffer.scala 579:38] + node _T_4728 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 579:92] + node _T_4729 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 579:126] + node _T_4730 = and(obuf_merge, _T_4729) @[el2_lsu_bus_buffer.scala 579:114] + node _T_4731 = or(_T_4728, _T_4730) @[el2_lsu_bus_buffer.scala 579:100] + node _T_4732 = eq(_T_4731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:80] + node _T_4733 = and(_T_4727, _T_4732) @[el2_lsu_bus_buffer.scala 579:78] + node _T_4734 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 578:71] + node _T_4735 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 579:25] + node _T_4736 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 579:50] + node _T_4737 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 579:70] + node _T_4738 = eq(_T_4736, _T_4737) @[el2_lsu_bus_buffer.scala 579:56] + node _T_4739 = and(_T_4735, _T_4738) @[el2_lsu_bus_buffer.scala 579:38] + node _T_4740 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:92] + node _T_4741 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:126] + node _T_4742 = and(obuf_merge, _T_4741) @[el2_lsu_bus_buffer.scala 579:114] + node _T_4743 = or(_T_4740, _T_4742) @[el2_lsu_bus_buffer.scala 579:100] + node _T_4744 = eq(_T_4743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:80] + node _T_4745 = and(_T_4739, _T_4744) @[el2_lsu_bus_buffer.scala 579:78] node _T_4746 = mux(_T_4698, _T_4709, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4747 = mux(_T_4710, _T_4721, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4748 = mux(_T_4722, _T_4733, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6215,117 +6217,117 @@ circuit el2_lsu_bus_buffer : node _T_4752 = or(_T_4751, _T_4749) @[Mux.scala 27:72] wire _T_4753 : UInt<1> @[Mux.scala 27:72] _T_4753 <= _T_4752 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4753 @[el2_lsu_bus_buffer.scala 574:26] - node _T_4754 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 577:54] - node _T_4755 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:75] - node _T_4756 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 577:150] - node _T_4757 = mux(_T_4754, _T_4755, _T_4756) @[el2_lsu_bus_buffer.scala 577:39] - node _T_4758 = mux(obuf_write, _T_4757, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 577:23] - bus_cmd_ready <= _T_4758 @[el2_lsu_bus_buffer.scala 577:17] - node _T_4759 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 578:39] - bus_wcmd_sent <= _T_4759 @[el2_lsu_bus_buffer.scala 578:17] - node _T_4760 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 579:39] - bus_wdata_sent <= _T_4760 @[el2_lsu_bus_buffer.scala 579:18] - node _T_4761 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 580:35] - node _T_4762 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 580:70] - node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4764 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 580:111] - node _T_4765 = or(_T_4763, _T_4764) @[el2_lsu_bus_buffer.scala 580:89] - bus_cmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 580:16] - node _T_4766 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 581:37] - bus_rsp_read <= _T_4766 @[el2_lsu_bus_buffer.scala 581:16] - node _T_4767 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 582:38] - bus_rsp_write <= _T_4767 @[el2_lsu_bus_buffer.scala 582:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 583:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 584:21] - node _T_4768 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:60] - node _T_4769 = and(bus_rsp_write, _T_4768) @[el2_lsu_bus_buffer.scala 585:40] - bus_rsp_write_error <= _T_4769 @[el2_lsu_bus_buffer.scala 585:23] - node _T_4770 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:58] - node _T_4771 = and(bus_rsp_read, _T_4770) @[el2_lsu_bus_buffer.scala 586:38] - bus_rsp_read_error <= _T_4771 @[el2_lsu_bus_buffer.scala 586:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 587:17] - node _T_4772 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 590:36] - node _T_4773 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:51] - node _T_4774 = and(_T_4772, _T_4773) @[el2_lsu_bus_buffer.scala 590:49] - node _T_4775 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:68] - node _T_4776 = and(_T_4774, _T_4775) @[el2_lsu_bus_buffer.scala 590:66] - io.lsu_axi_awvalid <= _T_4776 @[el2_lsu_bus_buffer.scala 590:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 591:19] - node _T_4777 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 592:69] + bus_addr_match_pending <= _T_4753 @[el2_lsu_bus_buffer.scala 578:26] + node _T_4754 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 581:54] + node _T_4755 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 581:75] + node _T_4756 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 581:150] + node _T_4757 = mux(_T_4754, _T_4755, _T_4756) @[el2_lsu_bus_buffer.scala 581:39] + node _T_4758 = mux(obuf_write, _T_4757, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 581:23] + bus_cmd_ready <= _T_4758 @[el2_lsu_bus_buffer.scala 581:17] + node _T_4759 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 582:39] + bus_wcmd_sent <= _T_4759 @[el2_lsu_bus_buffer.scala 582:17] + node _T_4760 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 583:39] + bus_wdata_sent <= _T_4760 @[el2_lsu_bus_buffer.scala 583:18] + node _T_4761 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 584:35] + node _T_4762 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 584:70] + node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 584:52] + node _T_4764 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 584:111] + node _T_4765 = or(_T_4763, _T_4764) @[el2_lsu_bus_buffer.scala 584:89] + bus_cmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 584:16] + node _T_4766 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 585:37] + bus_rsp_read <= _T_4766 @[el2_lsu_bus_buffer.scala 585:16] + node _T_4767 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 586:38] + bus_rsp_write <= _T_4767 @[el2_lsu_bus_buffer.scala 586:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 587:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 588:21] + node _T_4768 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:60] + node _T_4769 = and(bus_rsp_write, _T_4768) @[el2_lsu_bus_buffer.scala 589:40] + bus_rsp_write_error <= _T_4769 @[el2_lsu_bus_buffer.scala 589:23] + node _T_4770 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:58] + node _T_4771 = and(bus_rsp_read, _T_4770) @[el2_lsu_bus_buffer.scala 590:38] + bus_rsp_read_error <= _T_4771 @[el2_lsu_bus_buffer.scala 590:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 591:17] + node _T_4772 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 594:36] + node _T_4773 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:51] + node _T_4774 = and(_T_4772, _T_4773) @[el2_lsu_bus_buffer.scala 594:49] + node _T_4775 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:68] + node _T_4776 = and(_T_4774, _T_4775) @[el2_lsu_bus_buffer.scala 594:66] + io.lsu_axi_awvalid <= _T_4776 @[el2_lsu_bus_buffer.scala 594:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 595:19] + node _T_4777 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 596:69] node _T_4778 = cat(_T_4777, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4779 = mux(obuf_sideeffect, obuf_addr, _T_4778) @[el2_lsu_bus_buffer.scala 592:27] - io.lsu_axi_awaddr <= _T_4779 @[el2_lsu_bus_buffer.scala 592:21] + node _T_4779 = mux(obuf_sideeffect, obuf_addr, _T_4778) @[el2_lsu_bus_buffer.scala 596:27] + io.lsu_axi_awaddr <= _T_4779 @[el2_lsu_bus_buffer.scala 596:21] node _T_4780 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4781 = mux(obuf_sideeffect, _T_4780, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 593:27] - io.lsu_axi_awsize <= _T_4781 @[el2_lsu_bus_buffer.scala 593:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 594:21] - node _T_4782 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 595:28] - io.lsu_axi_awcache <= _T_4782 @[el2_lsu_bus_buffer.scala 595:22] - node _T_4783 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 596:35] - io.lsu_axi_awregion <= _T_4783 @[el2_lsu_bus_buffer.scala 596:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 597:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 598:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 599:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 600:21] - node _T_4784 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 602:35] - node _T_4785 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:50] - node _T_4786 = and(_T_4784, _T_4785) @[el2_lsu_bus_buffer.scala 602:48] - node _T_4787 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:68] - node _T_4788 = and(_T_4786, _T_4787) @[el2_lsu_bus_buffer.scala 602:66] - io.lsu_axi_wvalid <= _T_4788 @[el2_lsu_bus_buffer.scala 602:21] + node _T_4781 = mux(obuf_sideeffect, _T_4780, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 597:27] + io.lsu_axi_awsize <= _T_4781 @[el2_lsu_bus_buffer.scala 597:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 598:21] + node _T_4782 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 599:28] + io.lsu_axi_awcache <= _T_4782 @[el2_lsu_bus_buffer.scala 599:22] + node _T_4783 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 600:35] + io.lsu_axi_awregion <= _T_4783 @[el2_lsu_bus_buffer.scala 600:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 601:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 602:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 603:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 604:21] + node _T_4784 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 606:35] + node _T_4785 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4786 = and(_T_4784, _T_4785) @[el2_lsu_bus_buffer.scala 606:48] + node _T_4787 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:68] + node _T_4788 = and(_T_4786, _T_4787) @[el2_lsu_bus_buffer.scala 606:66] + io.lsu_axi_wvalid <= _T_4788 @[el2_lsu_bus_buffer.scala 606:21] node _T_4789 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4790 = mux(_T_4789, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4791 = and(obuf_byteen, _T_4790) @[el2_lsu_bus_buffer.scala 603:35] - io.lsu_axi_wstrb <= _T_4791 @[el2_lsu_bus_buffer.scala 603:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 604:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 605:20] - node _T_4792 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4793 = and(obuf_valid, _T_4792) @[el2_lsu_bus_buffer.scala 607:36] - node _T_4794 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:52] - node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4796 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:67] - node _T_4797 = and(_T_4795, _T_4796) @[el2_lsu_bus_buffer.scala 607:65] - io.lsu_axi_arvalid <= _T_4797 @[el2_lsu_bus_buffer.scala 607:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 608:19] - node _T_4798 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 609:69] + node _T_4791 = and(obuf_byteen, _T_4790) @[el2_lsu_bus_buffer.scala 607:35] + io.lsu_axi_wstrb <= _T_4791 @[el2_lsu_bus_buffer.scala 607:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 608:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 609:20] + node _T_4792 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:38] + node _T_4793 = and(obuf_valid, _T_4792) @[el2_lsu_bus_buffer.scala 611:36] + node _T_4794 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:52] + node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 611:50] + node _T_4796 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:67] + node _T_4797 = and(_T_4795, _T_4796) @[el2_lsu_bus_buffer.scala 611:65] + io.lsu_axi_arvalid <= _T_4797 @[el2_lsu_bus_buffer.scala 611:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 612:19] + node _T_4798 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 613:69] node _T_4799 = cat(_T_4798, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4800 = mux(obuf_sideeffect, obuf_addr, _T_4799) @[el2_lsu_bus_buffer.scala 609:27] - io.lsu_axi_araddr <= _T_4800 @[el2_lsu_bus_buffer.scala 609:21] + node _T_4800 = mux(obuf_sideeffect, obuf_addr, _T_4799) @[el2_lsu_bus_buffer.scala 613:27] + io.lsu_axi_araddr <= _T_4800 @[el2_lsu_bus_buffer.scala 613:21] node _T_4801 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4802 = mux(obuf_sideeffect, _T_4801, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 610:27] - io.lsu_axi_arsize <= _T_4802 @[el2_lsu_bus_buffer.scala 610:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] - node _T_4803 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 612:28] - io.lsu_axi_arcache <= _T_4803 @[el2_lsu_bus_buffer.scala 612:22] - node _T_4804 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 613:35] - io.lsu_axi_arregion <= _T_4804 @[el2_lsu_bus_buffer.scala 613:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 614:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 615:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 616:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 617:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 618:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 619:21] - node _T_4805 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] - node _T_4806 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 620:125] - node _T_4807 = and(io.lsu_bus_clk_en_q, _T_4806) @[el2_lsu_bus_buffer.scala 620:114] - node _T_4808 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 620:140] - node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 620:129] - node _T_4810 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] - node _T_4811 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 620:125] - node _T_4812 = and(io.lsu_bus_clk_en_q, _T_4811) @[el2_lsu_bus_buffer.scala 620:114] - node _T_4813 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 620:140] - node _T_4814 = and(_T_4812, _T_4813) @[el2_lsu_bus_buffer.scala 620:129] - node _T_4815 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] - node _T_4816 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 620:125] - node _T_4817 = and(io.lsu_bus_clk_en_q, _T_4816) @[el2_lsu_bus_buffer.scala 620:114] - node _T_4818 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 620:140] - node _T_4819 = and(_T_4817, _T_4818) @[el2_lsu_bus_buffer.scala 620:129] - node _T_4820 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 620:81] - node _T_4821 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 620:125] - node _T_4822 = and(io.lsu_bus_clk_en_q, _T_4821) @[el2_lsu_bus_buffer.scala 620:114] - node _T_4823 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 620:140] - node _T_4824 = and(_T_4822, _T_4823) @[el2_lsu_bus_buffer.scala 620:129] + node _T_4802 = mux(obuf_sideeffect, _T_4801, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:27] + io.lsu_axi_arsize <= _T_4802 @[el2_lsu_bus_buffer.scala 614:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 615:21] + node _T_4803 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 616:28] + io.lsu_axi_arcache <= _T_4803 @[el2_lsu_bus_buffer.scala 616:22] + node _T_4804 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 617:35] + io.lsu_axi_arregion <= _T_4804 @[el2_lsu_bus_buffer.scala 617:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 618:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 619:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 620:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 621:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 622:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 623:21] + node _T_4805 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 624:81] + node _T_4806 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 624:125] + node _T_4807 = and(io.lsu_bus_clk_en_q, _T_4806) @[el2_lsu_bus_buffer.scala 624:114] + node _T_4808 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 624:140] + node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 624:129] + node _T_4810 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 624:81] + node _T_4811 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 624:125] + node _T_4812 = and(io.lsu_bus_clk_en_q, _T_4811) @[el2_lsu_bus_buffer.scala 624:114] + node _T_4813 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 624:140] + node _T_4814 = and(_T_4812, _T_4813) @[el2_lsu_bus_buffer.scala 624:129] + node _T_4815 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 624:81] + node _T_4816 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 624:125] + node _T_4817 = and(io.lsu_bus_clk_en_q, _T_4816) @[el2_lsu_bus_buffer.scala 624:114] + node _T_4818 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 624:140] + node _T_4819 = and(_T_4817, _T_4818) @[el2_lsu_bus_buffer.scala 624:129] + node _T_4820 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 624:81] + node _T_4821 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 624:125] + node _T_4822 = and(io.lsu_bus_clk_en_q, _T_4821) @[el2_lsu_bus_buffer.scala 624:114] + node _T_4823 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 624:140] + node _T_4824 = and(_T_4822, _T_4823) @[el2_lsu_bus_buffer.scala 624:129] node _T_4825 = mux(_T_4805, _T_4809, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4826 = mux(_T_4810, _T_4814, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4827 = mux(_T_4815, _T_4819, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6335,36 +6337,36 @@ circuit el2_lsu_bus_buffer : node _T_4831 = or(_T_4830, _T_4828) @[Mux.scala 27:72] wire _T_4832 : UInt<1> @[Mux.scala 27:72] _T_4832 <= _T_4831 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4832 @[el2_lsu_bus_buffer.scala 620:36] - node _T_4833 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] - node _T_4834 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 621:109] - node _T_4835 = and(_T_4833, _T_4834) @[el2_lsu_bus_buffer.scala 621:98] - node _T_4836 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 621:124] - node _T_4837 = and(_T_4835, _T_4836) @[el2_lsu_bus_buffer.scala 621:113] - node _T_4838 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 621:87] - node _T_4839 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 621:109] - node _T_4840 = and(_T_4838, _T_4839) @[el2_lsu_bus_buffer.scala 621:98] - node _T_4841 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 621:124] - node _T_4842 = and(_T_4840, _T_4841) @[el2_lsu_bus_buffer.scala 621:113] + io.lsu_imprecise_error_store_any <= _T_4832 @[el2_lsu_bus_buffer.scala 624:36] + node _T_4833 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:87] + node _T_4834 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 625:109] + node _T_4835 = and(_T_4833, _T_4834) @[el2_lsu_bus_buffer.scala 625:98] + node _T_4836 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 625:124] + node _T_4837 = and(_T_4835, _T_4836) @[el2_lsu_bus_buffer.scala 625:113] + node _T_4838 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 625:87] + node _T_4839 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 625:109] + node _T_4840 = and(_T_4838, _T_4839) @[el2_lsu_bus_buffer.scala 625:98] + node _T_4841 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 625:124] + node _T_4842 = and(_T_4840, _T_4841) @[el2_lsu_bus_buffer.scala 625:113] node _T_4843 = mux(_T_4837, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4844 = mux(_T_4842, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4845 = or(_T_4843, _T_4844) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4845 @[Mux.scala 27:72] - node _T_4846 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 623:72] - node _T_4847 = and(io.lsu_nonblock_load_data_error, _T_4846) @[el2_lsu_bus_buffer.scala 623:70] - io.lsu_imprecise_error_load_any <= _T_4847 @[el2_lsu_bus_buffer.scala 623:35] - node _T_4848 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4849 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4846 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:72] + node _T_4847 = and(io.lsu_nonblock_load_data_error, _T_4846) @[el2_lsu_bus_buffer.scala 627:70] + io.lsu_imprecise_error_load_any <= _T_4847 @[el2_lsu_bus_buffer.scala 627:35] + node _T_4848 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4849 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] node _T_4850 = mux(_T_4848, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4851 = mux(_T_4849, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4852 = or(_T_4850, _T_4851) @[Mux.scala 27:72] wire _T_4853 : UInt<32> @[Mux.scala 27:72] _T_4853 <= _T_4852 @[Mux.scala 27:72] - node _T_4854 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4855 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4856 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4857 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4854 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4855 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4856 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:123] + node _T_4857 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:123] node _T_4858 = mux(_T_4854, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4859 = mux(_T_4855, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4860 = mux(_T_4856, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6374,44 +6376,44 @@ circuit el2_lsu_bus_buffer : node _T_4864 = or(_T_4863, _T_4861) @[Mux.scala 27:72] wire _T_4865 : UInt<32> @[Mux.scala 27:72] _T_4865 <= _T_4864 @[Mux.scala 27:72] - node _T_4866 = mux(io.lsu_imprecise_error_store_any, _T_4853, _T_4865) @[el2_lsu_bus_buffer.scala 624:41] - io.lsu_imprecise_error_addr_any <= _T_4866 @[el2_lsu_bus_buffer.scala 624:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 627:23] - node _T_4867 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 630:46] - node _T_4868 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 630:89] - node _T_4869 = or(_T_4867, _T_4868) @[el2_lsu_bus_buffer.scala 630:68] - node _T_4870 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 630:132] - node _T_4871 = or(_T_4869, _T_4870) @[el2_lsu_bus_buffer.scala 630:110] - io.lsu_pmu_bus_trxn <= _T_4871 @[el2_lsu_bus_buffer.scala 630:23] - node _T_4872 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 631:48] - node _T_4873 = and(_T_4872, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 631:65] - io.lsu_pmu_bus_misaligned <= _T_4873 @[el2_lsu_bus_buffer.scala 631:29] - node _T_4874 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 632:59] - io.lsu_pmu_bus_error <= _T_4874 @[el2_lsu_bus_buffer.scala 632:24] - node _T_4875 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:48] - node _T_4876 = and(io.lsu_axi_awvalid, _T_4875) @[el2_lsu_bus_buffer.scala 634:46] - node _T_4877 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:92] - node _T_4878 = and(io.lsu_axi_wvalid, _T_4877) @[el2_lsu_bus_buffer.scala 634:90] - node _T_4879 = or(_T_4876, _T_4878) @[el2_lsu_bus_buffer.scala 634:69] - node _T_4880 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:136] - node _T_4881 = and(io.lsu_axi_arvalid, _T_4880) @[el2_lsu_bus_buffer.scala 634:134] - node _T_4882 = or(_T_4879, _T_4881) @[el2_lsu_bus_buffer.scala 634:112] - io.lsu_pmu_bus_busy <= _T_4882 @[el2_lsu_bus_buffer.scala 634:23] - reg _T_4883 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 636:49] - _T_4883 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 636:49] - WrPtr0_r <= _T_4883 @[el2_lsu_bus_buffer.scala 636:12] - reg _T_4884 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 637:49] - _T_4884 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 637:49] - WrPtr1_r <= _T_4884 @[el2_lsu_bus_buffer.scala 637:12] - node _T_4885 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:75] - node _T_4886 = and(io.lsu_busreq_m, _T_4885) @[el2_lsu_bus_buffer.scala 638:73] - node _T_4887 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:89] - node _T_4888 = and(_T_4886, _T_4887) @[el2_lsu_bus_buffer.scala 638:87] - reg _T_4889 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 638:56] - _T_4889 <= _T_4888 @[el2_lsu_bus_buffer.scala 638:56] - io.lsu_busreq_r <= _T_4889 @[el2_lsu_bus_buffer.scala 638:19] - reg _T_4890 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 639:66] - _T_4890 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 639:66] - lsu_nonblock_load_valid_r <= _T_4890 @[el2_lsu_bus_buffer.scala 639:29] + node _T_4866 = mux(io.lsu_imprecise_error_store_any, _T_4853, _T_4865) @[el2_lsu_bus_buffer.scala 628:41] + io.lsu_imprecise_error_addr_any <= _T_4866 @[el2_lsu_bus_buffer.scala 628:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 629:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 631:23] + node _T_4867 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 634:46] + node _T_4868 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 634:89] + node _T_4869 = or(_T_4867, _T_4868) @[el2_lsu_bus_buffer.scala 634:68] + node _T_4870 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 634:132] + node _T_4871 = or(_T_4869, _T_4870) @[el2_lsu_bus_buffer.scala 634:110] + io.lsu_pmu_bus_trxn <= _T_4871 @[el2_lsu_bus_buffer.scala 634:23] + node _T_4872 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 635:48] + node _T_4873 = and(_T_4872, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 635:65] + io.lsu_pmu_bus_misaligned <= _T_4873 @[el2_lsu_bus_buffer.scala 635:29] + node _T_4874 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 636:59] + io.lsu_pmu_bus_error <= _T_4874 @[el2_lsu_bus_buffer.scala 636:24] + node _T_4875 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:48] + node _T_4876 = and(io.lsu_axi_awvalid, _T_4875) @[el2_lsu_bus_buffer.scala 638:46] + node _T_4877 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:92] + node _T_4878 = and(io.lsu_axi_wvalid, _T_4877) @[el2_lsu_bus_buffer.scala 638:90] + node _T_4879 = or(_T_4876, _T_4878) @[el2_lsu_bus_buffer.scala 638:69] + node _T_4880 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:136] + node _T_4881 = and(io.lsu_axi_arvalid, _T_4880) @[el2_lsu_bus_buffer.scala 638:134] + node _T_4882 = or(_T_4879, _T_4881) @[el2_lsu_bus_buffer.scala 638:112] + io.lsu_pmu_bus_busy <= _T_4882 @[el2_lsu_bus_buffer.scala 638:23] + reg _T_4883 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 640:49] + _T_4883 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 640:49] + WrPtr0_r <= _T_4883 @[el2_lsu_bus_buffer.scala 640:12] + reg _T_4884 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 641:49] + _T_4884 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 641:49] + WrPtr1_r <= _T_4884 @[el2_lsu_bus_buffer.scala 641:12] + node _T_4885 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:75] + node _T_4886 = and(io.lsu_busreq_m, _T_4885) @[el2_lsu_bus_buffer.scala 642:73] + node _T_4887 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 642:89] + node _T_4888 = and(_T_4886, _T_4887) @[el2_lsu_bus_buffer.scala 642:87] + reg _T_4889 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 642:56] + _T_4889 <= _T_4888 @[el2_lsu_bus_buffer.scala 642:56] + io.lsu_busreq_r <= _T_4889 @[el2_lsu_bus_buffer.scala 642:19] + reg _T_4890 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 643:66] + _T_4890 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 643:66] + lsu_nonblock_load_valid_r <= _T_4890 @[el2_lsu_bus_buffer.scala 643:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index e78d89a9..a9a81f2c 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -141,7 +141,9 @@ module el2_lsu_bus_buffer( output [2:0] io_lsu_axi_arprot, output [3:0] io_lsu_axi_arqos, output io_lsu_axi_rready, - output [1:0] io_test + output [1:0] io_test, + output [31:0] io_data_hi, + output [31:0] io_data_lo ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -300,569 +302,569 @@ module el2_lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 125:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 126:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 127:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 128:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 130:74] reg _T_4269; // @[Reg.scala 27:20] reg _T_4266; // @[Reg.scala 27:20] reg _T_4263; // @[Reg.scala 27:20] reg _T_4260; // @[Reg.scala 27:20] wire [3:0] buf_write = {_T_4269,_T_4266,_T_4263,_T_4260}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 128:98] + wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 130:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] - wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 128:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 128:141] + wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 130:129] + wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 130:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 130:141] reg [31:0] buf_addr_1; // @[el2_lib.scala 491:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] - wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 128:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 130:74] + wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 130:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] - wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 128:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 128:141] + wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 130:129] + wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 130:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 130:141] reg [31:0] buf_addr_2; // @[el2_lib.scala 491:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] - wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 128:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 130:74] + wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 130:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] - wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 128:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 128:141] + wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 130:129] + wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 130:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 130:141] reg [31:0] buf_addr_3; // @[el2_lib.scala 491:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] - wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 128:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 130:74] + wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 130:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] - wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 128:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 128:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 129:74] - wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 129:98] - wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 129:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 129:74] - wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 129:98] - wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 129:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 129:74] - wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 129:98] - wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 129:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 129:74] - wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 129:98] - wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] + wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 130:129] + wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 130:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 130:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] + wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 131:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] + wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 131:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] + wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 131:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] + wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 131:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] reg [2:0] _T_4296; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 136:24 el2_lsu_bus_buffer.scala 137:14 el2_lsu_bus_buffer.scala 541:16] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] reg [2:0] _T_4294; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 136:24 el2_lsu_bus_buffer.scala 137:14 el2_lsu_bus_buffer.scala 541:16] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] reg [2:0] _T_4292; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 136:24 el2_lsu_bus_buffer.scala 137:14 el2_lsu_bus_buffer.scala 541:16] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] reg [2:0] _T_4290; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 539:16] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 136:24 el2_lsu_bus_buffer.scala 137:14 el2_lsu_bus_buffer.scala 541:16] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 193:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 523:60] - wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 525:60] + wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 438:94] wire _T_4016 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4039 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4043 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 381:13] - wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 478:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 383:13] + wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 480:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 478:104] - wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 478:104] - wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 478:91] - wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 478:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 375:54] - wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 374:55] - wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 480:104] + wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 480:104] + wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 480:91] + wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 480:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 377:54] + wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 480:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 376:55] + wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 480:148] wire _GEN_280 = _T_4043 & _T_4055; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4039 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 436:104] - wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 438:94] wire _T_3823 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3846 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3850 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 478:104] - wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 478:91] - wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 478:77] - wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] - wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 480:48] + wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 480:104] + wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 480:91] + wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 480:77] + wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 480:135] + wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 480:148] wire _GEN_204 = _T_3850 & _T_3862; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3846 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 436:104] - wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 438:94] wire _T_3630 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3653 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3657 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 478:104] - wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 478:91] - wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 478:77] - wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] - wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 480:48] + wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 480:104] + wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 480:91] + wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 480:77] + wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 480:135] + wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 480:148] wire _GEN_128 = _T_3657 & _T_3669; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3653 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 436:104] - wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 436:94] + wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 438:94] wire _T_3437 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3460 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3464 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 478:104] - wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 478:91] - wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 478:77] - wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 478:135] - wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 478:148] + wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 480:48] + wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 480:104] + wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 480:91] + wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 480:77] + wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 480:135] + wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 480:148] wire _GEN_52 = _T_3464 & _T_3476; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3460 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 436:104] - wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 438:104] + wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 438:78] wire [3:0] buf_age_3 = {_T_2533,_T_2529,_T_2525,_T_2521}; // @[Cat.scala 29:58] - wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 437:106] - wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 437:106] - wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 439:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2634,_T_2628,_T_2622}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 196:97] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 198:97] reg [31:0] ibuf_addr; // @[el2_lib.scala 491:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 202:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 204:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 202:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 270:24] - wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 202:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 204:73] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 272:24] + wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 204:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 204:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 207:48] - wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 207:65] - wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25 el2_lsu_bus_buffer.scala 207:25] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:150] - wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 523:60] - wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 209:48] + wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 209:65] + wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 209:25 el2_lsu_bus_buffer.scala 209:25 el2_lsu_bus_buffer.scala 209:25 el2_lsu_bus_buffer.scala 209:25] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 198:150] + wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 198:148] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 525:60] + wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 438:78] wire [3:0] buf_age_2 = {_T_2514,_T_2510,_T_2506,_T_2502}; // @[Cat.scala 29:58] - wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 437:106] - wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 437:106] - wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 439:106] wire [3:0] buf_age_younger_2 = {_T_2613,1'h0,_T_2601,_T_2595}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 523:60] - wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 198:148] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 525:60] + wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 438:78] wire [3:0] buf_age_1 = {_T_2495,_T_2491,_T_2487,_T_2483}; // @[Cat.scala 29:58] - wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 437:106] - wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 437:106] - wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 439:106] wire [3:0] buf_age_younger_1 = {_T_2586,_T_2580,1'h0,_T_2568}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 523:60] - wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 436:78] - wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 436:78] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 198:148] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 525:60] + wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 438:78] + wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 438:78] wire [3:0] buf_age_0 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 437:106] - wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 437:106] - wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 437:91] - wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 437:106] + wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 439:106] + wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 439:91] + wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 439:106] wire [3:0] buf_age_younger_0 = {_T_2559,_T_2553,_T_2547,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 198:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 188:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 188:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 191:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 191:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 191:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 191:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 190:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 190:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 193:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 196:150] - wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 196:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 196:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 196:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 196:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 198:150] + wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 198:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 188:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 188:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 191:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 191:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 191:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 191:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 190:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 190:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 193:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 196:150] - wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 196:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 196:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 196:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 196:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 198:150] + wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 198:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 188:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 188:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 191:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 191:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 191:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 191:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 191:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 190:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 190:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 193:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 193:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 196:150] - wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 196:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 196:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 196:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] - wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 196:144] - wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 196:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 196:97] - wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 196:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 198:150] + wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 198:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 198:122] + wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 198:144] + wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 198:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 198:97] + wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 198:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 188:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 188:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 190:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 190:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 203:51] - wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 203:73] - wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 203:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 208:48] - wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 208:65] - wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 208:25 el2_lsu_bus_buffer.scala 208:25 el2_lsu_bus_buffer.scala 208:25 el2_lsu_bus_buffer.scala 208:25] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 197:150] - wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 205:51] + wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 205:73] + wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 205:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 210:48] + wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 210:65] + wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 199:150] + wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 199:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 189:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 189:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 191:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 191:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 197:150] - wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 199:150] + wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 199:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 189:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 189:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 191:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 191:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 197:150] - wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 199:150] + wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 199:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 189:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 189:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 192:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 192:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 192:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 191:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 191:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 194:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 197:150] - wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 197:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 197:122] - wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 197:144] - wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 197:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 197:97] - wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 197:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 199:150] + wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] + wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 199:144] + wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 199:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 199:97] + wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 199:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 189:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 189:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 191:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 191:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4297; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] - wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 214:91] - wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] + wire [31:0] buf_data_0 = {{28'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 212:22 el2_lsu_bus_buffer.scala 213:12 el2_lsu_bus_buffer.scala 542:14] + wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4298; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4298}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] - wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 214:91] - wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] + wire [31:0] buf_data_1 = {{28'd0}, _T_4298}; // @[el2_lsu_bus_buffer.scala 212:22 el2_lsu_bus_buffer.scala 213:12 el2_lsu_bus_buffer.scala 542:14] + wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4299; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4299}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] - wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 214:91] - wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] + wire [31:0] buf_data_2 = {{28'd0}, _T_4299}; // @[el2_lsu_bus_buffer.scala 212:22 el2_lsu_bus_buffer.scala 213:12 el2_lsu_bus_buffer.scala 542:14] + wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [3:0] _T_4300; // @[el2_lib.scala 491:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4300}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 540:14] - wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 214:91] - wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] - wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 214:123] - wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 214:123] - wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 214:123] + wire [31:0] buf_data_3 = {{28'd0}, _T_4300}; // @[el2_lsu_bus_buffer.scala 212:22 el2_lsu_bus_buffer.scala 213:12 el2_lsu_bus_buffer.scala 542:14] + wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] + wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 216:123] + wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 216:123] + wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 216:123] wire [7:0] _T_577 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 215:65] + wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] wire [7:0] _T_582 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 215:65] + wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] wire [7:0] _T_587 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 215:65] + wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] wire [7:0] _T_592 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 215:65] - wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 215:97] - wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 215:97] - wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 215:97] + wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 217:97] + wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 217:97] + wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 217:97] wire [7:0] _T_600 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 216:65] + wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] wire [7:0] _T_605 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 216:65] + wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] wire [7:0] _T_610 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 216:65] + wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] wire [7:0] _T_615 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 216:65] - wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 216:96] - wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 216:96] - wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 216:96] + wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 218:96] + wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 218:96] + wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 218:96] wire [7:0] _T_623 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] wire [7:0] _T_628 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] wire [7:0] _T_633 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] wire [7:0] _T_638 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 217:65] - wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 217:95] - wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 217:95] - wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 217:95] + wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 219:95] + wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 219:95] + wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 219:95] wire [32:0] _T_646 = {_T_574,_T_597,_T_620,_T_643}; // @[Cat.scala 29:58] wire [7:0] _T_649 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 219:91] - wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 219:91] + wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] wire [7:0] _T_654 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 219:91] - wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 219:91] + wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] wire [7:0] _T_659 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 219:91] - wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 219:91] + wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] wire [7:0] _T_664 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 219:91] - wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 219:91] - wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 219:123] - wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 219:123] - wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 219:123] + wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] + wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 221:123] + wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 221:123] + wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 221:123] wire [7:0] _T_672 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_677 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_682 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_687 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 220:65] - wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 220:97] - wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 220:97] - wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 220:97] + wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 222:97] + wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 222:97] + wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 222:97] wire [7:0] _T_695 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 221:65] + wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_700 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 221:65] + wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_705 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 221:65] + wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_710 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 221:65] - wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 221:96] - wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 221:96] - wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 221:96] + wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 223:96] + wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 223:96] + wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 223:96] wire [7:0] _T_718 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_723 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_728 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_733 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 222:65] - wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 222:95] - wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 222:95] - wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 222:95] + wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 224:95] + wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 224:95] + wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 224:95] wire [32:0] _T_741 = {_T_669,_T_692,_T_715,_T_738}; // @[Cat.scala 29:58] wire [3:0] _T_742 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_743 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] @@ -870,224 +872,224 @@ module el2_lsu_bus_buffer( wire [3:0] _T_745 = _T_742 | _T_743; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_745 | _T_744; // @[Mux.scala 27:72] wire [7:0] _T_747 = {4'h0,ldst_byteen_r}; // @[Cat.scala 29:58] - wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 228:45] - wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 228:45] - wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 229:32] - wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 230:32] + wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 230:45] + wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 230:45] + wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 231:32] + wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 232:32] wire [63:0] _T_749 = {32'h0,io_store_data_r}; // @[Cat.scala 29:58] - wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 231:58] - wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 231:58] - wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 231:52] - wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 231:52] - wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 232:35] - wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 233:35] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 234:40] - wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 235:74] - wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 236:26] + wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 233:58] + wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 233:58] + wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 233:52] + wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 233:52] + wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 234:35] + wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 235:35] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 236:40] + wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 237:74] + wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 238:26] wire _T_758 = io_lsu_pkt_r_word & _T_755; // @[Mux.scala 27:72] wire _T_759 = io_lsu_pkt_r_half & _T_757; // @[Mux.scala 27:72] wire _T_761 = _T_758 | _T_759; // @[Mux.scala 27:72] wire is_aligned_r = _T_761 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 238:55] - wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 238:34] - wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 238:79] - wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 238:77] - wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 239:36] - wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 239:56] - wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 239:54] - wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 241:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 283:59] - wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 247:62] - wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 247:48] - wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 265:54] - wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 265:75] - wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 265:88] - wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 265:124] - wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 265:101] - wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 265:147] - wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 265:145] - wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 265:170] - wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 265:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 266:20] - wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 247:98] - wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 247:82] - wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 247:80] - wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 248:5] - wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 242:44] - wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 242:42] - wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 242:61] - wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 242:115] - wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 242:95] - wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 242:74] - wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 248:16] + wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 240:55] + wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 240:34] + wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 240:79] + wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 240:77] + wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 241:36] + wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 241:56] + wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 241:54] + wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 243:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 285:59] + wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 249:62] + wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 249:48] + wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 267:54] + wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 267:75] + wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 267:88] + wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 267:124] + wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 267:101] + wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 267:147] + wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 267:145] + wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 267:170] + wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 267:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 268:20] + wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 249:98] + wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 249:82] + wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 249:80] + wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 250:5] + wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 244:44] + wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 244:42] + wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 244:61] + wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 244:115] + wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 244:95] + wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 244:74] + wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 250:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 248:35] - wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 248:55] - wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 248:53] - wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 248:67] - wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 247:32] - wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 241:34] - wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 241:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 637:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 636:49] + wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 250:35] + wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 250:55] + wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 250:53] + wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 250:67] + wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 249:32] + wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 243:34] + wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 243:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 641:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 640:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 257:77] + wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 259:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 262:8] - wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 261:46] - wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 262:8] - wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 261:46] - wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 262:8] - wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 261:46] - wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 262:8] - wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 261:46] + wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 264:8] + wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 263:46] + wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 264:8] + wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 263:46] + wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 264:8] + wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 263:46] + wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 264:8] + wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 263:46] wire [23:0] _T_827 = {_T_825,_T_818,_T_811}; // @[Cat.scala 29:58] - wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 263:59] - wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 263:93] - wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 267:65] - wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 267:63] - wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 267:96] - wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 267:48] - wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 267:96] - wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 267:48] - wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 267:96] - wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 267:48] - wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 267:96] - wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 267:48] + wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 265:59] + wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 265:93] + wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 269:65] + wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 269:63] + wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 269:96] + wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 269:48] + wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 269:96] + wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 269:48] + wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 269:96] + wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 269:48] + wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 269:96] + wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 269:48] wire [3:0] ibuf_byteen_out = {_T_873,_T_866,_T_859,_T_852}; // @[Cat.scala 29:58] - wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 268:45] - wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 268:45] - wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 268:45] - wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 268:45] + wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 270:45] + wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 270:45] + wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 270:45] + wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 270:45] wire [31:0] ibuf_data_out = {_T_907,_T_899,_T_891,_T_883}; // @[Cat.scala 29:58] - wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 270:28] - wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 270:63] - wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 271:89] + wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 272:28] + wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 272:63] + wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 273:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4347 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 544:64] - wire _T_4348 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 544:91] - wire _T_4349 = _T_4347 & _T_4348; // @[el2_lsu_bus_buffer.scala 544:89] - wire _T_4342 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 544:64] - wire _T_4343 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 544:91] - wire _T_4344 = _T_4342 & _T_4343; // @[el2_lsu_bus_buffer.scala 544:89] - wire [1:0] _T_4350 = _T_4349 + _T_4344; // @[el2_lsu_bus_buffer.scala 544:142] - wire _T_4337 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 544:64] - wire _T_4338 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 544:91] - wire _T_4339 = _T_4337 & _T_4338; // @[el2_lsu_bus_buffer.scala 544:89] - wire [1:0] _GEN_365 = {{1'd0}, _T_4339}; // @[el2_lsu_bus_buffer.scala 544:142] - wire [2:0] _T_4351 = _T_4350 + _GEN_365; // @[el2_lsu_bus_buffer.scala 544:142] - wire _T_4332 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 544:64] - wire _T_4333 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 544:91] - wire _T_4334 = _T_4332 & _T_4333; // @[el2_lsu_bus_buffer.scala 544:89] - wire [2:0] _GEN_366 = {{2'd0}, _T_4334}; // @[el2_lsu_bus_buffer.scala 544:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4351 + _GEN_366; // @[el2_lsu_bus_buffer.scala 544:142] - wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4364 = _T_2531 & _T_4348; // @[el2_lsu_bus_buffer.scala 545:73] - wire _T_4361 = _T_2527 & _T_4343; // @[el2_lsu_bus_buffer.scala 545:73] - wire [1:0] _T_4365 = _T_4364 + _T_4361; // @[el2_lsu_bus_buffer.scala 545:126] - wire _T_4358 = _T_2523 & _T_4338; // @[el2_lsu_bus_buffer.scala 545:73] - wire [1:0] _GEN_367 = {{1'd0}, _T_4358}; // @[el2_lsu_bus_buffer.scala 545:126] - wire [2:0] _T_4366 = _T_4365 + _GEN_367; // @[el2_lsu_bus_buffer.scala 545:126] - wire _T_4355 = _T_2519 & _T_4333; // @[el2_lsu_bus_buffer.scala 545:73] - wire [2:0] _GEN_368 = {{2'd0}, _T_4355}; // @[el2_lsu_bus_buffer.scala 545:126] - wire [3:0] buf_numvld_cmd_any = _T_4366 + _GEN_368; // @[el2_lsu_bus_buffer.scala 545:126] - wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] - wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 293:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] - wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 293:97] - wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 293:80] - wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 293:114] + wire _T_4347 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4348 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 546:91] + wire _T_4349 = _T_4347 & _T_4348; // @[el2_lsu_bus_buffer.scala 546:89] + wire _T_4342 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4343 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 546:91] + wire _T_4344 = _T_4342 & _T_4343; // @[el2_lsu_bus_buffer.scala 546:89] + wire [1:0] _T_4350 = _T_4349 + _T_4344; // @[el2_lsu_bus_buffer.scala 546:142] + wire _T_4337 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4338 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 546:91] + wire _T_4339 = _T_4337 & _T_4338; // @[el2_lsu_bus_buffer.scala 546:89] + wire [1:0] _GEN_365 = {{1'd0}, _T_4339}; // @[el2_lsu_bus_buffer.scala 546:142] + wire [2:0] _T_4351 = _T_4350 + _GEN_365; // @[el2_lsu_bus_buffer.scala 546:142] + wire _T_4332 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 546:64] + wire _T_4333 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 546:91] + wire _T_4334 = _T_4332 & _T_4333; // @[el2_lsu_bus_buffer.scala 546:89] + wire [2:0] _GEN_366 = {{2'd0}, _T_4334}; // @[el2_lsu_bus_buffer.scala 546:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4351 + _GEN_366; // @[el2_lsu_bus_buffer.scala 546:142] + wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 295:43] + wire _T_4364 = _T_2531 & _T_4348; // @[el2_lsu_bus_buffer.scala 547:73] + wire _T_4361 = _T_2527 & _T_4343; // @[el2_lsu_bus_buffer.scala 547:73] + wire [1:0] _T_4365 = _T_4364 + _T_4361; // @[el2_lsu_bus_buffer.scala 547:126] + wire _T_4358 = _T_2523 & _T_4338; // @[el2_lsu_bus_buffer.scala 547:73] + wire [1:0] _GEN_367 = {{1'd0}, _T_4358}; // @[el2_lsu_bus_buffer.scala 547:126] + wire [2:0] _T_4366 = _T_4365 + _GEN_367; // @[el2_lsu_bus_buffer.scala 547:126] + wire _T_4355 = _T_2519 & _T_4333; // @[el2_lsu_bus_buffer.scala 547:73] + wire [2:0] _GEN_368 = {{2'd0}, _T_4355}; // @[el2_lsu_bus_buffer.scala 547:126] + wire [3:0] buf_numvld_cmd_any = _T_4366 + _GEN_368; // @[el2_lsu_bus_buffer.scala 547:126] + wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 295:72] + wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 295:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 392:54] + wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 295:97] + wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 295:80] + wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 295:114] reg buf_nomerge_0; // @[Reg.scala 27:20] reg buf_nomerge_1; // @[Reg.scala 27:20] reg buf_nomerge_2; // @[Reg.scala 27:20] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 294:31] - wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 294:29] + wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 296:31] + wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 296:29] reg _T_4239; // @[Reg.scala 27:20] reg _T_4236; // @[Reg.scala 27:20] reg _T_4233; // @[Reg.scala 27:20] reg _T_4230; // @[Reg.scala 27:20] wire [3:0] buf_sideeffect = {_T_4239,_T_4236,_T_4233,_T_4230}; // @[Cat.scala 29:58] - wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 295:5] - wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 294:140] - wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 297:58] - wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 297:72] - wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 297:123] - wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 297:101] - wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 295:119] - wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 295:117] - wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 296:75] - wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] - wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 296:79] - wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4383 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] - wire _T_4387 = _T_4383 | _T_4364; // @[el2_lsu_bus_buffer.scala 546:74] - wire _T_4378 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] - wire _T_4382 = _T_4378 | _T_4361; // @[el2_lsu_bus_buffer.scala 546:74] - wire [1:0] _T_4388 = _T_4387 + _T_4382; // @[el2_lsu_bus_buffer.scala 546:154] - wire _T_4373 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] - wire _T_4377 = _T_4373 | _T_4358; // @[el2_lsu_bus_buffer.scala 546:74] - wire [1:0] _GEN_369 = {{1'd0}, _T_4377}; // @[el2_lsu_bus_buffer.scala 546:154] - wire [2:0] _T_4389 = _T_4388 + _GEN_369; // @[el2_lsu_bus_buffer.scala 546:154] - wire _T_4368 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 546:63] - wire _T_4372 = _T_4368 | _T_4355; // @[el2_lsu_bus_buffer.scala 546:74] - wire [2:0] _GEN_370 = {{2'd0}, _T_4372}; // @[el2_lsu_bus_buffer.scala 546:154] - wire [3:0] buf_numvld_pend_any = _T_4389 + _GEN_370; // @[el2_lsu_bus_buffer.scala 546:154] - wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] - wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 299:31] - wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] - wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] - wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 299:61] - wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4679 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] - wire _T_4681 = _T_4679 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4682 = _T_4681 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] - wire _T_4683 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] - wire _T_4685 = _T_4683 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4686 = _T_4685 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] - wire _T_4695 = _T_4682 | _T_4686; // @[el2_lsu_bus_buffer.scala 573:141] - wire _T_4687 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] - wire _T_4689 = _T_4687 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4690 = _T_4689 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] - wire _T_4696 = _T_4695 | _T_4690; // @[el2_lsu_bus_buffer.scala 573:141] - wire _T_4691 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 573:62] - wire _T_4693 = _T_4691 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4694 = _T_4693 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 573:93] - wire bus_sideeffect_pend = _T_4696 | _T_4694; // @[el2_lsu_bus_buffer.scala 573:141] - wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] - wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 314:52] - wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 314:50] - wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 402:58] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1909 = _T_1907 & _T_4348; // @[el2_lsu_bus_buffer.scala 402:88] - wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 402:58] - wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1903 = _T_1901 & _T_4343; // @[el2_lsu_bus_buffer.scala 402:88] - wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 402:58] - wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1897 = _T_1895 & _T_4338; // @[el2_lsu_bus_buffer.scala 402:88] - wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 402:58] - wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 402:45] - wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 402:63] - wire _T_1891 = _T_1889 & _T_4333; // @[el2_lsu_bus_buffer.scala 402:88] + wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 297:5] + wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 296:140] + wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 299:58] + wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 299:72] + wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 299:123] + wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 299:101] + wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 297:119] + wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 297:117] + wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 298:75] + wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 298:95] + wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 298:79] + wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 298:121] + wire _T_4383 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 548:63] + wire _T_4387 = _T_4383 | _T_4364; // @[el2_lsu_bus_buffer.scala 548:74] + wire _T_4378 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 548:63] + wire _T_4382 = _T_4378 | _T_4361; // @[el2_lsu_bus_buffer.scala 548:74] + wire [1:0] _T_4388 = _T_4387 + _T_4382; // @[el2_lsu_bus_buffer.scala 548:154] + wire _T_4373 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 548:63] + wire _T_4377 = _T_4373 | _T_4358; // @[el2_lsu_bus_buffer.scala 548:74] + wire [1:0] _GEN_369 = {{1'd0}, _T_4377}; // @[el2_lsu_bus_buffer.scala 548:154] + wire [2:0] _T_4389 = _T_4388 + _GEN_369; // @[el2_lsu_bus_buffer.scala 548:154] + wire _T_4368 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 548:63] + wire _T_4372 = _T_4368 | _T_4355; // @[el2_lsu_bus_buffer.scala 548:74] + wire [2:0] _GEN_370 = {{2'd0}, _T_4372}; // @[el2_lsu_bus_buffer.scala 548:154] + wire [3:0] buf_numvld_pend_any = _T_4389 + _GEN_370; // @[el2_lsu_bus_buffer.scala 548:154] + wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 301:53] + wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 301:31] + wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 301:64] + wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 301:84] + wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 301:61] + wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 316:32] + wire _T_4679 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 577:62] + wire _T_4681 = _T_4679 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 577:73] + wire _T_4682 = _T_4681 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 577:93] + wire _T_4683 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 577:62] + wire _T_4685 = _T_4683 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 577:73] + wire _T_4686 = _T_4685 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 577:93] + wire _T_4695 = _T_4682 | _T_4686; // @[el2_lsu_bus_buffer.scala 577:141] + wire _T_4687 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 577:62] + wire _T_4689 = _T_4687 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 577:73] + wire _T_4690 = _T_4689 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 577:93] + wire _T_4696 = _T_4695 | _T_4690; // @[el2_lsu_bus_buffer.scala 577:141] + wire _T_4691 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 577:62] + wire _T_4693 = _T_4691 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 577:73] + wire _T_4694 = _T_4693 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 577:93] + wire bus_sideeffect_pend = _T_4696 | _T_4694; // @[el2_lsu_bus_buffer.scala 577:141] + wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 316:74] + wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 316:52] + wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 316:50] + wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 404:58] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 404:45] + wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 404:63] + wire _T_1909 = _T_1907 & _T_4348; // @[el2_lsu_bus_buffer.scala 404:88] + wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 404:58] + wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 404:45] + wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 404:63] + wire _T_1903 = _T_1901 & _T_4343; // @[el2_lsu_bus_buffer.scala 404:88] + wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 404:58] + wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 404:45] + wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 404:63] + wire _T_1897 = _T_1895 & _T_4338; // @[el2_lsu_bus_buffer.scala 404:88] + wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 404:58] + wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 404:45] + wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 404:63] + wire _T_1891 = _T_1889 & _T_4333; // @[el2_lsu_bus_buffer.scala 404:88] wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 407:31] - wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 315:47] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 409:31] + wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 317:47] wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 316:23] - wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 316:21] - wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 316:141] - wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 316:105] - wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 316:103] + wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 318:23] + wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 318:21] + wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 318:141] + wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 318:105] + wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 318:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -1098,425 +1100,425 @@ module el2_lsu_bus_buffer( reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] wire [3:0] _T_1096 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 317:77] - wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 317:150] - wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 317:148] - wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 317:8] - wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 403:62] - wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] - wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 403:76] - wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 403:45] - wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 403:83] - wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1955 = _T_1953 & _T_4348; // @[el2_lsu_bus_buffer.scala 403:123] - wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] - wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 403:76] - wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 403:45] - wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 403:83] - wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1944 = _T_1942 & _T_4343; // @[el2_lsu_bus_buffer.scala 403:123] - wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] - wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 403:76] - wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 403:45] - wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 403:83] - wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1933 = _T_1931 & _T_4338; // @[el2_lsu_bus_buffer.scala 403:123] - wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 403:59] - wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 403:76] - wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 403:45] - wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 403:83] - wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 403:81] - wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 403:98] - wire _T_1922 = _T_1920 & _T_4333; // @[el2_lsu_bus_buffer.scala 403:123] + wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 319:77] + wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 319:150] + wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 319:148] + wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 319:8] + wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 405:62] + wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 405:59] + wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 405:76] + wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 405:45] + wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 405:83] + wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 405:81] + wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 405:98] + wire _T_1955 = _T_1953 & _T_4348; // @[el2_lsu_bus_buffer.scala 405:123] + wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 405:59] + wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 405:76] + wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 405:45] + wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 405:83] + wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 405:81] + wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 405:98] + wire _T_1944 = _T_1942 & _T_4343; // @[el2_lsu_bus_buffer.scala 405:123] + wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 405:59] + wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 405:76] + wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 405:45] + wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 405:83] + wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 405:81] + wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 405:98] + wire _T_1933 = _T_1931 & _T_4338; // @[el2_lsu_bus_buffer.scala 405:123] + wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 405:59] + wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 405:76] + wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 405:45] + wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 405:83] + wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 405:81] + wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 405:98] + wire _T_1922 = _T_1920 & _T_4333; // @[el2_lsu_bus_buffer.scala 405:123] wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 408:31] - wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 317:181] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 410:31] + wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 319:181] wire [3:0] _T_1136 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 317:197] - wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 317:269] - wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 316:164] - wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 314:98] + wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 319:197] + wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 319:269] + wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 318:164] + wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 316:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4754 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 577:54] - wire _T_4755 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:75] - wire _T_4757 = _T_4754 ? _T_4755 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 577:39] - wire bus_cmd_ready = obuf_write ? _T_4757 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 577:23] - wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] - wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 318:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 379:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 380:55] + wire _T_4754 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 581:54] + wire _T_4755 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 581:75] + wire _T_4757 = _T_4754 ? _T_4755 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 581:39] + wire bus_cmd_ready = obuf_write ? _T_4757 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 581:23] + wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 320:48] + wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 320:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 318:60] - wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 318:29] - wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] - wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 318:75] + wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:60] + wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 320:29] + wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 320:77] + wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 320:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4702 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] - wire _T_4703 = obuf_valid & _T_4702; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_4705 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4706 = obuf_merge & _T_4705; // @[el2_lsu_bus_buffer.scala 575:114] - wire _T_4707 = _T_3471 | _T_4706; // @[el2_lsu_bus_buffer.scala 575:100] - wire _T_4708 = ~_T_4707; // @[el2_lsu_bus_buffer.scala 575:80] - wire _T_4709 = _T_4703 & _T_4708; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4702 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 579:56] + wire _T_4703 = obuf_valid & _T_4702; // @[el2_lsu_bus_buffer.scala 579:38] + wire _T_4705 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 579:126] + wire _T_4706 = obuf_merge & _T_4705; // @[el2_lsu_bus_buffer.scala 579:114] + wire _T_4707 = _T_3471 | _T_4706; // @[el2_lsu_bus_buffer.scala 579:100] + wire _T_4708 = ~_T_4707; // @[el2_lsu_bus_buffer.scala 579:80] + wire _T_4709 = _T_4703 & _T_4708; // @[el2_lsu_bus_buffer.scala 579:78] wire _T_4746 = _T_4679 & _T_4709; // @[Mux.scala 27:72] - wire _T_4714 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] - wire _T_4715 = obuf_valid & _T_4714; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_4717 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4718 = obuf_merge & _T_4717; // @[el2_lsu_bus_buffer.scala 575:114] - wire _T_4719 = _T_3664 | _T_4718; // @[el2_lsu_bus_buffer.scala 575:100] - wire _T_4720 = ~_T_4719; // @[el2_lsu_bus_buffer.scala 575:80] - wire _T_4721 = _T_4715 & _T_4720; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4714 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 579:56] + wire _T_4715 = obuf_valid & _T_4714; // @[el2_lsu_bus_buffer.scala 579:38] + wire _T_4717 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 579:126] + wire _T_4718 = obuf_merge & _T_4717; // @[el2_lsu_bus_buffer.scala 579:114] + wire _T_4719 = _T_3664 | _T_4718; // @[el2_lsu_bus_buffer.scala 579:100] + wire _T_4720 = ~_T_4719; // @[el2_lsu_bus_buffer.scala 579:80] + wire _T_4721 = _T_4715 & _T_4720; // @[el2_lsu_bus_buffer.scala 579:78] wire _T_4747 = _T_4683 & _T_4721; // @[Mux.scala 27:72] wire _T_4750 = _T_4746 | _T_4747; // @[Mux.scala 27:72] - wire _T_4726 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] - wire _T_4727 = obuf_valid & _T_4726; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_4729 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4730 = obuf_merge & _T_4729; // @[el2_lsu_bus_buffer.scala 575:114] - wire _T_4731 = _T_3857 | _T_4730; // @[el2_lsu_bus_buffer.scala 575:100] - wire _T_4732 = ~_T_4731; // @[el2_lsu_bus_buffer.scala 575:80] - wire _T_4733 = _T_4727 & _T_4732; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4726 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 579:56] + wire _T_4727 = obuf_valid & _T_4726; // @[el2_lsu_bus_buffer.scala 579:38] + wire _T_4729 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 579:126] + wire _T_4730 = obuf_merge & _T_4729; // @[el2_lsu_bus_buffer.scala 579:114] + wire _T_4731 = _T_3857 | _T_4730; // @[el2_lsu_bus_buffer.scala 579:100] + wire _T_4732 = ~_T_4731; // @[el2_lsu_bus_buffer.scala 579:80] + wire _T_4733 = _T_4727 & _T_4732; // @[el2_lsu_bus_buffer.scala 579:78] wire _T_4748 = _T_4687 & _T_4733; // @[Mux.scala 27:72] wire _T_4751 = _T_4750 | _T_4748; // @[Mux.scala 27:72] - wire _T_4738 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 575:56] - wire _T_4739 = obuf_valid & _T_4738; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_4741 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4742 = obuf_merge & _T_4741; // @[el2_lsu_bus_buffer.scala 575:114] - wire _T_4743 = _T_4050 | _T_4742; // @[el2_lsu_bus_buffer.scala 575:100] - wire _T_4744 = ~_T_4743; // @[el2_lsu_bus_buffer.scala 575:80] - wire _T_4745 = _T_4739 & _T_4744; // @[el2_lsu_bus_buffer.scala 575:78] + wire _T_4738 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 579:56] + wire _T_4739 = obuf_valid & _T_4738; // @[el2_lsu_bus_buffer.scala 579:38] + wire _T_4741 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 579:126] + wire _T_4742 = obuf_merge & _T_4741; // @[el2_lsu_bus_buffer.scala 579:114] + wire _T_4743 = _T_4050 | _T_4742; // @[el2_lsu_bus_buffer.scala 579:100] + wire _T_4744 = ~_T_4743; // @[el2_lsu_bus_buffer.scala 579:80] + wire _T_4745 = _T_4739 & _T_4744; // @[el2_lsu_bus_buffer.scala 579:78] wire _T_4749 = _T_4691 & _T_4745; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4751 | _T_4749; // @[Mux.scala 27:72] - wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] - wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 318:116] - wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] - wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 578:39] - wire _T_4761 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 580:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 579:39] - wire _T_4762 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 580:70] - wire _T_4763 = _T_4761 & _T_4762; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4764 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 580:111] - wire bus_cmd_sent = _T_4763 | _T_4764; // @[el2_lsu_bus_buffer.scala 580:89] - wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 320:33] - wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] - wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 320:63] - wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 320:77] - wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 320:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 321:26] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 323:25] + wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 320:118] + wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 320:116] + wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 320:142] + wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 322:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 582:39] + wire _T_4761 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 584:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 583:39] + wire _T_4762 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 584:70] + wire _T_4763 = _T_4761 & _T_4762; // @[el2_lsu_bus_buffer.scala 584:52] + wire _T_4764 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 584:111] + wire bus_cmd_sent = _T_4763 | _T_4764; // @[el2_lsu_bus_buffer.scala 584:89] + wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 322:33] + wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 322:65] + wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 322:63] + wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 322:77] + wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 322:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 323:26] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 325:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 326:23] - wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 334:39] - wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 334:26] - wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 338:72] - wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 338:98] - wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 338:96] - wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 338:79] - wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 338:153] - wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 338:134] - wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 338:132] - wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 338:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 338:28] - wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 352:40] - wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 352:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 328:23] + wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 336:39] + wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 336:26] + wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 340:72] + wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 340:98] + wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 340:96] + wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 340:79] + wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 340:153] + wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 340:134] + wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 340:132] + wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 340:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 340:28] + wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 354:40] + wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 354:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 352:80] - wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 352:78] - wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 352:99] - wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 352:97] - wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 352:113] - wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 352:111] - wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 352:130] - wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 352:128] - wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 353:20] - wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 353:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 379:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 581:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:55] - wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 353:90] - wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 353:70] - wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 353:55] - wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 353:53] - wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 353:34] - wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 352:165] - wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 346:44] - wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 346:42] - wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 346:29] - wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 346:61] - wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 346:79] - wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 347:20] - wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 347:37] - wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 347:35] - wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 349:44] + wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 354:80] + wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 354:78] + wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 354:99] + wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 354:97] + wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 354:113] + wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 354:111] + wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 354:130] + wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 354:128] + wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 355:20] + wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 355:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 381:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 585:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 382:55] + wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 355:90] + wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 355:70] + wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 355:55] + wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 355:53] + wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 355:34] + wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 354:165] + wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 348:44] + wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 348:42] + wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 348:29] + wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 348:61] + wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 348:79] + wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 349:20] + wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 349:37] + wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 349:35] + wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 351:44] wire [7:0] _T_1284 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1285 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 354:46] + wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 356:46] wire [7:0] _T_1313 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1326 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 355:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 354:28] + wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 357:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 356:28] wire [7:0] _T_1329 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1330 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 356:46] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 356:28] + wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 358:46] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 358:28] wire [63:0] _T_1403 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1416 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 360:8] + wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 362:8] wire [63:0] _T_1419 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1420 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 361:44] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 361:26] + wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 363:44] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 363:26] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 372:19] - wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 372:35] - wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 363:80] - wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 363:63] - wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 363:80] - wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 363:63] - wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 363:80] - wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 363:63] - wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 363:80] - wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 363:63] - wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 363:80] - wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 363:63] - wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 363:80] - wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 363:63] - wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 363:80] - wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 363:63] - wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 363:80] - wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 363:63] + wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 374:19] + wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 374:35] + wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 365:80] + wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 365:63] + wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 365:80] + wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 365:63] + wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 365:80] + wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 365:63] + wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 365:80] + wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 365:63] + wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 365:80] + wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 365:63] + wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 365:80] + wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 365:63] + wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 365:80] + wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 365:63] + wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 365:80] + wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 365:63] wire [7:0] obuf_byteen_in = {_T_1494,_T_1490,_T_1486,_T_1482,_T_1478,_T_1474,_T_1470,_T_1466}; // @[Cat.scala 29:58] - wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data1_in[7:0]; // @[el2_lsu_bus_buffer.scala 364:44] - wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data1_in[15:8]; // @[el2_lsu_bus_buffer.scala 364:44] - wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data1_in[23:16]; // @[el2_lsu_bus_buffer.scala 364:44] - wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data1_in[31:24]; // @[el2_lsu_bus_buffer.scala 364:44] - wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data1_in[39:32]; // @[el2_lsu_bus_buffer.scala 364:44] - wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data1_in[47:40]; // @[el2_lsu_bus_buffer.scala 364:44] - wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data1_in[55:48]; // @[el2_lsu_bus_buffer.scala 364:44] - wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data1_in[63:56]; // @[el2_lsu_bus_buffer.scala 364:44] + wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data1_in[7:0]; // @[el2_lsu_bus_buffer.scala 366:44] + wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data1_in[15:8]; // @[el2_lsu_bus_buffer.scala 366:44] + wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data1_in[23:16]; // @[el2_lsu_bus_buffer.scala 366:44] + wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data1_in[31:24]; // @[el2_lsu_bus_buffer.scala 366:44] + wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data1_in[39:32]; // @[el2_lsu_bus_buffer.scala 366:44] + wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data1_in[47:40]; // @[el2_lsu_bus_buffer.scala 366:44] + wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data1_in[55:48]; // @[el2_lsu_bus_buffer.scala 366:44] + wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data1_in[63:56]; // @[el2_lsu_bus_buffer.scala 366:44] wire [55:0] _T_1546 = {_T_1540,_T_1535,_T_1530,_T_1525,_T_1520,_T_1515,_T_1510}; // @[Cat.scala 29:58] - wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 375:58] - wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 375:93] + wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 377:58] + wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 377:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] - wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 392:97] - wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 392:86] - wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 393:33] - wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 393:22] - wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 392:106] - wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 393:72] - wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 393:60] - wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 393:42] - wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 392:72] - wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 392:70] - wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] - wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 392:97] - wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 392:86] - wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 393:33] - wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 393:22] - wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 392:106] - wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 393:72] - wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 393:60] - wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 393:42] - wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 392:72] - wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 392:70] - wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] - wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 392:97] - wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 392:86] - wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 393:33] - wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 393:22] - wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 392:106] - wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 393:72] - wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 393:60] - wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 393:42] - wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 392:72] - wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 392:70] - wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] - wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 392:97] - wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 392:86] - wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 393:33] - wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 393:22] - wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 392:106] - wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 393:72] - wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 393:60] - wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 393:42] - wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 392:72] - wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 392:70] + wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 394:59] + wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 394:97] + wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 394:86] + wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 395:33] + wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 395:22] + wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 394:106] + wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 395:60] + wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 395:42] + wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 394:72] + wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 394:70] + wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 394:59] + wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 394:97] + wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 394:86] + wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 395:33] + wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 395:22] + wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 394:106] + wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 395:60] + wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 395:42] + wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 394:72] + wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 394:70] + wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 394:59] + wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 394:97] + wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 394:86] + wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 395:33] + wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 395:22] + wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 394:106] + wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 395:60] + wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 395:42] + wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 394:72] + wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 394:70] + wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 394:59] + wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 394:97] + wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 394:86] + wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 395:33] + wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 395:22] + wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 394:106] + wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 395:60] + wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 395:42] + wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 394:72] + wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 394:70] wire [1:0] _T_1823 = _T_1822 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1824 = _T_1811 ? 2'h2 : _T_1823; // @[Mux.scala 98:16] wire [1:0] _T_1825 = _T_1800 ? 2'h1 : _T_1824; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1789 ? 2'h0 : _T_1825; // @[Mux.scala 98:16] - wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 396:83] - wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 396:83] - wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 396:83] - wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:83] - wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 395:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 524:63] - wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] - wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] - wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] - wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 438:104] - wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 398:33] + wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 398:22] + wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 397:106] + wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 398:42] + wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 398:83] + wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 397:72] + wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 397:70] + wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 398:33] + wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 398:22] + wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 397:106] + wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 398:42] + wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 398:83] + wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 397:72] + wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 397:70] + wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 398:33] + wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 398:22] + wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 397:106] + wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 398:42] + wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 398:83] + wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 397:72] + wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 397:70] + wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 398:33] + wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 398:22] + wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 397:106] + wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 398:42] + wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 398:83] + wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 397:72] + wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 397:70] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 526:63] + wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 440:104] + wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 440:104] + wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 440:104] + wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 440:104] + wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 440:89] wire [3:0] buf_rsp_pickage_0 = {_T_2656,_T_2653,_T_2650,_T_2647}; // @[Cat.scala 29:58] - wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 406:65] - wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 406:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 524:63] - wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 408:65] + wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 408:44] + wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 408:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 526:63] + wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 440:89] wire [3:0] buf_rsp_pickage_1 = {_T_2671,_T_2668,_T_2665,_T_2662}; // @[Cat.scala 29:58] - wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 406:65] - wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 406:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 524:63] - wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 408:65] + wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 408:44] + wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 408:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 526:63] + wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 440:89] wire [3:0] buf_rsp_pickage_2 = {_T_2686,_T_2683,_T_2680,_T_2677}; // @[Cat.scala 29:58] - wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 406:65] - wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 406:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 524:63] - wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 438:89] - wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 438:89] + wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 408:65] + wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 408:44] + wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 408:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 526:63] + wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 440:89] + wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 440:89] wire [3:0] buf_rsp_pickage_3 = {_T_2701,_T_2698,_T_2695,_T_2692}; // @[Cat.scala 29:58] - wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 406:65] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 406:44] - wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 406:70] + wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 408:65] + wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 408:44] + wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 408:70] wire [7:0] _T_1979 = {4'h0,_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire _T_1982 = _T_1979[4] | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 410:42] - wire _T_1984 = _T_1982 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 410:48] - wire _T_1986 = _T_1984 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 410:54] - wire _T_1989 = _T_1979[2] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 410:67] - wire _T_1991 = _T_1989 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 410:73] - wire _T_1993 = _T_1991 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 410:79] - wire _T_1996 = _T_1979[1] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 410:92] - wire _T_1998 = _T_1996 | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 410:98] - wire _T_2000 = _T_1998 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 410:104] + wire _T_1982 = _T_1979[4] | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 412:42] + wire _T_1984 = _T_1982 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 412:48] + wire _T_1986 = _T_1984 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 412:54] + wire _T_1989 = _T_1979[2] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 412:67] + wire _T_1991 = _T_1989 | _T_1979[6]; // @[el2_lsu_bus_buffer.scala 412:73] + wire _T_1993 = _T_1991 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 412:79] + wire _T_1996 = _T_1979[1] | _T_1979[3]; // @[el2_lsu_bus_buffer.scala 412:92] + wire _T_1998 = _T_1996 | _T_1979[5]; // @[el2_lsu_bus_buffer.scala 412:98] + wire _T_2000 = _T_1998 | _T_1979[7]; // @[el2_lsu_bus_buffer.scala 412:104] wire [2:0] _T_2002 = {_T_1986,_T_1993,_T_2000}; // @[Cat.scala 29:58] wire [7:0] _T_2029 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 410:42] - wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 410:48] - wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 410:54] - wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 410:67] - wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 410:73] - wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 410:79] - wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 410:92] - wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 410:98] - wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 410:104] + wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 412:42] + wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 412:48] + wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 412:54] + wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 412:67] + wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 412:73] + wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 412:79] + wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 412:92] + wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 412:98] + wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 412:104] wire [2:0] _T_2052 = {_T_2036,_T_2043,_T_2050}; // @[Cat.scala 29:58] - wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 467:77] - wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 467:97] - wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 467:95] - wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] - wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 467:144] - wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] - wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 467:161] - wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 467:132] - wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 467:63] - wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] - wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 467:201] - wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 467:183] - wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 474:46] + wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 469:77] + wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 469:97] + wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 469:95] + wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 469:117] + wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 469:144] + wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 469:166] + wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 469:161] + wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 469:132] + wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 469:63] + wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 469:206] + wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 469:201] + wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 469:183] + wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 476:46] wire _T_3498 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 582:38] - wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 492:73] - wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 492:52] - wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 493:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 494:52] + wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 495:46] reg _T_4216; // @[Reg.scala 27:20] reg _T_4214; // @[Reg.scala 27:20] reg _T_4212; // @[Reg.scala 27:20] reg _T_4210; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4216,_T_4214,_T_4212,_T_4210}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 494:27] - wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 493:77] - wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 495:26] - wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 495:42] - wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 495:58] + wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 496:47] + wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 496:47] + wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 496:27] + wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 495:77] + wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 497:26] + wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 497:42] + wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 497:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 495:74] - wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 494:71] - wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 493:25] - wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 492:105] + wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 497:94] + wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 497:94] + wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 497:74] + wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 496:71] + wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 495:25] + wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 494:105] wire _GEN_42 = _T_3498 & _T_3559; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3464 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3460 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3585 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 507:21] + wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 509:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 507:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 507:58] - wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 507:38] - wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 506:95] - wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 506:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 509:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 509:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 509:58] + wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 509:58] + wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 509:58] + wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 509:38] + wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 508:95] + wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 508:45] wire _GEN_36 = _T_3585 & _T_3600; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3498 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3464 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3460 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] - wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:70] wire _T_3603 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 418:10] - wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 512:37] - wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] - wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 512:80] - wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 512:65] - wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 420:10] + wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 514:37] + wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 514:98] + wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 514:80] + wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 514:65] + wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 514:112] wire _T_3611 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3603 ? _T_3610 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3585 ? _T_3478 : _GEN_31; // @[Conditional.scala 39:67] @@ -1524,93 +1526,93 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3464 ? _T_3478 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3460 ? _T_3463 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3437 ? _T_3453 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 432:23] - wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 432:41] - wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 432:71] - wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2067 = _T_4372 | _T_2066; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 433:17] - wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 433:35] - wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 433:52] - wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2092 = _T_4377 | _T_2091; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2117 = _T_4382 | _T_2116; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2142 = _T_4387 | _T_2141; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 432:94] + wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 434:23] + wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 434:41] + wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 434:71] + wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2067 = _T_4372 | _T_2066; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 435:17] + wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 435:35] + wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 435:52] + wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2092 = _T_4377 | _T_2091; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2117 = _T_4382 | _T_2116; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2142 = _T_4387 | _T_2141; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 435:97] wire [2:0] _T_2154 = {_T_2152,_T_2127,_T_2102}; // @[Cat.scala 29:58] - wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] - wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] - wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 467:161] - wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 467:132] - wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 467:63] - wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] - wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 467:201] - wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 469:117] + wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 469:166] + wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 469:161] + wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 469:132] + wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 469:63] + wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 469:206] + wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 469:201] + wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 469:183] wire _T_3691 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 492:73] - wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 492:52] - wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 493:46] - wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 494:27] - wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 493:77] - wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 495:26] - wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 495:44] - wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 495:42] - wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 495:58] + wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 494:52] + wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 495:46] + wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 496:47] + wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 496:47] + wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 496:27] + wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 495:77] + wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 497:26] + wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 497:44] + wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 497:42] + wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 497:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 495:74] - wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 494:71] - wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 493:25] - wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 492:105] + wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 497:94] + wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 497:94] + wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 497:74] + wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 496:71] + wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 495:25] + wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 494:105] wire _GEN_118 = _T_3691 & _T_3752; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3657 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3653 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3778 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 507:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 507:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 507:58] - wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 507:38] - wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 506:95] - wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 506:45] + wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 509:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 509:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 509:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 509:58] + wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 509:58] + wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 509:58] + wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 509:38] + wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 508:95] + wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 508:45] wire _GEN_112 = _T_3778 & _T_3793; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3691 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3657 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3653 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] - wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:70] wire _T_3796 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 512:37] - wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] - wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 512:80] - wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 512:65] - wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 514:37] + wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 514:98] + wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 514:80] + wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 514:65] + wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 514:112] wire _T_3804 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3796 ? _T_3803 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3778 ? _T_3671 : _GEN_107; // @[Conditional.scala 39:67] @@ -1618,89 +1620,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3657 ? _T_3671 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3653 ? _T_3463 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3630 ? _T_3646 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 432:71] - wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2169 = _T_4372 | _T_2168; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 433:52] - wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2194 = _T_4377 | _T_2193; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2219 = _T_4382 | _T_2218; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2244 = _T_4387 | _T_2243; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 432:94] + wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 434:71] + wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2169 = _T_4372 | _T_2168; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 435:52] + wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2194 = _T_4377 | _T_2193; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2219 = _T_4382 | _T_2218; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2244 = _T_4387 | _T_2243; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 435:97] wire [2:0] _T_2256 = {_T_2254,_T_2229,_T_2204}; // @[Cat.scala 29:58] - wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] - wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] - wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 467:161] - wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 467:132] - wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 467:63] - wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] - wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 467:201] - wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 469:117] + wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 469:166] + wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 469:161] + wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 469:132] + wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 469:63] + wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 469:206] + wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 469:201] + wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 469:183] wire _T_3884 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 492:73] - wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 492:52] - wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 493:46] - wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 494:27] - wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 493:77] - wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 495:26] - wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 495:44] - wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 495:42] - wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 495:58] + wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 494:52] + wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 495:46] + wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 496:47] + wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 496:47] + wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 496:27] + wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 495:77] + wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 497:26] + wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 497:44] + wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 497:42] + wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 497:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 495:74] - wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 494:71] - wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 493:25] - wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 492:105] + wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 497:94] + wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 497:94] + wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 497:74] + wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 496:71] + wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 495:25] + wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 494:105] wire _GEN_194 = _T_3884 & _T_3945; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3850 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3846 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_3971 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 507:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 507:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 507:58] - wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 507:38] - wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 506:95] - wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 506:45] + wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 509:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 509:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 509:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 509:58] + wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 509:58] + wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 509:58] + wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 509:38] + wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 508:95] + wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 508:45] wire _GEN_188 = _T_3971 & _T_3986; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3884 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3850 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3846 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] - wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:70] wire _T_3989 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 512:37] - wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] - wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 512:80] - wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 512:65] - wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 514:37] + wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 514:98] + wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 514:80] + wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 514:65] + wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 514:112] wire _T_3997 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_3989 ? _T_3996 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_189 = _T_3971 ? _T_3864 : _GEN_183; // @[Conditional.scala 39:67] @@ -1708,89 +1710,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3850 ? _T_3864 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3846 ? _T_3463 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3823 ? _T_3839 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 432:71] - wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2271 = _T_4372 | _T_2270; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 433:52] - wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2296 = _T_4377 | _T_2295; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2321 = _T_4382 | _T_2320; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2346 = _T_4387 | _T_2345; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 432:94] + wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 434:71] + wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2271 = _T_4372 | _T_2270; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 435:52] + wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2296 = _T_4377 | _T_2295; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2321 = _T_4382 | _T_2320; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2346 = _T_4387 | _T_2345; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 435:97] wire [2:0] _T_2358 = {_T_2356,_T_2331,_T_2306}; // @[Cat.scala 29:58] - wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 467:117] - wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 467:166] - wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 467:161] - wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 467:132] - wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 467:63] - wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 467:206] - wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 467:201] - wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 467:183] + wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 469:117] + wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 469:166] + wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 469:161] + wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 469:132] + wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 469:63] + wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 469:206] + wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 469:201] + wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 469:183] wire _T_4077 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 492:73] - wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 492:52] - wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 493:46] - wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 494:47] - wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 494:27] - wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 493:77] - wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 495:26] - wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 495:44] - wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 495:42] - wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 495:58] + wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 494:52] + wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 495:46] + wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 496:47] + wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 496:47] + wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 496:27] + wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 495:77] + wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 497:26] + wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 497:44] + wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 497:42] + wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 497:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 495:94] - wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 495:74] - wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 494:71] - wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 493:25] - wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 492:105] + wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 497:94] + wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 497:94] + wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 497:74] + wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 496:71] + wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 495:25] + wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 494:105] wire _GEN_270 = _T_4077 & _T_4138; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4043 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4039 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4164 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 507:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 507:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 507:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 507:58] - wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 507:58] - wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 507:38] - wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 506:95] - wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 506:45] + wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 509:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 509:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 509:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 509:58] + wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 509:58] + wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 509:58] + wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 509:38] + wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 508:95] + wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 508:45] wire _GEN_264 = _T_4164 & _T_4179; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4077 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4043 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4039 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 480:49] - wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 480:70] + wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:70] wire _T_4182 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 512:37] - wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 512:98] - wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 512:80] - wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 512:65] - wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:112] + wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 514:37] + wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 514:98] + wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 514:80] + wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 514:65] + wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 514:112] wire _T_4190 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4182 ? _T_4189 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4164 ? _T_4057 : _GEN_259; // @[Conditional.scala 39:67] @@ -1798,243 +1800,243 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_4043 ? _T_4057 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4039 ? _T_3463 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4016 ? _T_4032 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 430:94] - wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 432:71] - wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2373 = _T_4372 | _T_2372; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 433:52] - wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2398 = _T_4377 | _T_2397; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2423 = _T_4382 | _T_2422; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 433:97] - wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 432:92] - wire _T_2448 = _T_4387 | _T_2447; // @[el2_lsu_bus_buffer.scala 431:86] - wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 433:73] - wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 432:114] - wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 430:113] - wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 433:97] + wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 432:94] + wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 434:71] + wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2373 = _T_4372 | _T_2372; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 435:52] + wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2398 = _T_4377 | _T_2397; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2423 = _T_4382 | _T_2422; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 435:97] + wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 434:92] + wire _T_2448 = _T_4387 | _T_2447; // @[el2_lsu_bus_buffer.scala 433:86] + wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:73] + wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 434:114] + wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 432:113] + wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 435:97] wire [2:0] _T_2460 = {_T_2458,_T_2433,_T_2408}; // @[Cat.scala 29:58] - wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] - wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 441:34] - wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 441:8] - wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] - wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 441:34] - wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 441:8] - wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] - wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 441:34] - wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 441:8] - wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 441:49] - wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 441:34] - wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 441:8] - wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 443:49] + wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 443:34] + wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 443:8] + wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 443:49] + wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 443:34] + wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 443:8] + wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 443:49] + wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 443:34] + wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 443:8] + wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 443:49] + wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 443:34] + wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 443:8] + wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 442:114] wire [3:0] buf_rspage_set_0 = {_T_2792,_T_2770,_T_2748,_T_2726}; // @[Cat.scala 29:58] - wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 442:114] wire [3:0] buf_rspage_set_1 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] - wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 442:114] wire [3:0] buf_rspage_set_2 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] - wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 441:61] - wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 442:112] - wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 442:114] + wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 443:61] + wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 444:112] + wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 442:114] wire [3:0] buf_rspage_set_3 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] - wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 445:86] - wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 445:86] - wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 445:86] - wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 445:86] - wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 447:112] + wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 447:86] + wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 447:112] + wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 447:86] + wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 447:112] + wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 447:86] + wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 447:112] + wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 447:86] + wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 447:84] wire [3:0] buf_rspage_0 = {_T_3152,_T_3146,_T_3140,_T_3134}; // @[Cat.scala 29:58] - wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 446:90] wire [2:0] _T_3082 = {_T_3080,_T_3077,_T_3074}; // @[Cat.scala 29:58] - wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 447:84] wire [3:0] buf_rspage_1 = {_T_3179,_T_3173,_T_3167,_T_3161}; // @[Cat.scala 29:58] - wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 446:90] wire [2:0] _T_3097 = {_T_3095,_T_3092,_T_3089}; // @[Cat.scala 29:58] - wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 447:84] wire [3:0] buf_rspage_2 = {_T_3206,_T_3200,_T_3194,_T_3188}; // @[Cat.scala 29:58] - wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 446:90] wire [2:0] _T_3112 = {_T_3110,_T_3107,_T_3104}; // @[Cat.scala 29:58] - wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 445:84] - wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 445:84] + wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 447:84] + wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 447:84] wire [3:0] buf_rspage_3 = {_T_3233,_T_3227,_T_3221,_T_3215}; // @[Cat.scala 29:58] - wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 444:90] - wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 444:90] + wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 446:90] + wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 446:90] wire [2:0] _T_3127 = {_T_3125,_T_3122,_T_3119}; // @[Cat.scala 29:58] - wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 450:65] - wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 450:65] - wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 450:65] - wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 450:65] + wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 452:65] + wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 452:65] + wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 452:65] + wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 452:65] wire [3:0] ibuf_drainvec_vld = {_T_3244,_T_3242,_T_3240,_T_3238}; // @[Cat.scala 29:58] - wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 451:123] - wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] - wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 451:48] - wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 451:123] - wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] - wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 451:48] - wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 451:123] - wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] - wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 451:48] - wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 451:123] - wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 451:96] - wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 451:48] - wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] - wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] - wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] - wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 453:47] + wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 453:123] + wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 453:96] + wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 453:48] + wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 453:123] + wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 453:96] + wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 453:48] + wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 453:123] + wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 453:96] + wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 453:48] + wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 453:123] + wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 453:96] + wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 453:48] + wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 455:47] + wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 455:47] + wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 455:47] + wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 455:47] wire [3:0] buf_dual_in = {_T_3315,_T_3313,_T_3311,_T_3309}; // @[Cat.scala 29:58] - wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] - wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] - wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] - wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 454:49] + wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 456:49] wire [3:0] buf_samedw_in = {_T_3326,_T_3324,_T_3322,_T_3320}; // @[Cat.scala 29:58] - wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 455:86] - wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] - wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] - wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] - wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 455:50] + wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 457:86] + wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 457:50] + wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 457:50] + wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 457:50] + wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 457:50] wire [3:0] buf_nomerge_in = {_T_3341,_T_3338,_T_3335,_T_3332}; // @[Cat.scala 29:58] - wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 456:49] - wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 456:49] + wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 458:49] + wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 458:49] wire [3:0] buf_dualhi_in = {_T_3364,_T_3359,_T_3354,_T_3349}; // @[Cat.scala 29:58] - wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] - wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] - wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] - wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 458:53] + wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 460:53] + wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 460:53] + wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 460:53] + wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 460:53] wire [3:0] buf_sideeffect_in = {_T_3399,_T_3397,_T_3395,_T_3393}; // @[Cat.scala 29:58] - wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] - wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] - wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] - wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 461:49] + wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 461:49] + wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 461:49] + wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 461:49] wire [3:0] buf_unsign_in = {_T_3410,_T_3408,_T_3406,_T_3404}; // @[Cat.scala 29:58] - wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] - wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] - wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] - wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 461:48] + wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 463:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 463:48] + wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 463:48] + wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 463:48] wire [3:0] buf_write_in = {_T_3433,_T_3431,_T_3429,_T_3427}; // @[Cat.scala 29:58] - wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] - wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:89] - wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 477:104] - wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 482:44] - wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] - wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] - wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] - wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] - wire _T_4770 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 586:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4770; // @[el2_lsu_bus_buffer.scala 586:38] - wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] - wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 499:91] - wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 500:31] - wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 500:46] - wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 499:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4770; // @[el2_lsu_bus_buffer.scala 585:40] - wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 501:53] - wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 500:88] - wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 499:68] + wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 472:30] + wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 479:89] + wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 479:104] + wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 484:44] + wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:60] + wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 484:74] + wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 486:67] + wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 486:81] + wire _T_4770 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 590:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4770; // @[el2_lsu_bus_buffer.scala 590:38] + wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 487:82] + wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 488:73] + wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 501:91] + wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 502:31] + wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 502:46] + wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 501:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4770; // @[el2_lsu_bus_buffer.scala 589:40] + wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 503:53] + wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 502:88] + wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 501:68] wire _GEN_46 = _T_3498 & _T_3576; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3464 ? _T_3491 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3460 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3437 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 486:30] - wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] - wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 489:55] - wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 490:30] - wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 490:28] - wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 490:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 490:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 490:90] - wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] - wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 490:61] - wire _T_4395 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 547:93] - wire _T_4396 = _T_4395 | _T_2649; // @[el2_lsu_bus_buffer.scala 547:93] - wire any_done_wait_state = _T_4396 | _T_2646; // @[el2_lsu_bus_buffer.scala 547:93] - wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] - wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 488:30] + wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 491:73] + wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 491:71] + wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 491:55] + wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 492:30] + wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 492:28] + wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 492:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 492:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 492:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 492:90] + wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 492:90] + wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 492:61] + wire _T_4395 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 549:93] + wire _T_4396 = _T_4395 | _T_2649; // @[el2_lsu_bus_buffer.scala 549:93] + wire any_done_wait_state = _T_4396 | _T_2646; // @[el2_lsu_bus_buffer.scala 549:93] + wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 112:118] wire _T_3527 = _T_3519 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3528 = _T_3521 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3529 = _T_3523 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2042,18 +2044,18 @@ module el2_lsu_bus_buffer( wire _T_3531 = _T_3527 | _T_3528; // @[Mux.scala 27:72] wire _T_3532 = _T_3531 | _T_3529; // @[Mux.scala 27:72] wire _T_3533 = _T_3532 | _T_3530; // @[Mux.scala 27:72] - wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 491:101] - wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] - wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 491:138] - wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] - wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 491:53] - wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] - wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] - wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 502:50] - wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 502:48] - wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] - wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 505:90] - wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 493:101] + wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 493:167] + wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 493:138] + wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:187] + wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 493:53] + wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 500:47] + wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 500:62] + wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 504:50] + wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 504:48] + wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 504:30] + wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 507:90] + wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 507:118] wire _GEN_29 = _T_3611 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3603 ? 1'h0 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3603 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2075,41 +2077,41 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_79 = _T_3437 ? _T_3459 : _GEN_72; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3437 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3437 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] - wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 482:44] - wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] - wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] - wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] - wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] - wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] - wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 499:91] - wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 500:31] - wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 500:46] - wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 499:143] - wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 501:53] - wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 500:88] - wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 499:68] + wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 472:30] + wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 484:44] + wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:60] + wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 484:74] + wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 486:67] + wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 486:81] + wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 487:82] + wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 488:73] + wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 501:91] + wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 502:31] + wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 502:46] + wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 501:143] + wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 503:53] + wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 502:88] + wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 501:68] wire _GEN_122 = _T_3691 & _T_3769; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3657 ? _T_3684 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3653 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3630 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 486:30] - wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] - wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 489:55] - wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 490:30] - wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 490:28] - wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 490:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 490:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 490:90] - wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] - wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 490:61] - wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] - wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 488:30] + wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 491:71] + wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 491:55] + wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 492:30] + wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 492:28] + wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 492:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 492:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 492:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 492:90] + wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 492:90] + wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 492:61] + wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 112:118] wire _T_3720 = _T_3712 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3721 = _T_3714 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3722 = _T_3716 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2117,18 +2119,18 @@ module el2_lsu_bus_buffer( wire _T_3724 = _T_3720 | _T_3721; // @[Mux.scala 27:72] wire _T_3725 = _T_3724 | _T_3722; // @[Mux.scala 27:72] wire _T_3726 = _T_3725 | _T_3723; // @[Mux.scala 27:72] - wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 491:101] - wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] - wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 491:138] - wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] - wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 491:53] - wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] - wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] - wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 502:50] - wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 502:48] - wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] - wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 505:90] - wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 493:101] + wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 493:167] + wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 493:138] + wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:187] + wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 493:53] + wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 500:47] + wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 500:62] + wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 504:50] + wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 504:48] + wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 504:30] + wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 507:90] + wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 507:118] wire _GEN_105 = _T_3804 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3796 ? 1'h0 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3796 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2150,41 +2152,41 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_155 = _T_3630 ? _T_3652 : _GEN_148; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3630 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3630 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] - wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 482:44] - wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] - wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] - wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] - wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] - wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] - wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 499:91] - wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 500:31] - wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 500:46] - wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 499:143] - wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 501:53] - wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 500:88] - wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 499:68] + wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 472:30] + wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 484:44] + wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:60] + wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 484:74] + wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 486:67] + wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 486:81] + wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 487:82] + wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 488:73] + wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 501:91] + wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 502:31] + wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 502:46] + wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 501:143] + wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 503:53] + wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 502:88] + wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 501:68] wire _GEN_198 = _T_3884 & _T_3962; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3850 ? _T_3877 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3846 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3823 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 486:30] - wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] - wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 489:55] - wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 490:30] - wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 490:28] - wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 490:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 490:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 490:90] - wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] - wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 490:61] - wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] - wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 488:30] + wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 491:71] + wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 491:55] + wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 492:30] + wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 492:28] + wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 492:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 492:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 492:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 492:90] + wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 492:90] + wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 492:61] + wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 112:118] wire _T_3913 = _T_3905 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3914 = _T_3907 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3915 = _T_3909 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2192,18 +2194,18 @@ module el2_lsu_bus_buffer( wire _T_3917 = _T_3913 | _T_3914; // @[Mux.scala 27:72] wire _T_3918 = _T_3917 | _T_3915; // @[Mux.scala 27:72] wire _T_3919 = _T_3918 | _T_3916; // @[Mux.scala 27:72] - wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 491:101] - wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] - wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 491:138] - wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] - wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 491:53] - wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] - wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] - wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 502:50] - wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 502:48] - wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] - wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 505:90] - wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 493:101] + wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 493:167] + wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 493:138] + wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:187] + wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 493:53] + wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 500:47] + wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 500:62] + wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 504:50] + wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 504:48] + wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 504:30] + wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 507:90] + wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 507:118] wire _GEN_181 = _T_3997 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_3989 ? 1'h0 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_186 = _T_3989 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2225,41 +2227,41 @@ module el2_lsu_bus_buffer( wire [31:0] _GEN_231 = _T_3823 ? _T_3845 : _GEN_224; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3823 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3823 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 470:30] - wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 482:44] - wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 482:60] - wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 482:74] - wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:67] - wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 484:81] - wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 485:82] - wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 486:73] - wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 499:91] - wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 500:31] - wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 500:46] - wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 499:143] - wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 501:53] - wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 500:88] - wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 499:68] + wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 472:30] + wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 484:44] + wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 484:60] + wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 484:74] + wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 486:67] + wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 486:81] + wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 487:82] + wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 488:73] + wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 501:91] + wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 502:31] + wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 502:46] + wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 501:143] + wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 503:53] + wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 502:88] + wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 501:68] wire _GEN_274 = _T_4077 & _T_4155; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4043 ? _T_4070 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4039 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4016 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 486:30] - wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 489:71] - wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 489:55] - wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 490:30] - wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 490:28] - wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 490:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 490:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 490:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 490:90] - wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 490:90] - wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 490:61] - wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:31] - wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 488:30] + wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 491:71] + wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 491:55] + wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 492:30] + wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 492:28] + wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 492:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 492:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 492:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 492:90] + wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 492:90] + wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 492:61] + wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 112:118] + wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 112:118] wire _T_4106 = _T_4098 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_4107 = _T_4100 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_4108 = _T_4102 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2267,18 +2269,18 @@ module el2_lsu_bus_buffer( wire _T_4110 = _T_4106 | _T_4107; // @[Mux.scala 27:72] wire _T_4111 = _T_4110 | _T_4108; // @[Mux.scala 27:72] wire _T_4112 = _T_4111 | _T_4109; // @[Mux.scala 27:72] - wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 491:101] - wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 491:167] - wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 491:138] - wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 491:187] - wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 491:53] - wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 498:47] - wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 498:62] - wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 502:50] - wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 502:48] - wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 502:30] - wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 505:90] - wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 505:118] + wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 493:101] + wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 493:167] + wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 493:138] + wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 493:187] + wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 493:53] + wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 500:47] + wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 500:62] + wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 504:50] + wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 504:48] + wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 504:30] + wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 507:90] + wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 507:118] wire _GEN_257 = _T_4190 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4182 ? 1'h0 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4182 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2305,47 +2307,47 @@ module el2_lsu_bus_buffer( reg _T_4251; // @[Reg.scala 27:20] reg _T_4254; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4254,_T_4251,_T_4248,_T_4245}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] - wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] - wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] - wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 451:19] - reg _T_4320; // @[el2_lsu_bus_buffer.scala 541:82] - reg _T_4315; // @[el2_lsu_bus_buffer.scala 541:82] - reg _T_4310; // @[el2_lsu_bus_buffer.scala 541:82] - reg _T_4305; // @[el2_lsu_bus_buffer.scala 541:82] + wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 172:27 el2_lsu_bus_buffer.scala 173:17 el2_lsu_bus_buffer.scala 453:19] + wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 172:27 el2_lsu_bus_buffer.scala 173:17 el2_lsu_bus_buffer.scala 453:19] + wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 172:27 el2_lsu_bus_buffer.scala 173:17 el2_lsu_bus_buffer.scala 453:19] + wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 172:27 el2_lsu_bus_buffer.scala 173:17 el2_lsu_bus_buffer.scala 453:19] + reg _T_4320; // @[el2_lsu_bus_buffer.scala 543:82] + reg _T_4315; // @[el2_lsu_bus_buffer.scala 543:82] + reg _T_4310; // @[el2_lsu_bus_buffer.scala 543:82] + reg _T_4305; // @[el2_lsu_bus_buffer.scala 543:82] wire [3:0] buf_error = {_T_4320,_T_4315,_T_4310,_T_4305}; // @[Cat.scala 29:58] - wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 541:86] - wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 541:128] - wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 541:86] - wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 541:128] - wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 541:86] - wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 541:128] - wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 541:86] - wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 541:128] - wire [1:0] _T_4328 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 543:96] - wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 543:96] - wire [2:0] _T_4329 = _T_4328 + _GEN_391; // @[el2_lsu_bus_buffer.scala 543:96] - wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 543:96] - wire [3:0] buf_numvld_any = _T_4329 + _GEN_392; // @[el2_lsu_bus_buffer.scala 543:96] - wire _T_4399 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 549:52] - wire _T_4400 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 549:92] - wire _T_4401 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 549:119] - wire _T_4403 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 550:52] - wire _T_4404 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 550:52] - wire _T_4405 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 550:52] - wire _T_4406 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 550:52] - wire _T_4407 = _T_4403 | _T_4404; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4408 = _T_4407 | _T_4405; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4409 = _T_4408 | _T_4406; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4410 = ~_T_4409; // @[el2_lsu_bus_buffer.scala 550:34] - wire _T_4412 = _T_4410 & _T_765; // @[el2_lsu_bus_buffer.scala 550:70] - wire _T_4415 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 552:51] - wire _T_4416 = _T_4415 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 552:72] - wire _T_4417 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 552:94] - wire _T_4418 = _T_4416 & _T_4417; // @[el2_lsu_bus_buffer.scala 552:92] - wire _T_4419 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 552:111] - wire _T_4421 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 555:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 639:66] + wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 543:86] + wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 543:128] + wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 543:86] + wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 543:128] + wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 543:86] + wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 543:128] + wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 543:86] + wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 543:128] + wire [1:0] _T_4328 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 545:96] + wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 545:96] + wire [2:0] _T_4329 = _T_4328 + _GEN_391; // @[el2_lsu_bus_buffer.scala 545:96] + wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 545:96] + wire [3:0] buf_numvld_any = _T_4329 + _GEN_392; // @[el2_lsu_bus_buffer.scala 545:96] + wire _T_4399 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 551:52] + wire _T_4400 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 551:92] + wire _T_4401 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 551:119] + wire _T_4403 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 552:52] + wire _T_4404 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 552:52] + wire _T_4405 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 552:52] + wire _T_4406 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 552:52] + wire _T_4407 = _T_4403 | _T_4404; // @[el2_lsu_bus_buffer.scala 552:65] + wire _T_4408 = _T_4407 | _T_4405; // @[el2_lsu_bus_buffer.scala 552:65] + wire _T_4409 = _T_4408 | _T_4406; // @[el2_lsu_bus_buffer.scala 552:65] + wire _T_4410 = ~_T_4409; // @[el2_lsu_bus_buffer.scala 552:34] + wire _T_4412 = _T_4410 & _T_765; // @[el2_lsu_bus_buffer.scala 552:70] + wire _T_4415 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 554:51] + wire _T_4416 = _T_4415 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 554:72] + wire _T_4417 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 554:94] + wire _T_4418 = _T_4416 & _T_4417; // @[el2_lsu_bus_buffer.scala 554:92] + wire _T_4419 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 554:111] + wire _T_4421 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 557:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 643:66] wire _T_4439 = _T_2708 & _T_1130; // @[Mux.scala 27:72] wire _T_4440 = _T_2730 & _T_3745; // @[Mux.scala 27:72] wire _T_4441 = _T_2752 & _T_3938; // @[Mux.scala 27:72] @@ -2353,32 +2355,32 @@ module el2_lsu_bus_buffer( wire _T_4443 = _T_4439 | _T_4440; // @[Mux.scala 27:72] wire _T_4444 = _T_4443 | _T_4441; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4444 | _T_4442; // @[Mux.scala 27:72] - wire _T_4450 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 558:108] - wire _T_4455 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 558:108] - wire _T_4460 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 558:108] - wire _T_4465 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 558:108] + wire _T_4450 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 560:108] + wire _T_4455 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 560:108] + wire _T_4460 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 560:108] + wire _T_4465 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 560:108] wire _T_4466 = _T_2708 & _T_4450; // @[Mux.scala 27:72] wire _T_4467 = _T_2730 & _T_4455; // @[Mux.scala 27:72] wire _T_4468 = _T_2752 & _T_4460; // @[Mux.scala 27:72] wire _T_4469 = _T_2774 & _T_4465; // @[Mux.scala 27:72] wire _T_4470 = _T_4466 | _T_4467; // @[Mux.scala 27:72] wire _T_4471 = _T_4470 | _T_4468; // @[Mux.scala 27:72] - wire _T_4478 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 559:109] - wire _T_4479 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 559:124] - wire _T_4480 = _T_4478 | _T_4479; // @[el2_lsu_bus_buffer.scala 559:122] - wire _T_4481 = _T_4439 & _T_4480; // @[el2_lsu_bus_buffer.scala 559:106] - wire _T_4486 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 559:109] - wire _T_4487 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 559:124] - wire _T_4488 = _T_4486 | _T_4487; // @[el2_lsu_bus_buffer.scala 559:122] - wire _T_4489 = _T_4440 & _T_4488; // @[el2_lsu_bus_buffer.scala 559:106] - wire _T_4494 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 559:109] - wire _T_4495 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 559:124] - wire _T_4496 = _T_4494 | _T_4495; // @[el2_lsu_bus_buffer.scala 559:122] - wire _T_4497 = _T_4441 & _T_4496; // @[el2_lsu_bus_buffer.scala 559:106] - wire _T_4502 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 559:109] - wire _T_4503 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 559:124] - wire _T_4504 = _T_4502 | _T_4503; // @[el2_lsu_bus_buffer.scala 559:122] - wire _T_4505 = _T_4442 & _T_4504; // @[el2_lsu_bus_buffer.scala 559:106] + wire _T_4478 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 561:109] + wire _T_4479 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 561:124] + wire _T_4480 = _T_4478 | _T_4479; // @[el2_lsu_bus_buffer.scala 561:122] + wire _T_4481 = _T_4439 & _T_4480; // @[el2_lsu_bus_buffer.scala 561:106] + wire _T_4486 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 561:109] + wire _T_4487 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 561:124] + wire _T_4488 = _T_4486 | _T_4487; // @[el2_lsu_bus_buffer.scala 561:122] + wire _T_4489 = _T_4440 & _T_4488; // @[el2_lsu_bus_buffer.scala 561:106] + wire _T_4494 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 561:109] + wire _T_4495 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 561:124] + wire _T_4496 = _T_4494 | _T_4495; // @[el2_lsu_bus_buffer.scala 561:122] + wire _T_4497 = _T_4441 & _T_4496; // @[el2_lsu_bus_buffer.scala 561:106] + wire _T_4502 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 561:109] + wire _T_4503 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 561:124] + wire _T_4504 = _T_4502 | _T_4503; // @[el2_lsu_bus_buffer.scala 561:122] + wire _T_4505 = _T_4442 & _T_4504; // @[el2_lsu_bus_buffer.scala 561:106] wire [1:0] _T_4508 = _T_4497 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4509 = _T_4505 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_393 = {{1'd0}, _T_4489}; // @[Mux.scala 27:72] @@ -2390,14 +2392,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4550 = _T_4546 | _T_4547; // @[Mux.scala 27:72] wire [31:0] _T_4551 = _T_4550 | _T_4548; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4551 | _T_4549; // @[Mux.scala 27:72] - wire _T_4557 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 561:120] - wire _T_4558 = _T_4439 & _T_4557; // @[el2_lsu_bus_buffer.scala 561:105] - wire _T_4563 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 561:120] - wire _T_4564 = _T_4440 & _T_4563; // @[el2_lsu_bus_buffer.scala 561:105] - wire _T_4569 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 561:120] - wire _T_4570 = _T_4441 & _T_4569; // @[el2_lsu_bus_buffer.scala 561:105] - wire _T_4575 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 561:120] - wire _T_4576 = _T_4442 & _T_4575; // @[el2_lsu_bus_buffer.scala 561:105] + wire _T_4557 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 563:120] + wire _T_4558 = _T_4439 & _T_4557; // @[el2_lsu_bus_buffer.scala 563:105] + wire _T_4563 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 563:120] + wire _T_4564 = _T_4440 & _T_4563; // @[el2_lsu_bus_buffer.scala 563:105] + wire _T_4569 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 563:120] + wire _T_4570 = _T_4441 & _T_4569; // @[el2_lsu_bus_buffer.scala 563:105] + wire _T_4575 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 563:120] + wire _T_4576 = _T_4442 & _T_4575; // @[el2_lsu_bus_buffer.scala 563:105] wire [31:0] _T_4577 = _T_4558 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4578 = _T_4564 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4579 = _T_4570 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2405,10 +2407,10 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4581 = _T_4577 | _T_4578; // @[Mux.scala 27:72] wire [31:0] _T_4582 = _T_4581 | _T_4579; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_hi = _T_4582 | _T_4580; // @[Mux.scala 27:72] - wire _T_4584 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4585 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4586 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4587 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4584 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 113:123] + wire _T_4585 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 113:123] + wire _T_4586 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 113:123] + wire _T_4587 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 113:123] wire [31:0] _T_4588 = _T_4584 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4589 = _T_4585 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4590 = _T_4586 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -2416,7 +2418,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4592 = _T_4588 | _T_4589; // @[Mux.scala 27:72] wire [31:0] _T_4593 = _T_4592 | _T_4590; // @[Mux.scala 27:72] wire [31:0] _T_4594 = _T_4593 | _T_4591; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4594[1:0]; // @[el2_lsu_bus_buffer.scala 562:83] + wire [1:0] lsu_nonblock_addr_offset = _T_4594[1:0]; // @[el2_lsu_bus_buffer.scala 564:83] wire [1:0] _T_4600 = _T_4584 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4601 = _T_4585 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4602 = _T_4586 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2432,24 +2434,24 @@ module el2_lsu_bus_buffer( wire _T_4620 = _T_4619 | _T_4617; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4620 | _T_4618; // @[Mux.scala 27:72] wire [63:0] _T_4640 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 566:121] - wire [5:0] _T_4641 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 566:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4640 >> _T_4641; // @[el2_lsu_bus_buffer.scala 566:92] - wire _T_4642 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 567:69] - wire _T_4644 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 568:81] - wire _T_4645 = lsu_nonblock_unsign & _T_4644; // @[el2_lsu_bus_buffer.scala 568:63] + wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 568:121] + wire [5:0] _T_4641 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 568:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4640 >> _T_4641; // @[el2_lsu_bus_buffer.scala 568:92] + wire _T_4642 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 571:69] + wire _T_4644 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 572:81] + wire _T_4645 = lsu_nonblock_unsign & _T_4644; // @[el2_lsu_bus_buffer.scala 572:63] wire [31:0] _T_4647 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4648 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 569:45] - wire _T_4649 = lsu_nonblock_unsign & _T_4648; // @[el2_lsu_bus_buffer.scala 569:26] + wire _T_4648 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 573:45] + wire _T_4649 = lsu_nonblock_unsign & _T_4648; // @[el2_lsu_bus_buffer.scala 573:26] wire [31:0] _T_4651 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4652 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 570:6] - wire _T_4654 = _T_4652 & _T_4644; // @[el2_lsu_bus_buffer.scala 570:27] + wire _T_4652 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 574:6] + wire _T_4654 = _T_4652 & _T_4644; // @[el2_lsu_bus_buffer.scala 574:27] wire [23:0] _T_4657 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4659 = {_T_4657,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4662 = _T_4652 & _T_4648; // @[el2_lsu_bus_buffer.scala 571:27] + wire _T_4662 = _T_4652 & _T_4648; // @[el2_lsu_bus_buffer.scala 575:27] wire [15:0] _T_4665 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4667 = {_T_4665,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4668 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 572:21] + wire _T_4668 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 576:21] wire [31:0] _T_4669 = _T_4645 ? _T_4647 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4670 = _T_4649 ? _T_4651 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4671 = _T_4654 ? _T_4659 : 32'h0; // @[Mux.scala 27:72] @@ -2460,49 +2462,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4676 = _T_4675 | _T_4672; // @[Mux.scala 27:72] wire [63:0] _GEN_395 = {{32'd0}, _T_4676}; // @[Mux.scala 27:72] wire [63:0] _T_4677 = _GEN_395 | _T_4673; // @[Mux.scala 27:72] - wire _T_4772 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 590:36] - wire _T_4773 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 590:51] - wire _T_4774 = _T_4772 & _T_4773; // @[el2_lsu_bus_buffer.scala 590:49] + wire _T_4772 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 594:36] + wire _T_4773 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 594:51] + wire _T_4774 = _T_4772 & _T_4773; // @[el2_lsu_bus_buffer.scala 594:49] wire [31:0] _T_4778 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4780 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4785 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 602:50] - wire _T_4786 = _T_4772 & _T_4785; // @[el2_lsu_bus_buffer.scala 602:48] + wire _T_4785 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 606:50] + wire _T_4786 = _T_4772 & _T_4785; // @[el2_lsu_bus_buffer.scala 606:48] wire [7:0] _T_4790 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4793 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 607:36] - wire _T_4795 = _T_4793 & _T_1275; // @[el2_lsu_bus_buffer.scala 607:50] - wire _T_4807 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 620:114] - wire _T_4809 = _T_4807 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 620:129] - wire _T_4812 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 620:114] - wire _T_4814 = _T_4812 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 620:129] - wire _T_4817 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 620:114] - wire _T_4819 = _T_4817 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 620:129] - wire _T_4822 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 620:114] - wire _T_4824 = _T_4822 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 620:129] + wire _T_4793 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 611:36] + wire _T_4795 = _T_4793 & _T_1275; // @[el2_lsu_bus_buffer.scala 611:50] + wire _T_4807 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 624:114] + wire _T_4809 = _T_4807 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 624:129] + wire _T_4812 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 624:114] + wire _T_4814 = _T_4812 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 624:129] + wire _T_4817 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 624:114] + wire _T_4819 = _T_4817 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 624:129] + wire _T_4822 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 624:114] + wire _T_4824 = _T_4822 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 624:129] wire _T_4825 = _T_2708 & _T_4809; // @[Mux.scala 27:72] wire _T_4826 = _T_2730 & _T_4814; // @[Mux.scala 27:72] wire _T_4827 = _T_2752 & _T_4819; // @[Mux.scala 27:72] wire _T_4828 = _T_2774 & _T_4824; // @[Mux.scala 27:72] wire _T_4829 = _T_4825 | _T_4826; // @[Mux.scala 27:72] wire _T_4830 = _T_4829 | _T_4827; // @[Mux.scala 27:72] - wire _T_4840 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 621:98] - wire lsu_imprecise_error_store_tag = _T_4840 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 621:113] - wire _T_4846 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 623:72] - wire _T_4848 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4840 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 625:98] + wire lsu_imprecise_error_store_tag = _T_4840 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 625:113] + wire _T_4846 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 627:72] + wire _T_4848 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 113:123] wire [31:0] _T_4850 = _T_4848 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4851 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4852 = _T_4850 | _T_4851; // @[Mux.scala 27:72] - wire _T_4869 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 630:68] - wire _T_4872 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 631:48] - wire _T_4875 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 634:48] - wire _T_4876 = io_lsu_axi_awvalid & _T_4875; // @[el2_lsu_bus_buffer.scala 634:46] - wire _T_4877 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 634:92] - wire _T_4878 = io_lsu_axi_wvalid & _T_4877; // @[el2_lsu_bus_buffer.scala 634:90] - wire _T_4879 = _T_4876 | _T_4878; // @[el2_lsu_bus_buffer.scala 634:69] - wire _T_4880 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 634:136] - wire _T_4881 = io_lsu_axi_arvalid & _T_4880; // @[el2_lsu_bus_buffer.scala 634:134] - wire _T_4885 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 638:75] - wire _T_4886 = io_lsu_busreq_m & _T_4885; // @[el2_lsu_bus_buffer.scala 638:73] - reg _T_4889; // @[el2_lsu_bus_buffer.scala 638:56] + wire _T_4869 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 634:68] + wire _T_4872 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 635:48] + wire _T_4875 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 638:48] + wire _T_4876 = io_lsu_axi_awvalid & _T_4875; // @[el2_lsu_bus_buffer.scala 638:46] + wire _T_4877 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 638:92] + wire _T_4878 = io_lsu_axi_wvalid & _T_4877; // @[el2_lsu_bus_buffer.scala 638:90] + wire _T_4879 = _T_4876 | _T_4878; // @[el2_lsu_bus_buffer.scala 638:69] + wire _T_4880 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 638:136] + wire _T_4881 = io_lsu_axi_arvalid & _T_4880; // @[el2_lsu_bus_buffer.scala 638:134] + wire _T_4885 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 642:75] + wire _T_4886 = io_lsu_busreq_m & _T_4885; // @[el2_lsu_bus_buffer.scala 642:73] + reg _T_4889; // @[el2_lsu_bus_buffer.scala 642:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2575,59 +2577,61 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4889; // @[el2_lsu_bus_buffer.scala 638:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 548:30] - assign io_lsu_bus_buffer_full_any = _T_4399 ? _T_4400 : _T_4401; // @[el2_lsu_bus_buffer.scala 549:30] - assign io_lsu_bus_buffer_empty_any = _T_4412 & _T_1157; // @[el2_lsu_bus_buffer.scala 550:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 627:23] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] - assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] - assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4846; // @[el2_lsu_bus_buffer.scala 623:35] - assign io_lsu_imprecise_error_store_any = _T_4830 | _T_4828; // @[el2_lsu_bus_buffer.scala 620:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4852 : _T_4594; // @[el2_lsu_bus_buffer.scala 624:35] - assign io_lsu_nonblock_load_valid_m = _T_4418 & _T_4419; // @[el2_lsu_bus_buffer.scala 552:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 553:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4421; // @[el2_lsu_bus_buffer.scala 555:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 556:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4642; // @[el2_lsu_bus_buffer.scala 567:35] - assign io_lsu_nonblock_load_data_error = _T_4471 | _T_4469; // @[el2_lsu_bus_buffer.scala 558:35] - assign io_lsu_nonblock_load_data_tag = _T_4511 | _T_4509; // @[el2_lsu_bus_buffer.scala 559:33] - assign io_lsu_nonblock_load_data = _T_4677[31:0]; // @[el2_lsu_bus_buffer.scala 568:29] - assign io_lsu_pmu_bus_trxn = _T_4869 | _T_4764; // @[el2_lsu_bus_buffer.scala 630:23] - assign io_lsu_pmu_bus_misaligned = _T_4872 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 631:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 632:24] - assign io_lsu_pmu_bus_busy = _T_4879 | _T_4881; // @[el2_lsu_bus_buffer.scala 634:23] - assign io_lsu_axi_awvalid = _T_4774 & _T_1165; // @[el2_lsu_bus_buffer.scala 590:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 591:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4778; // @[el2_lsu_bus_buffer.scala 592:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 596:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 597:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4780 : 3'h3; // @[el2_lsu_bus_buffer.scala 593:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 598:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 600:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 595:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 594:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 599:20] - assign io_lsu_axi_wvalid = _T_4786 & _T_1165; // @[el2_lsu_bus_buffer.scala 602:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 604:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4790; // @[el2_lsu_bus_buffer.scala 603:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 605:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 618:21] - assign io_lsu_axi_arvalid = _T_4795 & _T_1165; // @[el2_lsu_bus_buffer.scala 607:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 608:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4778; // @[el2_lsu_bus_buffer.scala 609:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 613:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 614:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4780 : 3'h3; // @[el2_lsu_bus_buffer.scala 610:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 615:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 617:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 612:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 611:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 616:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 619:21] - assign io_test = _T_2002[1:0]; // @[el2_lsu_bus_buffer.scala 416:11] + assign io_lsu_busreq_r = _T_4889; // @[el2_lsu_bus_buffer.scala 642:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 550:30] + assign io_lsu_bus_buffer_full_any = _T_4399 ? _T_4400 : _T_4401; // @[el2_lsu_bus_buffer.scala 551:30] + assign io_lsu_bus_buffer_empty_any = _T_4412 & _T_1157; // @[el2_lsu_bus_buffer.scala 552:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 631:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 190:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 191:25] + assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 216:24] + assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 221:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4846; // @[el2_lsu_bus_buffer.scala 627:35] + assign io_lsu_imprecise_error_store_any = _T_4830 | _T_4828; // @[el2_lsu_bus_buffer.scala 624:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4852 : _T_4594; // @[el2_lsu_bus_buffer.scala 628:35] + assign io_lsu_nonblock_load_valid_m = _T_4418 & _T_4419; // @[el2_lsu_bus_buffer.scala 554:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 555:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4421; // @[el2_lsu_bus_buffer.scala 557:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 558:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4642; // @[el2_lsu_bus_buffer.scala 571:35] + assign io_lsu_nonblock_load_data_error = _T_4471 | _T_4469; // @[el2_lsu_bus_buffer.scala 560:35] + assign io_lsu_nonblock_load_data_tag = _T_4511 | _T_4509; // @[el2_lsu_bus_buffer.scala 561:33] + assign io_lsu_nonblock_load_data = _T_4677[31:0]; // @[el2_lsu_bus_buffer.scala 572:29] + assign io_lsu_pmu_bus_trxn = _T_4869 | _T_4764; // @[el2_lsu_bus_buffer.scala 634:23] + assign io_lsu_pmu_bus_misaligned = _T_4872 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 635:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 636:24] + assign io_lsu_pmu_bus_busy = _T_4879 | _T_4881; // @[el2_lsu_bus_buffer.scala 638:23] + assign io_lsu_axi_awvalid = _T_4774 & _T_1165; // @[el2_lsu_bus_buffer.scala 594:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 595:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4778; // @[el2_lsu_bus_buffer.scala 596:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 600:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 601:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4780 : 3'h3; // @[el2_lsu_bus_buffer.scala 597:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 602:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 604:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 599:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 598:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 603:20] + assign io_lsu_axi_wvalid = _T_4786 & _T_1165; // @[el2_lsu_bus_buffer.scala 606:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 608:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4790; // @[el2_lsu_bus_buffer.scala 607:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 609:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 622:21] + assign io_lsu_axi_arvalid = _T_4795 & _T_1165; // @[el2_lsu_bus_buffer.scala 611:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 612:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4778; // @[el2_lsu_bus_buffer.scala 613:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 617:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 618:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4780 : 3'h3; // @[el2_lsu_bus_buffer.scala 614:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 619:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 621:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 616:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 615:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 620:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 623:21] + assign io_test = _T_2002[1:0]; // @[el2_lsu_bus_buffer.scala 418:11] + assign io_data_hi = _T_4582 | _T_4580; // @[el2_lsu_bus_buffer.scala 569:14] + assign io_data_lo = _T_4551 | _T_4549; // @[el2_lsu_bus_buffer.scala 570:14] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index bc0cc62b..624a4759 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -106,6 +106,8 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val lsu_axi_arqos = Output(UInt(4.W)) val lsu_axi_rready = Output(Bool()) val test = Output(UInt()) + val data_hi = Output(UInt()) + val data_lo = Output(UInt()) }) def indexing(in : UInt, index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) def indexing(in : Vec[UInt], index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) @@ -564,6 +566,8 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val lsu_nonblock_unsign = indexing(buf_unsign, io.lsu_nonblock_load_data_tag) val lsu_nonblock_dual = indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), io.lsu_nonblock_load_data_tag) val lsu_nonblock_data_unalgn = Cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) >> (lsu_nonblock_addr_offset * 8.U) + io.data_hi := lsu_nonblock_load_data_hi + io.data_lo := lsu_nonblock_load_data_lo io.lsu_nonblock_load_data_valid := lsu_nonblock_load_data_ready & !io.lsu_nonblock_load_data_error io.lsu_nonblock_load_data := Mux1H(Seq((lsu_nonblock_unsign & (lsu_nonblock_sz===0.U)) -> Cat(0.U(24.W),lsu_nonblock_data_unalgn(7,0)), (lsu_nonblock_unsign & (lsu_nonblock_sz===1.U)) -> Cat(0.U(16.W),lsu_nonblock_data_unalgn(15,0)), diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index a18937bc2ba432537cbe10180c4b360eff8eb01b..ead3ab1ab52e17e380f5ed6ff0da7c15fbb60f7e 100644 GIT binary patch delta 103 zcmcaFcVBLU1UF;bWJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-`y4EjuMlePIOfHF(?b^`!^yC1&* delta 103 zcmcaFcVBLU1UF;TWJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-`?4Ejt>lePIOfHF(?b^`!)qaTL= diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index b6a948bbc241105caa25f6e5ed04bdde64cdffb1..419f854d35fffc2a35ac4137fd255d14d0767be5 100644 GIT binary patch delta 19 Zcmey$`jvG<1QTQ1VPwx$$l8YrYGO@TuAzk4-{uFj+O_xYXk?m54E z@4NTDckX+0^tC@c`*|W-%#SloNM?E(+~h(B(rN3-;D39&>($joQ|VOQf)Fv&;8q0b$soFAysG6x<+Xb$* zDU}6R3m2^xbt+eNyO-{QS4;X}BA)FG(Qu4i|1zd9Mk(cVrQhvE*qCO}=Lvlj+!LbZuyEfh4d(oY(^6moH5h zm)7Qdiwmp$!gkMf{bqC{x=pOPuD-hbe)&#kqsc_v@uIy>9OLDebf3;TT}&gJ6RB9T zC+_A~tZ&GocX=<5DdH2+c--@m!VvqD2G59_is!8bSD(vUaH2BVwC6hUXg2ELv^te` zdedIq>2kde*Dt9-NxXki?s`1;jNkO2WZY@*NhY1ngzkN$J=v4#bh@0LZl;hq@mun{ z8%uX}!OO2Vk#P!>m+_0LlJQK|iT7YRU_gq_&`8l4Jcnq{w)0unP5Eoc?}i@t=(&Uw z?e*Mf{5-})4-iTQ6twA~_q*;7Aw1eVH-p|*<nOAP;yxkgv}3$Fum{ zRIfYaKYXw(95;nQ@Y^dCIA{8X2}SDH5%!qwRpJq1zUGRk7yuI}u4 zN1O5uCh&kWMYWhdekuBZ!7 z$z-A<`UPZi`&}>FVbai^e+r;NV|@X{g&vgUR1T(cUxZOp!yb>v@M> zGhT)BOx9~Fs-PEfwYU?w%k_hy(9hg`To?^~BNzJQ*`t9+fjt_YH5#5h8s31>@a)m> z28@PhkA~NOG;+_RvaIW0WJoV8|MoMQe;3!(&&v*VWCe8BQ z9#b^iXdhNI$7ml>G}mY!RW#3Nf3B#(H2(=j^NscwiWV5{FBL5`+Gi9kGTLQDi;eb_ zq9sPVs%WXvKBs7z(VkVb+-QHLXob-}uV|%d_lt@eP1#>7T4l7qQMB4*G^|n-HQHcBZAPn66f;__qPWq9DRPZALQ%V^Wt5^0Q#M9Xr_shK zN*HZ|qEp6ilA_b5Y>J|!(dra+8S8XKDWlaZN*isKqHg0iN6{Ih%~RwVZN8$6(H1Jo z8f~$n9-}Q)bk@|eTv4wnTdC-r(N-xsZ?rXvt}}k?6kTu1HYmEmXqyz>XtXU%6{`}d zM0O40W_`euqjBZ|xYeb5u(fBJnA`dXya|PP2Oe2FcW-KK-dx8tIsd>4@NZPSlXr!= znRla={aG&&!_#kR(W55-6yBOp*IwQiL0$Xx_2jzR-xb%RcpJAysEl!C`M1QTRCf>F zl=u*yH~C(hLfy$DVLr@9{oQ=7-rW0>e)ZBm$HO$3PvBK6+TEQzulOY06hR60j!WzF z*d}Zy8}ny&=kf;ahAKD~LBojYD%Y;xUWnU^`mP_(=zC{z-%X+J*Qqck__W`jJ0sBi zU4E`I?G<8#m*L_gImFn-me!j=%S6~?%+J6v(C?PIt+Qbo%Dw1Fu7%t%g%~@R+Wht9 ziZyh7n6Kj-n5Nb@6=Gb@qyM`oBG^d2DFS~)JwAvvRmK*&|Qli!AAYIUX4)e?&|4kbG;VxHeTDD#wU*hQ7_@2_Z8Oc zbhDl5_@1a2?Q$`Q*h{FURLb@A*9;e@)tm7ao6g|H-MHe_bAP%A@8VnVB?RXE{&$q7 zR1(cKrP{He%5@2XYzdX&!%Z92`ui1Vwf-JNOYA_j#QsA|>^`)_K0{0FGPJ}VLrd&1 zw8Z{GOYAPR#NI+n>>jkl-a$)j6STx8K}&2Aw8REMOKcCc#O6RtYz?%;#z0GK3$(fT}y;@ zEfLhUL`c^X0bNUkb1f0fwL~b_5`kPxgmEnq#I-~S*Af9-ON4JN5xliT=++W}TT6s( zEfKV}M99_>0b5IiYb_D1wM3}a5`kJvglR1iq_sqd))E0)ON3`F5uCL|Xx0*eSxbav zEfJKpL`c>W0a;6gV=WPkwL~b^5`kDtgkdcagtbHn))E02GDf(8=_Y)v{2;ic!SvOZ`klc5#A`muh{S+5#A)i-?HJwBD`6==I_|> z5)s}a!r!&wr6RmlguiFQ%SCvb2>-x_SBP+v2>-~2SBmg<5q{N%8%21B2>;lISBY@5 zIL|+^;ngC%Q-ptJ!)ru%mk7UR!)rx&w+O#(!|OzNk2qkzu;KM0yjO&OX~P>tc%Rtq zH*9#L2=5nLe$$3GiExY9@~>@pvk13}Ex%>MTSWMP*z#{|c&i8>6kGnC4Q~_SLn8cp z8*UQe!(zAJw&Cp}d_;noZFq+WAC_3flWq8@2%i>Po@&F# zL^vtJ(`@*-2zQCyo?*i$L^vhFGi}%r;j{?Pw&9Z^+$~=7TpNyx@EH+qu;Dfl_C$Dr z4aY<{Bf^VpI4;6j5nf`$t_b&t@G={27vZzwRIRY#4iWAZTW+-BP7yvQ!mDjKA;RZH zc&!be65;E_YhG`|r$zXBvE_|6oD|_3M0m3ecZu+gBD~dxQxc5dc$@GE9beW54X1Ma z21Qk^vo7DWq+!|!KEy!?tkl_wvNM7YsQRCfL z=&m5cJwb+hgADfv86F5SJZKC9hvXsO@Em)GpXQ#T{(4vFkwD9%rm}OF`No<_SNZ0v zeCt)dP(;7`3SGJepU1Dk=ZS0Z`Peo1eBAg99Dq*-89o(c_;irr$sogLgA7-K3{M9c zo(VEM7i4%o$nXVY(9>8MFpXadf?o(SyclHoa-q5MfY!cRaG8CT?+WmGDX4{)gA8B4 zrh30o@TyrC(Do|@pP-BQR!}d#6J+=<4EObaUfr*sSKkXV{2<8iqaeepL53e2gTD$w z11=ifz`gvF|KH_j1sCCwV5)iT8hl;NBl_{l5;v z-?}FJH$nLCf(*aErh4Blcm<6)b3hYZ8e}LBGK7K*;UGhmG5AYMyq)#3;6Vkiz{{vE z_yjCc9#U|r8Td3aO${yhRLFD9!wW70Z*Wt~NaHeKjq>OM8`R6O1(%>6j4!wZ)iN=t z2a^k|@CY;ApIYP-G*Hum8kiAem>Fc4U1;u4EP}ZOufRpnQ1A&Fm<0uwz(ugA;1e_j zOA0PQi(r{?8Mp{m6xg6%HWplhda%0S5>(6DpdPI6W3TcDa(gl}^BaQd z@O{Xr#V(s7$Wxh0gOKk$7@5D-$bqe)3p9itL*mynG?czc!{~MVm01~$;PFW2TS}uC ziAQ{j#_-)VmM_yd{x*&0-_nEFC9fQOBc|r(pH*XnxZ+S7iezjV>GYy1!^dLjpmn?(1NnDw6JVFEh=+qaoO#( zr0fzcEqj)hm%TzO%6?8O%gd>;d;+a1Uq-9TkI-L9+ES6BtrZW^wu;NtRPhpRuXuxYgoaadXddkhZKYkIle9Z@p7w<9qrIWe z(Z104X@BT#YN?z`t(9BoKxKjsR^CE~DxaXkm0zJFm9Nv$a2Xv7*VFNEGo1*##`8h)PI!mm;+QbzGeJ-Lw`)E-GuN8|!^Mm|A_$jfvp@+&%B6{cj>6zZyKq*PT4 zRp}R_5$5HmFKY;|LA;$G!Ux0;VYbSdx7XA_Jc^T9cuxvr0u_#fF=;~}he8g69055J z67Ny?H-s$;b$Y6<^{Q+21(e$7Dt-BQ$)GWMrM=6hF2yRyj2MX3NO#hlU>`8Cm-{;N6>% zs}Bu7jWY7Zq2WUnI5Ut9|t5wG5$&c8d8NA+4!gwzxpsT^l`w~c}A8!G<@V|WZpx=PaKSFe4OH! z5=PcOPLZ#}__GTf@NJusWsd{CuQPJ+aX^*_(r5j{DF1+T6Gn3RMdlA<0ni@-80~1= literal 15016 zcma)@33yyrb;r-qHk$FZCCmH1NVerw-Zy!-yvUYUS>EmE(ae)H_Gm_aGm7ja1TZ1a zLK2b?Lbj5WrW7cJm{=i6!_qWmNmB|bP#Tt|6lfYKq?D#WA^pF5HH)s!qxSdto%8NF zzkBby_r7=Tdvo;F-#_~~B3jOmGEGfpdK=v2QU}s$@6F(UM~Cax)kRb3RNaygG1G`x zS0dvkmo;qZO~sRLh)S8p4`mi*V$o!jX{=mjh$@(t=BnCup(mM$C9+%7-93qt%IQwWT^L((J@6ZCM2&Gb z=46w-j(Z{NdZ}pANya<+;!Zq~iMA&}OvyR)wL7tNH0fqyiBxC7J|kz(B;u}%nT%zf zo^&Sb7S&Oc^XW)?G1uveCbLY+pa0lW$8%!Ia~PU@&$cGhv2*C!sN4ccV1+obCB`pb znl3J_$@vx+R{4b;p6mL}=tgv#SaaP2b@~1Bovw=}6LH6j_BnBkmtWFzF6(qNjcZAy zV#(gPn_scMA&cJSy*#FfPekK!&qoSF>`xjzBW^05w-#J|E^on!%4E}?>%^nksDsn0 zRNCoFdvT}R^*UX@q#7mh!9}_2@!a!%)5DW-r=vHSbh;9{_mPfdZ>G!Xc6xi5LgvJ8 z$?t9~-Q5i@zurW~DNJ6*FB+DNXR=Pb7s~+yQgnt!iq7CUQhT;v%(`yMUqgO3^teYa zB%ElU=SJffF(!I|P%@;TT@StAb$O6lu zi_cBcbX?BEJg=M{J$Wfyoo&%m5cMtNEynHc z$zF7{Dc@iM4>(g)gX!}}Qcs`1kdtYqA%$HDJ?akbQoUAkj+lu-Wxn5Jzx_makLSkl zJat^pOM9p_iuLGsZ;E6#%TU`zT(5I&p5WtnXt(<}tf|)ANLT}UOa|Ms(N29!4W$yP z>--U(BwKtAR^6GwHR|ghnExQNzGkM0L+jQwDmU@Nx)8H$^d$9ko0XCEE?}YfvBt?2 zb>k_SOmwDPEV+@zhJjWG@mNY2^TJZ@8|b;d9nJ0HFWvmT67BPcdSGehZl_KJt)k@| z?MwQrw}{CkuE$fmsFn6!FN4Qzk&8cFgIr?KSeG9h{3|dG^>}G8`MW6EcRrmda>#W( z@6cz)t8kvldhJCO^dYVmcLI00elQgJnY)h*qoHr)LZ3W)H1H^}N5iv5!?Q=j8!{T6 zJsRGS(eUii@CJ`Y?wM4Ub^VL1uAiHaWje|#8c!3#R6_4yD$8PL!!*4GW1WvF{&UAX zRdTzaMnw}1^KM0xjP@Qyla2OXMN^D+8SAd7ITJb+O{Hmmd$%i^ZnXC)nqjoN6wNf+ z`xVt1?OsK7M*D!GS*GR>GEG3ua`;m;o96f}JgBJNXb%s*a2@*;&82z1+aD>KZ?wl0 zEil?2D_Ur@KT)*EXn(4x!8HG8iWVE~xA8co?36stxh;Lb8%~I~VfVLZUsGGlwmPO6`G-@0f1~0Zyfe((xf!jrX1zoVPqmdrkC^~a zcpE}pySXKTy7uVn$#r$GE3QZJ7Ty=3GTx6clk;j$_4MKmh!5h~lJB)C)SWyO=2mX= zcj-AI_g-Xoj2sG?MhUY5NULnT*ZH)N%4Kc!Z zX}uY=?g%FtTY#Zspx+sFTRmYK#n{nS=UT`OQ-~3ZYVy~YE7nkNn6rExQ*C{7A)e(t z2ESt>T8-li5%^<+!$+{jiWW;EWnL^BIuf3jO*ZH^RkUyeVa9R^7e5-R-V-$h>9Ow50JV<8ahV_~!$K)qC7*S315w>P5R<3?k-AHK$Up zr@vCTIIY@-x6^b6FXYA*uavFnUc6&(#}^KmTmA1N&8Z}sYfg3GZK7P4AfT2|89vCI zp&Ea00Sni7kMZ*Z^pW@UJC;zm^F7S|adkiLkFF zg1(jr`C202Yl(2LC4#+{2=!Vb&})e>uO))KmI(1$BEV~j@UA6-yOs#;S|YG(iLkCE zg1VLn=~^P7Yl(2KC4#w@2<2KLkZXxBt|fxFmI&cmB7kd&@U10+x0VRqS|V_3iLk9D zg0_|j*;*oCYl(2JC4#k<2-R95P-}@WttEoAmI%>WB0y`2@T?_*vz7?WS|TuOiLk6C zg0hwf$yy>HYl(2IC4#Y*2*p|=5NnArtR;f5mI%RGA^>ZN@T(<)ua*eCS|adjiLk3B zg07YbxmqINLPiNUEZvBYjvv5T1I`$-eBM)Z)2E@7@clSe=t9LGgszMOy_4RBGrU-e z@Fg1_F2a*U_+}d(A;ObI_!b+k65%N#e5(yti||ysO&G8{YjYZV8i1?cs6}Vn5u_tc)SSLi|`{h zJVAu#(xXDlAGYC%B0OJ&AGhI2BD_F^KVrj^MR=hIKVidDM0k-1f7FJjig1HC&mXhl zX(GH>gg;@!(?xiR2!GOsXNd4p5&o17&lKThBK)Kc*NX6R5&nz~*NN~75x#1}vqX5M z2tRGZvqgB72tQ-Pb3}Nx2tQ}T^&-4RgrB$Jxgxw)T-%?w;dvt5D7O4X8=f!1>qPhk z8(tv7>qYoQ8(t{F8^mk=k_|5s;f*5v6&r34;Z0(DZ;PX@EQ?r z7UA#N@LCbxCBi?j;YJbOEyAza@H!E05$E}bHoRVh_lWS1Y`c$5vdiLfieV{G`a2zQ86HO_{Qh;XOa@&p?`D#BeNJjsTS ziEu)Mr`Ygu5k4zk^E4YiA;RaxmS@=TNfAzpaIFoW65(#K+p}!=v zhirV`R}gOe8Y2`JoYrFi~5_qN)H8E9x;`9 zSGccwdOu&^&o}k+yNl@eT%`|RgU{pF;Pa7d@Ok1Id_HP?h7Q2Tf()MsGJG<~@Tnlf zlR<{h1R1Ud8J-R@JQHMiF39k_G3aTm44B5x2f<$qGQ1FEc(Kr2c|dDlD!9z==gR?J zUkPgAr69x0*HrJ<3SQNl0^0t1!6)bmeF@LydM{#FqF>mb8#uc_YO6}$q+{Oy1yn1c+ZL5A`mLnz1)HU@ubiMO*} z7Cfxr6?7RqyxWSA3Vm|JM>4=jTD1+TzGu(040G%yVX zm%v4^q~H@Y13pj zk=>!QKlpZJrDD%a5#)Z<(s1Mlk3gnu6>>(a=`xL^$B;br42`01&}e!Ce}Pp-V|fY^ z;#SglM)D4yrHOnOP2wvwnZHF-_%}4Q1j$q-t7v-3ewtB|pqV9?sJ7%`sw;VlW|h25 zvrB$Vb4uQ(`qJ?atg8P1#RrZFxC0mQSU1<*R6Y`ElA%{tnt${y1$ae~~tqf1kFL zzeQUsCQ(zxa@tmLoVHhFXh+3^w6o$0HCMbuyDHwK-Jvnm5?VxiLOW=0=nU-(U8Mb? zd+9*vvve@@U1|-zO@}ILsjYH59j;8!k;+SSwDJi$R{3Q*Uik){2$#{xa6O$0x6tXZ zOHTMEIum|?qT%PMJ^UKQB4reh)RP<8O&yUGbw(~zSLEZAh`dZ^Bfp??!@`stHj{?w z*PF5C6=om<2QM?+#P{LT=L49fa^|M$YKRZvWFFpj!k9RP;|NUFNXSu;qanvaj)TP8 z5dMwi2XQQ;5Hflmf>weW9)|upGe!OPCq`QQjXK!}e>LN4NWMWrtA9YM0wa?h2mF}L z$VSHjzYjCAvr&fMI`B7${waPwVB~4zfL~G>dFd#_uepqDbR3W^!N`oq0Ur|>nZ!8Y zMVFCSk*@ls;j!N;n2_t_U2YlaVtXL3efIyC%9z{pj{DSm5Uck`LUK(<1^BPf z_^GWPzggptYW)2gKUL?+w`lxPjo+^EQ`(z`n|+EGvP2L`Dhk8Av8 zjo+`?>1gompVs)x8vmTe@7V6ikJ$DvqTbX_Rd2i+^-gR2QH_7s=98bPU7nxwn*CA5 z=YC$&<)887OS-(`v;0F{{uQ45V~szm@jv=1E{KMjGPzMf3h0i3%4m!MsQ9Un$Cny^ zRO4^b_^GfbzeVGZYW#ZU(}3kt6|SSvMvXtJ@n50YNmY9Go0(tH4m+cY-w>DZe?RjH zxVaRjs}0;}i!QJDEZ@$2_9yE3b6B%8s`#p-qdm;${)u`19MkP9KHGmmz&-|0R zeZ^<{XPM9bBs_nHn9t*-_&i=0H9M&!$+MkHnw?R_R}&+8P2;DeXaAOFXH@ao&K-@P zs`BhlYy44-e^=wDsy+F6=Bsv%Dn9$Oq|4WM@+FNws_`Fcc2b)>{~zn}iqHOk^i^5` zM^rAbBdP)lM^xt95jC11V5!L2aXk)T*^yK6IdU?8i#Nk^qa0Bw0!8bY&p`AdXw;6Z z3|z%$K-iI0@j0?G-;S&-uOch+?a0dVDuOa!i>x^RM(xPT z_EiLB`^U6)jVeC(+Y1^$aBH5EU)qH zh|c&?k(>RrBRb0~KHG63Iu$IZR76(=u?lYjEOVq+hGKT4rwW!i(lg(V^oq}sp80m9 zXL%LTnQupWme=@pq-S{*(OKS(^enIO?MTn^Dx$Nz9qCzK<7<(AS&Q^BJJPefw*tiM zNYD1Yh#u1-{jwJ6V|Juxc@@#wA3M^seT{ENdY1PhdQ6M-%cC0Kj`S?AB0Af*BR$*K z_*$f2)*^k(j`S?=tpG7Q(zAUpqQ~q=PbyM9 zbjF(<=@p+NJ@f5I&+;mwGhd7J%UYz5*^!?8_ab`Cj`VC_@!5_O>A3>6N9F=M(zAlb zcOpG?1n-@>BP2%x?jT+PXloR6RsbchB0bC7D*)S9e6H7C0oWfe(#NzFV43&UEU&Eq z%i0PM(^i0G-dnT0wgN2k-kSOL3c&t&D?`j)0oWh40=l3~u(zj=*((6|j~D4<+6u5ds`2#|K-79GKwMh^#F*l%NE6pq08y*<^vt(c0IFDw zDZXkz++G0`zg9(h=4&f}81q(uxV8d_S})SawG}{&X?%MHVEf*lKCZ0*V$53s;@S!z zYQ0Dw*H!>Art!5EK-79GKwMh^#F)m{Rsd1!Mf$kC0#JYuV~Vc=LEK&e*ne-YAJYJ$>9sR$#)5w2J6z!p9%z^4{J({-VZDdl5bUg!vRW zL{ahCPRQG;iL?sbgwKk2B-9iaQ@i7vn_4R~9hu4yqGc$2>WmPPK`|Ku|9PE1=<$bj z{&|l-!Tg3wvNKHl%%p&w1?F$fN5H>8{HEPIz<){SV^ihut0KxDmLK={J9YkLkKfAt zhCP+Af0g)|$!E!bUH-Z!Kg@h??@i)!dncG*^*s4GLHt}(EBUYUvmXB?-A>N4Qx(UOxJ^u5|XMg61&;AVS{QDk%LbtQv*;&x}1)Cq{*%pD z3lr^A_qQLuI=nLyjwTZw;#Tj2sAwpPO#j~Kh3$``mEnq!s>ZQ%O(9y{=hvZu8 zcG&Mcm&xTCDrVvxqxTv+A6&1y1bg)rcQQ>KsgeF8JK_zG_Cjy5>ZNC!DxaH~x-zt{ z?M72+rMGe4!5h6ZbN!IJ(0>ea4QIoliU*YqnL|6$iFj51a7Rrjc0RqOByV+1g&T5P zMs9VjzBHQZSl$$`h=#+X{h9vV;mgb0T5oj~E>ypAw)%GGqq*99+ZGynmj+Kgw>y{H zor+iO>FOAdhNIiY;a79TrbtKb(Dm+n6T8UIJr#EXIe$wc-~ z-rQSSTNv#r%+;Md+qSu9_58WR+ZL{OhFXuxtA}><+>IVDooU>i%z*)t^) zO-(m@>ME;N_k{cVFGkOI=5kF3A_CW<;+d86+lOWk-RPc~7%OebWxAF!+&`B#ry2(D z=jy{%@q>4(w|CE^4m8Z&&+Q)wZLaKCz9JH{)pB<6TKCdmUCVCZh1zqt<7#DP^!|=V zr$u-mdwe?DKhQrBJ+YaRq!sOv<^I+i={s}jmWM6y@BF#awyIn03xnH_on5H!yf+)! za^}$X%4GDFJ06{*I8pU{T~n-c=FF34&mOwn{_xb39czagx@Tsqhh`UVwCBf1FSsEG&Bu}Xg1jtzR@{-Vr=?qq5kkvzPe>i-t1VI+dbNmy3v2JvSLp>m+K5Q zbTnQoTuXPJIQRHS)vY7w&#ox?vn%?{5XP&k;!gZPNBmaL^i}AM-t_eLkzRfJ!R(Xs z`&xG$zH{dEi=+>~^N!!wTQiA;*(;Ctw%+c&lTEbTUAUG`pQxVhu7;nt9Y43prZDEq zi9|~e*&BYcW83Vt^a9nFn(*p-M%8zC<$T@aBg4b()7KB3Y?^H9t$0NuI*8==Ot>T0 z-F6%EaAbaMzM*>_<2qRI#&yeJUE`XhdTs!BJ38;=BgY;}8kd`m&bZV!g=s#Ad#Z1@ zFCL6H#5ZByma6mDfcsZ&jW--^&s5x-sGh!BbE6aUWua&EnNT&)7ufB;b*50?hxWhJ zHaN@|xrqE=qgTHIx*4&weoqcx(ugEits@~R4?x&^$O_}(@;7Iesq3ehb zb)_|m6Rf|*(|=y!cXD~}aP8#-SEj*iZH^Q-3i2;yJE^Y>1iJ6vCNt1C}9v&#u*(kmZ2gE&>WI6%qRyCfG$jQ z7Ehcb15KWR3D>}01iIPt=N?De)PyQ++XO=~Cy-^6%f3Li9TTGd@Pq5=$92QEo+sdU zxg9F1+`xG1%Hi2oOz87RD$cfL+b1VxsQzZJey;&_v|ycxaJ^gXdTD}(8$0iunrXha zP~UZL4jYmSH`*7nG!e(W0I{c|c})TE$-p)QIBZxRM-J@(z`N~*2?3_5vO9kQ3s=>R zj@1F(&Lz*zvt;MSvHW19xqd+fY}i9TG<*G!sh|PfJ0C@VPLGeY0Pwvl*>jIiFW%}v zAY%Xbd-9{}$RDY>-LrE3NpkkkGw}1O=ckBKpgw=K8h|*nxAEG-wa%6N@ht#gXFl)9 zXSD@4O7bgfBaP{WZ1pWH1U-`oJPN2h5nH*xX(I4=7qY!u&Me+a&!0#?-d1&^eRW{h z<15t89?x$c@7~9=BMse4XAarz+gqBo1J4mJ094a>&n!0fOkF?T*u7Zay);n!xNfBJ z*qyn^F%G<6;`z(%3;O%)wyN75cNQYk+p1Myau=?;jpWat+wyRP0(*@uPYa2$KiEF} zp$RzSO~8(R3Op0XOW2rTBk*Jj8;rrFQC*?z>pK&yfNVMrGU8T1w5hr${lGz zVC^f>2BM8{a>DDETm_ALGTMH#eX_s{KLs{>fkt2!Q7^FF=D>8F&J9f0Ivx(x zHt(arG4GXgdns_CJYRQg8^#y*pZDxzfpY`r?dhw-w7|`3@V!Z1|zlLZZHlCEim7nUR%754Oq(AfY8FDmp5Xg-h~bCfrgy70q_EMK`)IU*EfOKGICsH58Rh6;iiL^ipydoSQoUI^&4h9yIUbtKHSLJZr*@`7{R7?66SW#-1HLu>0WdrroByE6tKWCA=3Js9r0l%%^u0^Xoter5nSq9d@3q~bnmx^D4&7)!nZbFs?RwYh ziR$EX?sfl$MP(i?2)u9+ac<;+53&&-K_xv%DS z*W~plJGSO3I+rHuu}Hziph$7FEfR{{s~mK%YX(IE`=GV?oqdyYb+W1ddf)7c5v(t_JDb9LQna6W znBUuctyM&5-}2zXMAz#1P(oneQ^I~{+os!{GZWa?;NTv@{)9I0Q!8UFSBGx_XHV`& z_Xz(69P9s`n~`{b^^M-u6N#a-Z8tg#Sm)PT8dIdd#`_5DYjz#OK^^NI{2lA>811+@ zP>4?5Z))0oBniA+P2CcS@gwtBYi@T;Q6i>kvODr(l@onmQg zL;(J#Li_an9QnDuMEjc10W-fTJPbSa=@;kfuw(IMB<=p zb)l|tR`qA3$;=NWI^2EewJv*q*@uIj%$WHVn=@fL@Y~}Txvua)``Fg)Jx$>bHSUGw zvBtA)4Sh4=F8_7L#!mqU=;2$*a8*?Be6KoH$9DVn7p`>{GL>vs?N@Pa+f@hN7OE$s z?3U&tw11|3%fZ=0nK=26{b$GOc~0=nOoS2{h0ApF?82_jJLA1_)PG&ESyWJarp^pw ze|(~@w5`d19Yv;#?4E9I=$kyTx3rDrdEYhOTd_H($^k*ib@N#Vd?V26KIs$y6omYl2Z}(M} zwk_4GIQ2lqJ&qrt17>~`;R%V`mDzqfoddYE)dF=vX{od+Z_b*c3j> z^Dt{Cd@v7|)ja5ZeD%=w-n-{v7xh%T_3R!}INs@w|4GE@F3d~xH^!L~Ce`RKnh%Ws zXRo$3bkliDwdYyYp5A*%$j%NUp*g4g2EH*r+jex$oJZn)p`lOB3&Qd6lY@5`C%W!V zc>Qzb!mZwW7wrB~xVzT-N~(`>w`2d3@(1Z&_)|DHM7X*)5t;K}hZ4LlT`6_9-8@{d z@%><81m_p@JKN=X#&&r=W-4i&@x@V!({+^%t(61)1NV}B%}1L=0*Npc2PqMDw+#v9 z>&FRySSQr_gmsM9iMjDes@&equBPzwYFs8SAigi%>MLBR+fpxp-{B|8wbmQ$FJ`Zl za08(i>*=6M*s66{L=?^xKG441J4l}Aces`1RDWQ;Ze^UReROMv^@f0(ao{rNt!Io| ztQT}{77=%y)A_&|wU-l_Bqs3G1}x^dOsFQ`Nk z__Kvtj#8mk;ZK!w}8XFjrGGw#D^zTT$s*Au%DVpz%xb8xU9*5dQ|d3)g^$U8SqY zm#l=l{MXq3rPRJ7F%&~$+!;S?Wk;ER8~K~ri!%{XtNa}qI@5Zib9T6{@eIZ%$^P`+yNUUg<9biG-Rz#phZ3Vaf9_u$ zo;Zy45%J}us{abdMb(~at_SkFkQc-HzLWjs1iOkuc0VB@-)i@hM0`a5EuY_cU^RdG z#l7giYx(|X_qPqVuekhvk6)ws*STL|X90FGK5E|E`wGMhyC1dqYxkF04_vteEH`^k ztp_|0Ieuau@jP_amqQuBIPdZJ z@qX=i%UO)S;}7p+Zn*w<`x^F#_C4D&PP-5%u@B|(7{LwA?VS&u@tBRwW4;`t`J={X z;yC$z82erSwX5%}Keqh+-p2Yx+Q;s6#z_ip?~eVO2E|rdhlg(UEiR;+>Ba$_`zRkB zI;hs;o(DIv-@v(T<;)Q}_w>A&sl@&U>)^zd`PmwrM;v~5nAiRLM-HFGdgj={KI)P3 z8~bpc2a)AvHE%GV6%TlSYpPPTZ>Jlwi-S*gsPh%&PwBiAzO4LI`GLUsN2q;XZ42_|d|sEdk977$ z4z79MUm7^la%KVh+Z_#$uU=prMQe8Tro%@&)(-8$4aw^HGgUkLR&XPq&QT@0#tlZg z@l~Hu=aC&(fiuo4A@1@xs~cO}asxfI-p^p4cyAE>GpWXVWo>Z+H%KEn#$WH_Zn{r{ z^MuM1Q@(k=p=UM!<8qs>UTcBFAj_~Mi~PxUM0`$9YX*G=Ij#vz>p znz$XbZfWz#*?+q8s2&emsQqo`lxlZi-zz&9@A{2R756gSFZMnQ=T-kT&fg)LCp-4z z##m?irzdotzch@tVKU8^(n%8^|+rj$odKNy)?ZtZe z5bG_)W81H1aSm*td1U+LTq9oe?^ZZ%8y4~Ck!FP(%o840S{H}a{@j0!_|5H8xXEXG zTd@9ef3*UCl#i-X=Mv!Hwl_XFmtee(DZB$OtC>nsRPjsUQJp7g-KpjIPkPU;joh-w zgYEPBP)qqRv59f2_FbnbkEYH!I9ICt_x1J?;z2!~b7r6PomF{&k(SwNItOyUsl0@| z(Nmyv)FF{j{VUb|IIrFu*IS1ht#>D||5AAZ*2j(CW3yOa7%wb0vv{i)@j|ViwtqcK zvvm{?=p6Ql`|%v|PB>TRz;6|CRqxQwPC6f}`<>{2_k27w(K$It=Og>PtgexdQ}f~+ z@`-95t8;M(=QlfVu$gWg)BP^QTgHuTpU%&rXW0LhL8Xs#HsT78r*j^0;y308=Gh*f zJjFxRzAfDUy?HvvONs~RN9;oq%!l26$F9O#Q}~oUA8WTzUWCt`x5Q?j9X_98UtP&~ zr~8bNQSLX214uF3{_E?ND4UlUXIqZ*lZ^`hww%2`>3>n}YkYFI405Mg&Yo}Tyfdrz zKinR*f1-Kf&I`4#Nvm_OhTEx^RR7d)d!79d?bFzfzE2aH9@%N~Ut?VD{1)~*$oqA; z>qTSNJ?vk&zj!?!3wJoMFb+zq zkqp}(xrB2K>)YqUZMNU``HS|ODlVvb>+B2c{bs!FT*YbZKad|D~FjNd}p}Jf9>w)PrrEOkhjlYbn-_7yIQt!{s`xKI+s`7KU1}} zZzY#H*o|{J?Vrg1Q|GG@-v=m;;+#%;S* zDDF>)7{%@6uHKGtQ^V!z?a=QJ*>RoDEgaWTp2mHOru#dOl3&Z2%e~7@*au=irq(0g ze;mX*IKq#Mzc^v3J zK3CqygReNRg*pTNQ2bfA)>jxvAEWh3jZe$X?q%e`w_#s>uP#Ncy|BNrXw=#-tO(1aE44RIG#4LC&ELN}T|`G66jt25 z#Q6Eert$6z2ptkuwD>?>lv$z|T&%1>s6$z@FX5f}MHZMVu2d~f2MBvC`Zeeb5cA$W_aXc(FFXX0*g=Tos zKIDv;`<@P#Y#Y%PFj)EGOm2!^r4gDdU2 zsfB6!8!6^jmXzppVKJXw$`wmet8I z3sv=Tl*dVRrIah>ZF;SUiGePepI#|t={+O)#q8a=>_UJwUm#X$ep=NFiPD4oRCXSn zxzBF-RAHXpUV^~VHn*^_TFOn%=i3Gsr}Gc<)8qMN)ZP7blAhNzdHcxgV7+(e2*A_I zU)z_NMdgjlouh`rO+T0YhOEzmD@)u2DRM^unRK!Eu;lNtY<_Xwo_2jslInD>lvC21 z=t@~p@0uY4%J%&9%-VEz&VNazo;nrQkh+pB#WReT+K z$}6D7l_JN`ht9P^fuqJ%ujb}amyHrtH6|jtq0s7Y%I4hSqpXKjySLohY>cJ+wJGaf zC#cJ)s$ivrAy@4lvWp4@Nyn?&C}^cMXRQ8N0L2-BNnwg z(H<27GvQ00ME}=Q!=2DUYXo717OcM6M}^hJ**wBTT=gc0jD(`?G2x2`x%oNtFHIiq zPHMV7dHh_eBdM%7^F&1?##D>|&14-7_*5Z>hB=<6XdID%Lry!1dW^fP|b|$)d@#i}`6%h}msff@!;R)TF9b7Yc(6 zKo%?#J~yednL=?2ju1*wuVQ(Kajcc*fI2i<6*B;7hU?d)&c@X=h+QpSEEPMHZ;EUu zlDpi2C)cI}mVIBb(Wq*3CkHo$yP1)z%6XimOEbvTrSe)`b4|8xVHJ&M*GW06u5ANu z$Y6W8be!g{r;gXAp;PEglBg|D_Livf2oy^cZnbp2V%FWO#m z8>wjW5fG(ftXFTA1kr;tn3~gBw1T};Ev7d411rxf)sZTmIQ{5T$M!>oT2iO*kDlU& z*wZ?xJYOuVsG7Gr?>n1XEEThb2iO|T7uN7TG@7gmFAKTS)LrE#CaqehFpJ5x{37}x zrmU$s;B-B95DF-@sz_@q!CES&3&aR@42?0nXrq-aX>&snMhUNVQ4h{`D-XYU0Wh>KZJXigwkta_WCXC{^waki+4* z&3(%<%+WiQI)o*7u;`l0*=a4d@Svz3q@Gd8<&_pwUb&#=+bGs0$9W7TH&u-}<}G^) zoUj60cNEApkZ6ZSY)mCjr(Cg^djwOLpLVCo2M@JrPY3p$!M!u>L-lIXjsqREGgccH zEV*dLz``_wcl*iwlzY*kE@}w+3Jr7WaE#WBtt>%Sl@g{&k7E`nv?Idhs94P!+P14W zrD7Z`p+a<`+PUx*<|u_{yCT{GxC6if_GmaIe3J02*uhmr+Xf00IKoZN7pCrG8;yOX z3MjM`HI|pD;kLt%K~)vgd11XW+Emp0%&M4Cn3+*+s__+30NL#0IEzTi$0gJS%_>h; z@lwZGU~?yl&n+w!^HX@^BkzC_gK2xA=3mvx%%x0r_|$NAXmI2d`dUBd9R?(>`54EB zyrcSs$$Qu~_Wi$c2yL-T*y~>{6tP^?6d%~If^{KM4vCQ?XeTc3m&Y80i&WxYU z0>|P8AnY*52XCMwV|2-6vj0-QZrr(I<8-APuOjLGOPMp-(-($Lq6zLbTiZg{RFuvR zj+{JoO*vtUDMp8CgHp4vsUq(>9VkyD6QGxO2Fg2Cd5o%M532hw4-TEoX3q8lG?&oU zgw41%co1=-o0| zgr+iHr-27=%H+BXJfvvKjqn&J11{aaf~@H+kg4A6BH7BJ)RxJq?OJEp`Pkcvg27y zYlODbnej`Qyq8Xmja|NYDLZ!R`DJ$jR&w`ri}a zpyqfoekpSa(TbbyXi+q_TkdolvAl*m14mry2xm~>%EipUdByN!A5C%?)IwD6TzXdH z%vAFO&4GZ^Z+yiqRE)9w*(i+Oy6!!%yyNFk!HsYC9h))cjz6^ZZcy=yqJUf>P z&#A!?;MpI`%Xk!bf^rmhg1k-xkK#^Hj^a*`M{y^}qd2bfyf|LYr8r*Br8r*Bg`Wm4 zJT-7Bj+a}YI9|@BIIeRkc2XP<@`C+Ia>4#2Ua&uj7wk{s1^bhD!Tuy3`8RYSb25AB zLiY0bsqDp}%j57RIy8PcjVOoB04NVP&V`K|=ThOOnyv_=BmHC%X%Roejq=1E$EJuE z3Uzf!i@d3k3t7fH{iOg3FD?!aWYYuJ2ZnG_MHl@UcuQM6?9J4*vZjgMV~EEI5OpqN_{)*JM?H@Kgt9|vF-2^O8> zQ@r$ODZg={?!K++IM^q}Pl=ySh>wb&sfmb>;bv2AX=xsrp=LDtT}uFNz;0YriC{9RYQ^vp2T7cnLk#92K7c)`u^gygYQOneLHnAd6Z)DSkCB zentEma7E`Z&OXJ&Z(u#ZT`(l?apR7HoFc%}H1_$_klw-MyMlNaB~7Qc%j zn$4GZyoL3GK16okmz&i4G=LZ>eoy>;tF2QpP8DyMTQyzP)K`FAkv_K0ru74jeXiU_UXXb)3wtE42(2g+if7ypb!}8PH&`%G$>#= zz4#BfU-x%Hr#JFM8Vq1~y)6g~+zuG*BH?fV33P2M5LrGhhXY8UYe&I|gu@tK z9sYFfC>TfnFov_*S%i*rFhQh2A%KZ4 z4--Th6fn%b8axDa84=JGKmc7ky<|i{R{#NY?I;)#(1qdjx#W#B6&czP80ju=q=_t7 zI1(6Xy0%>+(x4C+=_B4q6KPNgjPwz2q=_^r1V;LZH_}8J6apiC#2aZM4GMvgKH`lu zkp_jpNFVV=nn;5JhO^d*YIHZ)KBI(w**~C1JOmJFFcd(*5f1@G8WaKuIN~9I$a015 z00QXRMgWlpg#ZG&Jp>SGPzWHP+d}}62893ux;+FCX;26tpxZ+Lkp_hT0=hi}5NS{d zAfVer0Fed-45vPIyS27bNi8Lq#M!MS@X(G!NdIBR&*Y-#gX;8p$#*sl< z%;NrZJ{I~{f0KH=fhW?S9~k%^Z{UeEC~bue44CmyLkb4>0;uWnP(!3a0nmuf z%&)H8#U3WSIZ&Mkp&lcIdI&;z#&2eIeqKFqx)2N32W)t#BI$AujsiM{XG-`{71+b? zJ@k1%;x_1rG}r@_@KCMyG(3KCfRs{rcfgJZzN1F)9SwkwuAPdF;5!P0)oRZM(+5fM z*i9@PIJ=XaW5@`~qd;Dnp}TXja9f}W9#~1m&=r81ukSn*9yOxyC_v>?@bE@Oh8hBR zJL=($NP_}!VP)s055(WFkBtbCLKUVYEO3~z}yU4frx7bw8;u^52Z|fI>d15A=;!9gaxHhT^%zlC{gOKM}q|wn(Dz&`*k!NP~z026T|_f zPF>&3IG|*yza9+-RA{OvhyzNXy7icGKq*syJsJ+E&{R(l2b4y2>oMbi5~u!pG#pT& zp&m+@`gDll)I+pMCx`>er@A_398d<;Uyp_ZDm2v-!~vyE-FnP8phT&^9t{UnXsRcO z14@;;^_X!$c~XBp8V;z?R8J5Glsk3nG2?(zq5gU_98jUDo*)h=J?hqD#sMWnef1E- z(IMJU59LJtIvNhB(4-T@0p&^EddxVW%&5N}4F^ege%0p&{l^=LStLPI^2F7@dU!>Na8lTHu^ zltOiN%s8N=slOf#2UKXPCx`<|q`LK(aX_h4e?1xwsL)hT5C@b_b?Y(XfD)+wdNdqR zp{X7W^)BZChx|akh@X@2@3Y+$PDOptu7(v#wwmk)u|hdk*MBosD68uCU&9I&8tS3M zs!xX)PCZ1Mbb?r+WUH%V#tNlZ{q<;Ap+ZwVfP?e!!h(9RrlKWiMMDoIWKC885cQLx znPNVlol3KzvL<9->V;!M>&xt*c{(3ngX!^=NRRLQ_2$f4`0f4ocdZ zbTHI@9SsMRw>9YmaX@)kw>@SYP>$7KkA?#(G}RNt0p(rYddxVW`f-L?9sG6rC&A79c>lI=drFD#WFai_gHXi>u? zHeCc)veEwhJ;>W4DDN*tEpg|9OO4HPMz)7Lm zZxGLq;|@;6yMqQjAg0@mKuos-QvOzXcvFQYCjgoq1l)2bsAX{EJAy_%$fetjkW04% zRqOpQS-pEDR`K0Is~#woy2({waC^C{>Sh9bja7Vq(5464bUSE+&0yX)t>t|cZPE#X zmU6ugc+7dL@ItJSg8g|a}qdBd0sYv z8(tOo)q&q@4TR>5aGH|7>y5n%j4F~+dz~2fD zrKDkM1#sxs(ST`AKc^|%>YDGlv59JEOX=<*s~v@8GbVC5PH zDQ9gmL>Sa=a;7Oa?N$!jpri8CG!F=GcHNcHfE_J&oi^vL)0C=qJJ3c!r3~89Vj(G* z{2>TB{!DUTRHlMkla4>dx#?Ktj-U|@ktA(u z6aeTNnIt24L#1z|C>!pKlsPk=R!V2vv_9 zJ5?8H1&LL*2CLTaN%E$ugZQMJxm&dvpOhk3c?OP$)OW$@t!32H=8Srp66h`(a%=Pp zwpe9vpaoi%T`3q^pt9^vN95L4=3dOlDo+IMXy`O&-qY^m%-pJ_VxbhPJQ=j3p_3F$ z{s3=Y=vbSg-;Kp8>F4x%7@)Mg)6M1-eVQ`z>cJ#u*_p)~B4d^K4X59d22)Zoxdhl% zd0jM*2em85gJv|CnsfPSO5JNMn_R`KtYVedf`&Bgl7`72U{UjDxv&zeoCuoHuxrlw zrzyp+A&6eK6RVsI+R^Y#3I=zA_W{z{eE>z9bbwyB32XQ%>tw9*UZ85a9pH?y`PM+1 z?gV&dcor9eW;E=QyvZ9t(KCa$5o26RK{Fb3%{LIzbicrdE$s$^Dl}CM$a%p)MdQao zD;h%0w-nNJ2f-W4A_s#n4cgHlN(v@#065JK2Lt>*z~3qjq2^l;X}Z&(+2LUD)j>NN zL`lKq4-n@~nW7yG-VijTVbpw&B2Bj;ykLOEoP)vF2P|oKE|iMFCAv}JVkK8p!QgE{ zGa7P9-ed+y)LLc-gLefDY1lR2;YiaB4b2}F4Bi_wqhXiiP5uye-56KoVDSE+9WCmb zZ-k`jzK0Jj+KmuZXsVmgt4DXb`Qglb^No-+-N)GA6&TsrV3U_HPC)X@QkgOl=QBlWwB8?Er1kp&+WmnQo%EI-pHD0FGZrLlxazG3f+R zMK@90dO#a=f;Uvs+6@&&n{N9l%26BAgLez#Dk^y|=N-&j#&jcrxE? zNz?rlzYiLo%r{%obU($fqanw9vn5UUR{S~|MySx#R=_~L{Lg7Cr~E4aEoe%Ej`} z9m2oEOlOPg9&sdi3MUcQ3TRW!LA=mC5m(2I7rGtd?@0|WRA{OPgYVbTkYT<*(iyxz zq8PSsimoW;m*$1_%>F_D8&41TFY)?897;qEZ;~N}W?{AL*IyRdx3Rj6v_;yJRxARH zRg~_|t>Avs5H>n(`T4ZEgXP_L>O(4+Ubs1s8N&2%Ust1E(n@dKyb)l1ao@RV*Npb< z^taD>ZI11SjPyi~QiE{V-$ad=EKSlYL$h~tD|hj9$bNtKmGx$539pAu1r<^4L4UOy znvszckr&8`4BpKjw1uDS(o6H}jQnO9ImxQ{{etU;+MsX)Y8^F~2bHs|f;52JX=dd6sGtf{{UaLz#E0; zN{^7on7Z%WY@q7n%vUeU-CQn;R$pOLSJ7%;`7FI9JQZX?;RbipO&Bjen51(cz5hMp zyvjD=G9jB~<985;iVuqT8cg2y@D=;dl}#yrn-agS7&GDc%ZRM^Mq~FI6)}2e9>3a- zN%dyC3L0`8XLNrs8hE{y_R875m+?+U?ndTl1Sp?Se6WyPxsMOqs8@>%t9L`FxFf6l zECWsj*+LYdJOg@_u4o|e+FQL6IE?ow=?=SQ)wdJYxiVELBg>H@H3dOyGfj)B!s5)_ z>}rudW{{m-6V`pNJp*)R>vOGV=)JsSdA!2dwqwR--l_VUcp|Ar_b>5 zo0Z}eUj4qDrP_e_h5X#?+El4HkKYx}E7+@r!(o>2N-gypGGfeQsJ9qeg${hUP6z&f zZ=;O76nR2D{v{Y!x2L#Tc^)!G;5@BL`Ly)C}1%**}q`<+ryqU-4Ev`5_sKlQ#S}2dp zCW~E{YwrIsE|`qV$TvmaN{vL~DY|f9&2p@_*q%7+pbwuhTLd0-cnBHGFR#wwMRH!8 zFsjSQJ0tH(T9L@RrKl6z;#Mf~t-$#H1A|gDSTE4^`!J=Q_kiOkv2a6+e%c$trPwZZ zkOJ~bTOEbw`4=DIT_j7Zr96Gk3Wl%9$Oj_dm4F-`nt)*h9eha4WSC2u@jkysv6Bpc zpE7K-b;C09AvXB~z$U+X91o+EdCG4cmtvQAhRpp4{ScHtO6E8AqipQQgtZqJOx7W9lBTO|)ae=8_Qe0%}6;h0<_D6S1F~(H0 z6yr?omEsap`=z+d)N@i?VP9ILxXQdkQe0!IU5e{WrKPyR)L|)ZGId0XTTJyxF~L-? z6t|f=CPkJlAD7||^Ini5$5g)*lT4kIVv4ELQcN>-R*F1R7{M8)hNPHfYD9{=OkI>> zj;S#z?lE;qiu+6f@AFJulVX7>;Cqq1x+O({soPR4ap@f?mYJHAqR7;=6e~>4NKsWLJuV(L{=d?{3#g{Sl6;iyGOTS8r*D>#Fr1)}1$=6Bo70i2s z6ko~Io22+ErrsjOS2OhuQhW_-e3KMk%hcPX_&TP(S&G*)^-d|?z|^~?cq3EaD#e?a zdao33X6oCdcne$p4k^B#sqd8H8<_eoDZY`Z?~&r0nEGBR-pbVXOYt_Qen5)1GxbAK zd^21A5h>om)Q?K>PNsfbigz*f5h>oy)JLWG7N&k$if?7=V^X|_sgFzXUbg)6QoN6; zUzFn8nEGWYzMZLGk>Wd;`c*03&(yC=@tsWlrW7Aw>bIo$E~b7*itpy}__P$?!@S>@ z;)7i8A4>7P%=;rLzK^Ltk>dNA`ZFm$#MEC%@dHf#FDZVIslSrqhnV_nDSnu#zmeic znEE>@KFriVNb#dg{S)5JN(+X1{jIl>sZVV1=Qnu8*idNb0t=~C(PU}N{a6PJ?pdcw z?;9S@I(37Vv}ZREr`JKV@H6X3c@oA((Dy!Gwxy2HhAkzbU`v}#PC?N9pa}cEp_tcz zgX>reetu+Qqw2-<^i`6l5_6ioo{P#FeZ%A_tkI`UoKeDosr2pG1EVI&2CDEtEFNr=Seo6Ex@k^pliC+?ZO8kb*d zG)wE%> zJy4=NRi2^~f^*&kINLDIdR6%bgU;(Miybh4$u^~ZhKglP^Tw9tra0qn0=q4!K3c`* zHW*438|YhBFIzE5(kHH1&@_MSis@@lFI%tsL9CZG=WT8`=t1rCSua~PeJo4E&Iafu zNk4Qp7;6%C5VpZ|v_H+oUYg}-9rLeu=3#c^959&s$C2_k#1!Av$Beuc)I7v6r=xmZ zAsY;8hM3_DOYDgUHe(z?TV~@` zN&Ds*n=;15;HS`@UUY-ar~dITN*-*m`3#C~u=xy%Zm{_bif*v^42o{B`3#C~aCp*1 zU-s}+F1*3vsa$x2!&AAi-M_}*rD1`6-r(?5Zh3>lQ@QX4ho^Gk4GvGbFr5cBI6MVK zpAx@h^(pa7qECrm5`9YilIT<7mqecuza+Xrzm|P6kK@8F!(Ig6x1%rVv4AP0(FgGO zqjD@`3Tcka#v#p-q9s!;5T>|KLKOD-D}5|y+UEu2v>mKz2_R|vn|^H4v^FUr?^Ay) zXbQV!UjSrLQ}1~n24or2PQv%SKo&El{G87SZfwZ?y5Pn>>C54Im3d3udR}{5JTIhPSk<+=0DK}a z{}8s+Q=!h&4~9obyk{HKV^4TYg`Q5SRO{0mvU40o1?L}<9@&U zh{Z;t^o7CLJL0i#j=d83~%I!wV*t=tIOo0C_c(lN&bhR`$-!{DZu;VQ1cn=<7 zaO==Yl*#wSWABZ98y@xWf8B6wZt5<&588NnMSb84drqM;@SXA4`(q!#!#HfC#;p`^m_A z6S0rPK8l<7O9edjR=NM=$pa^4?5ATtlYpI%;lUpIVCH-?y%BA31;6ve&%O5U9~^WZ z4w@>=&*!H~C}^8oSXeF5^K)%u^vq+QjD0-za|!ec-uD&_DrcVz@x?^YnqxRiGw-)Xx`w2@K`{mdt@B~ckS85_L z`~YUR(J`OOrr58A(V0>DM_}G?;ds6{mz$q^ks2J0eF~Pm?<3kD?i|W3Oit&9^Q9an zU#U=h6pj5h9@@kAb~K)_UZ=a@`&{T*JYG4UUuhmH6z;Ds=^qY#n(vJ2AHsAyqs&R~ z>!PvWjeR;1`<>YDp=W+ySZy12v@`yvR~OajcL01JkNsh-C1Rh!aC09S!7)g2B|kl$ zUj~%_7&lpLD8HPrLieA>V}BC+GXiyPv43@Le)@E=upq1hh-GC};#ppd(Nx=jCJIpg zMeHvVF!H~I^GR4^#R|gF7+y^gUZ9V`4moam4SvcR4KSCnzm9z_5&LZHZ}99@ncstm z){LsZQ;&|XD}YboI&=FZ9-LJp@DB;Zv46z0T`DY%xzyu8xXDmH|B1< zfEXL|&#`}@!25YE*3eQAjr|(}72C6&XkN%o6${O2)h@^PEBPt!L0Z#8dBW=Run6hE zLzKr~PTLSr(q2G$-m~owD9SHyzPM9}$HR>${}uah#FMyH6Nw`_9NNg515yt|m^ATl zEz~R4>8eg?oluVv#G}&6Fcp_pKT}C*4KP(Dt&>dEVDPo2UA0MCXRNbW*yFX*8f0pV zw9YYAC#~~L)k|xLsqNAlW~xzIBTVhWN~Rg6?xEk9cpx+ljkGRU7pdmm(i&r`Sz6<4 zajF|}V>mzjEQo!RWIE?xjZ{YOnR-z4jSMr~7$W3zL`x=NlMlGb&m+NE`aeN0R1 zCi`<(TDO>YL|PNf>yg%N=JiS|%ha(A`ogX84$Gd9)+AFIX-zRTAgyVpPDv}z)EQ~b zFf}NxS*FfQ>n>Bn{()ANNNdizM~%8Dt^4fkn6&1ZcS%|cta?RSi(Gn5S_S6akk%5* z-ICTaQ@5p6WVt)iT4A|KX_c6omewkl&PeM4^X^J(jj4OmddQ{o(t55% zdM#70mDcOH-Y=KdmvbFoDXp*Ie)(!?eI-+0E3L0$>h;q4YNp;Ot*>E?H%sel*~-^T z>+6{JjnaBO%e_@vZ(!crrS(Rp-XX0wG4(EKy_ul>K*c4>Vh z+k3yXzKMAskk(t7_ubNZ8&e;Y*4vr-K52b3Qy-GnJDB=GX}y!FAC}g;*vf~c^={_< zn6$oysh^P6w{q!EO6xsL{gkxc%hbwQf9thBz3sh^Y9w=?w%()tdjeo0#IXX+Eu z`c9@kDXkAM^=s1lE~b7%THnpor=;~gO#QaBKFHMXO6z->`aNlVA5(uIt?y^*Gt&AH zQ-3V2A7JWFrS*eM{kgP$h^fDn)({ewwNOme$Xx+7d!qA7d&kt)FG8 zQd%EZa*3$4evYZQw0@qcq_lp4sVZsxB2zWe`X#1P()wklHcRUhOl_6cuQ0VuTAyU9 zL0Z46JWcG7)~|8BJEisO%zH*!zrk`%()vxNo|V?8xO9)Sev7Gn()w+t4oK^FSni;- zewV3MX?>chHfjAHQytR!eWp64^#@FKN$U^UO1HE=!@Q%?`Xi?Lr1i&4Juj_4VYw61 z`ctMd()u$l9gx+iVNZc6L#+1`Y-{((!g()vf{<)rmb%$t(dKQon=*1s?{ zE3MBnH7BgAPrsb$+mOKG=I-k3_(l=#UsYU7AQpdtDa7J`=Xw!~|AX6sSp1*NLoEI; zrVxw&n@jP~ti`++@vQ%;#i^c$_^EHo*~h6P^*|1Xt7BFi)g7B#oGTrtpB89mJo^}g>7 zt{wTDoCPOgrj<6j`aKCGM!qM3AK)C;+BR2O=_^0>;V7$h6K}Z1#}nV40Md~*G9G~r zOB@zxOP=_E6d|U*TZ%AKAC#hk=iK*6QOVSYq=+!}gHlA9`e7+zOnq32IM3@JlOn;q zpO7NS_I^@gjiG&E;-{pjV(Mq4sOHk2m7<2JpOaz}Q}{7TiYfdUrIt1DW0cKI;m0Uj zxD-D|*~-*!&@XYk!vOz4QbxWx@(vu262Ik7IF8{bdc|pkrRQUBq2k}Ay{!J@Cj5$r zX7ukRtaJj&h|S(P?9$z0VNIQn6MvYnS`xpXu-cS}A#J37;p$8kH83tR)s>sZa({mt zRXHd6y;Q~ABK;AF%G>QRw-b24NMS9rvQn6$A4q*N@t1L{JAr({CZ{GhRhK~ipw_L@ zVJoG-jwe2w_?)ozAm>*mF@vne;9}`gVQ7w$0rTjn-HE?VKm#cOaakt*A)ZQDO$i+I zB~3!)Qq+IGndoot7i%W|a{`C`k0fx|Pk8+x6aU6h_6x|8HX{SQy3#z0_b9@7FLS7- zk~fY{2BM7POj75Bo%oN$e;6iej;QMnF%)Tt@h9nw8|0%&oWxW5 zm^i7GWF(QSOyX^qjjj{;1|k2Hey;R@-r8Ltz#IUM%`ZXF23kc)q+6T$y$J`sPN1(8 zBB9zgxcHzjm7~SxQm%-E?Wu=TdA0ULlURnU9hW(CA5B(sT34+U=qF4>&!oM4BsV2f ziDXT(R*D}JKaN?K+ycycVmu(xWF3|&PD84n>jwq;jB&M0N+#=*4GHnN*wbE! zzk<30d)jfDSE1xSdvVJxEXm{nwe}>RLjr^4T98g*xkJeJ+w*UF?g8eYOt!1}k?fG- zZ@81OMG}BTtJdt79b+UmDwkJt^AvvoP(|bKy2!gb*Nh7Pkt;-a`B$c%hdQcP>g2{@ zo5tU27sQMCHG-|5fy(?0sB7=PQ1JD_mW}2E`)_zWC)iOaqw;)iQ4eR&B+nq6CC|dE zewjQMzmTwYCC>+IEprsFeDV8rB*^FvGU%l&zQ!I!9?Qp|H+2U%=#T&hJrdxcO9C9Y z8{oj-00#~SIPf^Yfy)67e1599!qB#EFi>w}CIg;@;+U{5dfS-)@dQ%iEt7YWIV=T9 zB!q7AbeP85F;HJ_h1~e!R>=)Z&Ln5C4@}+-b`ZVeI{c7=IsPphm^4nDx427i>X~3? zm&$CgHU<$kFmS%vHut#!k<8nRR6C*INn88{|a}d#JxU`88DC*VaUmIBJ>i(>V); zQrVPz1HZv&-PM;n-Isk&zNyv1OWBBETR${+KOarvycI3teKW{18Lq2hb}&SZh@#-z<|K zu_Hek9|JZz@*ivE->QuL1dZGkp=z4i(2HQe}4 zezMkzQm_32_u8+6^&7QT%+PBza`&2X6XdnrN550%6GoMs0Yd0cdF(}S3Ha3G`SgeE z(`VqoAJtlM^6As;)1QL%XSG(M+$VaeeB=6|1qVm#t7tzFN+O~AHH^~FVr6Q^slTwO zo)H@L7DKhY{~zxyugK)*l7B?(STy&shHKKfcGh^ai;>ACs&=4kSt z^;hC}1$1&uemZpRiM1A<@By{66KcDHyHvNWi6+-iIWDmoIa%BA%2d&PEYT(!b^K-pY0xQ z@K{oFRN`<`?V^uZG{kauTsBD@Ox~@KBylkL7N(GFf3rfgM6&%Gm^w(XgYb9qCC(%- zdhk|THMask*!@@k<6WULClha#?Fo2~rmoWoJa#mT(PuO#w!0xqbrx;4@NT!lYKi)5 z{X*N0{RhKb@3*5m-L|q`k*&<&pl>Mzh8iQff89_M*s`F(zNtKxpFOomdjSmd3wY4O z9R)R?y;3#e{c)L*1Gs(0gNWM~Z{ra^BgMa~)0`Z{VbiHVy*Dc=abV&NHx09t!&o=u zkR0*7jmb+lL-er$_t^jwX($csC+#Yrl>(au14_lFCT=)Lbkq0%677esBK-(RFY|8_>eHwOEp3uW);GHiwY{Y764a5JWu4I_BO5HsZ+ddAdY zt10rXxO}I4H|`CyLA)z#-ivd7T>G!SNRbWm;=KvDfO`UgmS7~k*-@Z?zgEny<>piX z+wk1ZTX`qbX?>WR_MNy9t(J88UF%xLUi?Q{`h#Wulr3rWaf5)*7|H+#6D+{NG+RIE zW1nm(hL_vog`K_@L*=mJw@hCHpaO^m3NWouKnp+pDVt>Us(vcKpMWC9fC}tCWj#Re zsH5_-j-a>bQvupQf66+6-jd(oVqOidy+F}=j=RS^2)~TGFxMb zP}Ym|vU@7vUZihV_zlyG?Ww#B3H0`QD&UF<7Xd{cH~Q8?pn%8C1_XV3!3(C&6wEJ< z(iY-rR&Y*fSwGHW_zz{Z9l^5~T!tq-KEc%I%cSVp1upwSSs5N0`!tvRXIUAZboc{R zR%Hdtdhk%%pL1EbtPJ@3ONEZANLd*I#HY9nx6i#LSoIAS?hXR)Z?gbyC~WmZ+*uQ? z-{h+CP@%v3dvI+26)vkSE5mW|lU%k{UoceFD{8^`b*{L+Y}9yek#B#)B0I{g<57{1 za2f6ucyV{8fFChrb9DDtwe2g}13bL)tt|bl3>{F*Tov}y?`7UT89Kx~?5E$uyo0pl z0l~cFfe6u&hOa;vYfQuAPzPH=$A6wJ9hRZP%sV1O-Autkh|WfA4@8KLKkABz5S@bf zstoe-1{S6-mWu5s^HbDY|Do24s(w7x$#tK^<3-FnP3HsT5{#-mFYV|^u`npr zVOQ{)_Zxp9cv*2^x zkJ+-rc)I8948%DZ>SYMr$J2StTacmWnTJj13rsD`&;U~_1P7RAIDiPzftRm9gy>Am zS0F-kwB;+`C~96p2i;@GaEjQ*wt&CW4F;OFmw&7JQkAu-dUZ{t>NT}i5?Pz7msHlK z>UCg!d95YOvo`dFfIx4;PxM+^iUjxC*Oo~zWbDO*mWqG{wA-7Gl+!!f<>r>E-ppRU z1ulJktyM){zMj4OCa~UGYgHS(1XAErCrz2Mn+)_ie(FG8lIXi80e1l8fID8QtLi=M z&U;b!`)aKka_3#_&Ub+I{#t7jxwGm2F!vq+Qq=7Kcy?!ZZ|*jCJ3H6BLq!xDMS2$y z5D=tEZ%RFi^d@4Z3-*pE_JV)~DMwL?ARuj6QXP!Kh%w(Qqh3TE|a_nb~fe%EB1kpxMq*ejPV63>UfJ3ql@a*{eFIO8k(>mm} z9!QkiB+7WLMqZnsXtPah6)&&>@Pe+m8~XV+>hFMF`z-Z$LTM-UcR^_v^>;@J`XN7? zSwT+)!OtdGFb9I4&7oip1V5Wf!5j$5mj(7`*A4YpqNo7=l6>6_kxg=i#Tz@jdCUJ&e zAj2<#&Q~@mWDGw+hTlTbcQz?fFl6tmz%bPf*sCj}f$qY7*(I3`m@tV=y}EHWzag97 z;naWFq?oZef^7bVqJM01jEW7ME$KAu1(@-`yNLbrOL7Hxe3;>QRbCL{e^JV!{+^Bp zhF(I0rj7cQV~-G=0Qau_}RoP=0NbX zpZmmIs@3Yjli_MSNmc3}jtZ+W5L_UFKuBf=&BJT}?avZLW5LtnQ>gXyR5UacgK_st z_5Awq%(w>85OsUEGuGt614Ys=p_&Vli zubKz~A(;wVoBiwzqoTNOczj=rRC-hY6x7<6X$2FbR)wvx71&S8Fs%x%@NmBlwO&B| z(^2bCs67)R;Aq}(xC}Z!Zv^$v#6$4yE-+@;_)#=(G#-L+$j@Gg9R^JBv-e`mfe;xa zCKjESn5?{sDVN>=9AXjL3mz{n!4pkmnqq#=gd}^hJAz#jzrfT>XSW4(HQ=g4oGvi$ zGU~q^bzcGBqG`7rIuyQ#_~&BTTrsabf!f+@yK0RFvdQmVytZ* z%x9e>t}<;sHcOOub#~t3ylY@y6lQ&TPhyi4N%36x`7Q6dyz6tI<_*+;9a6c8lA(AR zx4`lolx~9sI4CWF4|22{EJDDRgxM@Vn`^*U0Kw0GD;0Af_}M%I=0NbX$py@T;Ae9T zm`in*2Jp&oBl1~J{kNgCg8J`3X%&OVT$~AhHg$l?K=89U04K+UrvVWf%SN8n<20srDtGe7)o0qoE|0E(f3g&5Fl>{G)iKiTw=rzn7#KTXN@t0 zE}oqC9K`zM?SgsOe$d_tL84-2Zq(SRQ>Txw!x4FV@}AEj)${hk)x?5H5|*Q?QSoVP z-oh{Dy#z6mc`rj8K3?Lh5Sxz|u@B<)vFvqNfQ2pmCiUNg=6Rd???>q!Sd@iF55b}= zl-{HMhw$iOSd@ijA5#BvEc+OiXJOf=)V~tTK8ICVcsBSTISv_o%~~sV8#BSrW@E7J zK=89E7|b!}Vly$AW6s5{XL|^&>d*Td7K9<4e_`Q? zLpDNS;R#B9SR{rL1VT2BPp~>h758A;AaQ5r6+Qw*ShQp$3l6 z$z`FkUa1FRzUVSM*f;ecJOf;e*EAsYAdL01@ZjLogYfiq2_77hdJx9*OYz|Fl!NRE zuT&a(dd(a=eMD?KiL3~W!;#qW_T$SYl)>%^kjC1zgCQXD7dy%p5QH}@XI3;Wh zij2#STo@S-gJZ9<>8z8Ch3q6OJBWvSK^^$$8<`lH1Pcx#lVRyeN+gn8IV&<1KGT#< zm^^(Ji;Fs%a?m|JG9xE4Epm~Mw2j};{F-9x8E|1sESHWchUG^t&WVhQ%(6m{(Y#B- zU$8s)vPdX$IolxtCMl`2`lR}V5q+yqgX@8FrV9g$ai7XInV3*khs7r~tMinPZcZ$Xsw}_Cs@LrM(V;O<0oQJTXYk3mWG~7O<;WNb?@SOIQS#u-GQ0k-0Q) zEf!r1Mc3J+3M={pi*AIXn{09{6h-P_5zH_|V20s1R@4fMV1^+AGYrSGqI0om85G@Z zld9|*im{1fm)&*}Y>3bY);DEE?t^EpKHWO?X5Wj`R08e~L>|nJ+#h);A$kOycZ~B@ z7@QLJF^T`6Qv879n~!EkmPg=;;zjH)R?$ee@cFC-9|wLvDk9dg^}-No}B!?nnxzAR;gYQ7y8D`d`O`TWF*w9^3{8 zx7*}IcJM7cxRXZu;K5yRaJNlPVh7*BgL`SDe>jI->x*#kB`dqTO{%lw@8a>-Xk-u` zhk&RE1Vo+84j#sXZ_&sFc<=xme8(oIu!A4r!FOq77#@5d4j#72smvUHHrI(=FB@*y zPZ(p44L59-5_4?0VUu!BjtPD?H-|Y8{A~IQb0GNHEEwk4n8D_vFqb-Jut!69Jcoai zdvO>{K1Jfc(8y0n{5K%}yG>4Wh_ksZR0~29nmt+uA-;$G3Z;{#4vFkhCIIuHP$L-( zZ=qi}r?w1g5vQrFU?gOPY;roDIyPLf39V!_TqM&A^WB#_{koN#TBiZ^e~o9bs15iI@*6X3y9ok8^Tp1}9B57JGbQmVnXwN@r-dTBeX_T%Y=XMiOUHs|7ZATOiTS zCbb`=)`hUao zp64T-;=_gf9P7L>$DeBDANq3+Ccjqrc3Zt*#hKL`?mjl9htyN=0ruF%i~~bM>sla%#uY)H_De)Hc!yGUFEkEu0W|o>Gnb2K$`mTytt`!`E&j zi1!A3qw%vzYrGK@RbyJX7qHJ8$##K0;S0PCVL~VQU}I|J;HltSkDpBuSAANy=1)%Ke1@N0uzF;?&Qm92MjNF(bYTu-O&5-VpuB!2hd^oaGY^&Zpv zzDZ!jJR|m&Ddx3Y_u$I1K7`I3s=y2CQ5Ki*?Ga8_toyJ&wmu14A6f82e=D3h1nYBn zA5MManAUT~#NO~~mSuej9r5r<7x$h7b9-f@*>;6R^2#J$-hx_RTi@hZUs>Opq%|xP zo-r{7gD=jC)iAK-q4k6HBSXNCX01dvKbxeDNg$k|E#`kWNjo@W%v8=B-S|nP>$fW# z3-R*SU-0Ql4CC@a_SR$llWqNN{R{6Zu(hJ~ca)gq?1XnG2hbh{sOni!cz=z*JH-z$ zEzw|hG!TVIo9gVs(N@YAo5t?!qf95@xuaGz%Fwp^bV4^~yl4U2$-;#ptL0pOQFgR2 zS`4>e84HM=mZmue(r8JvG$&jfh1ea6dYuTzCRtHryb|YrjaJQx9v?jc z`aR^=br?+jw1;*5Q?sHcrnxTG14XOnL{ExB{7`$+0k%_!o(gyTka{$FI%}-sqcu#@ zk(Jb^(b`yYhDkcHk_I$d4@>Hsq%$jNNTZFgq_Iihnbtp~5sfy(lIA91U$cfZrqPyI z(#j+ddgC9`ghtz7Nn4Y2ONa>X2fsKAZ3**#@n2VV%AA&i^~xQh=fDjlZj#t+J*;`h z#_gOf-RiXo< zgW&5%6y_!iV!cyhjjZSeIng2XVUzTtMm1;Liw-wQZ)#x7b+pVReWZt?)J+9qAK{(2 z{xri->J1Ygb)08D8XXfI3!_f-!bm7O?r1A29f%d3;Ox|x=9IBMFgCs8>;W2`WRtql z$tLORa#8HjEp|~fIt_VE2VOI5QjdWLm}Hc0yi!Du96>JYLcNe+&G-st_Xt)<@*bb%7+N1?59cz+tCK+#%2{harLC?eCy*4?M9iC{CNi^IC zaj?QM3M(92vIF2tQ%o||B-3cPFXABLCkhcit=Qq|G~5qCuL0>^k8E-lJ1~=m2Vm)EQ2Mz|+OX1#O)|?Qmzd;IlUzo_1F^>UP~!)iv}H9eH^~(y zxsrwl;jv%g*l#vz$BxZ5$sCi+r3MD4M*m3;BCFRdcB^>3|D9Jr8vPHJQxd=Ji-c^y zP0o(O-WC@+5j-}`z}YYZ*FO9pH8395hNl)emaTsLjC@Vl^g@7!w$vN!y2aiq*mii966v0&he5_46G4KMDETrLQ9825P z;J}GC>FnGj;`PZ{{u_@4vrk4Xr$FUXZE|jOa>~WW!?NreSh*%tu4R)h?37oTWRXc0 zQ=@^?!Q1tz(HNzMCb^m#%`nr18fT)^%p}*C^n|fj)R#&J`a|IvwTf zNv!*geMLz6cv6pjJYhOoP`i!Y)+Sx;cJSIW3Rd?F8!@K(guWvj{ROdJE8dI46O!HD zhOptU?T#k7j)sq*Ejt6lb8XU%dF}PoXpNTw?>n-iG>Auv+UKFIdIGYSO}aBj`4=6f zFBJ8&Ne|{IN%(|@8Ho5nPQ)S;wM1mi8krO@D%YdGiJlgSYLL_oQ;;4k$fc$>&7+BL`@e1Y?e*> zF-@?bpWTn}>CC>|CjC>HG)%DMY{buj%5!ZpfZ+=ezW|CB+GL;tpI}M&vc*nzHB`RF zCW9FM7{p%>MK{=FumYc8N!TZW;ctP;x7y@@gMTExMAXl+AErh(wD@v(BpyDC+ACma zqx0>RurZbs^kF|njq|X|6V&L9(rRZrBNY?)RG3oSv20?(b`I=8)LxSVbk>^WM%cI2 znK-e_snHLWZ-hG+;^M2rcJ0%gf2h6LnWwa$HVK3l-GqRxCb`)pw@_ms!gf$&2ujc4 zQqJn^JLoiO??&(*liUiszasbrliX&K+o>@eA+J!Q45il~5F+O{lPsYI#^u>>Py=J> z?6;_a0dn>MYG6>CeUKU$h-SZQk~^q@p=b7CYG7=b&88UG1Ru3OM$>*m4GitFKQqZv zYRttGe@Tr6D1B{`J56#IH5Ot1dy_1q#xR2w+k>0j13Oqd z@A~%NCb`!n_nG8=*oxM<^nBR9uAOr*-+=9N*@KKfKgjk-fYUr+k_S!l5H*%M>hi-T zfv4?9sId(55o+9vQq&~Nsqp}23aIfgN=4Lo6s2QK@+dV{VWx^nR+wZZyg`d`Lte}+ zZtnc5&drg3LL`)5%_c+G&C$TQIr6JR5sWTF!$5Hryi&w`gyx^_M2h6s;Du~{o95SY znmxa^Nx*}jKprq(_7qAmtgJ(+AvHFj)R-EZQG)sVEhsgIr+b-m;+pC>?K6>fOW@eb zCc_x*CP=#t6v2=&oY8*VM^2EnjbyXF&dTbzE_j4wjnWZ-=h$Qf)71iXoeM=>Y%-GR zdIGi{#@6dWjqS*`C#9`uYX<@cw?PSiJ$(mtqf zFz7qqCZqEEo8(Dq?8f86C>@N)N5JusHW|&1ucpRcJU)if(Rdu*l=8>fWDGn06g6JL z&Ms7bIyo}P>co5!X@~^bXI0jxz zjW_Z5JW8*}L6rrJ?dT!J1nEL26k9RXi6;X>and-zYxp=D&!lUjo%H+hiJhScGk6wxK!pQR4^H@j5k*p!B9mwwnab zyTc?qDP4oMhPRV^csrTSZ2cUiYq9hLDE-hTGg#>^O4niOr%?KtO)g@kyG;T^$nz%I zYZ4efUW6T~@xs2R#;+~x%&5-RZ7*s!8>JIri)@stQv*M|7Mx-dSiAcY7N1TH{GwV=lLqi(XaV%aFVg^i{VAwxl2>TJ zbnI2oz$CBIKo~PHiT9dGAh2|w^R>62Ii)W+kIe;V0=bqpxf1vW^04w*G!R7zX7To$ zv`3wDP4X>FM2nHexmgpITE{vFTCl(wY6@VjSplpyo6Uxr{pbhqbXx#V zw{zG~19LS=cfK=hu~pk~Rq)&k9$f%IT?IGUWG+*6098RyR{;cd&10(IA>#*14>`A2 z!JTm6E}P6}?)NTwQ;8JBO-sKxnVqb1P=ae zliS#({zLMRhO&?mrKVL!K??|b%pR`TiC}Y_cKL3QBi*=8eo$Ln4*78 z0&dp_d0qeqhS=mmXA)aJeKy6Hz*X%@{LhNbWTTL=%ZD_E&XbBALo z^uvYF53iJ)C}9zDLfgYE1mkrZKyJ6mDrkFOw&}~ExmTmEyWqewn>>~}^Vcl?d{zkE zHq!!+x`ptl`*`ZiU$gkkU*SVo`C+L1h)tegm6H}s){W2j6vDGHQw@E5A@uQ2s%kfg zH{}yp`$?$1+9s=2wd1ofg)kg2-Rq$CdYe3@sx4-XY2ik!4Z*a95KOy9QM+FJX}E9; z*4_%Wx7lPZI8~kxoeIW|LKr*Nv6-Tz3$K@8)7_|G57d6%ChJwFi!akId=YEI6LKLu zA(yLaCtNs;KJ3ExLGArE*}%x1jO1YWDTLu?ql#R7Mz8Q4RB#Y#AF|0Nrl2M&cpr)m z+hnssLH&egg@KAOfc*&zVSmD>nF4%iE`*V)5JswJR0^P z6#ZzEtttfx_JuKxG57^)|7w$M3X9cGu6^+2mQ(>Ed2r z1VbR4uE>aliUKy-!4wR16coW2SOjC>PL+bV`xS*z0X*jy!E^p|3cd~E_ARoob`)yc zHrd5aHxo}+2t`FU*$q!Pk&(`%c~J=z!6$${Y-&5nBOAsWz34d9c|6pvYLn+dXXGE$ zc_I{@WRty$OK24DY>G}n1*byo(`@pB;u0Fg@8zPJSQ`e`A{ba-gwt6#*IHB;it5?q zCAb7K$LUy$AYLqqd84?$7d1vzO#s)_CNDEpry=tePy`b=uc(+O7{4_tI16gGvB|4U zK~q$4HWanD$!iJ)jpOcGbPg)$1hqTcWS`>F8^?XKs4LcnQL+d|$^EL@ao;TJiM4w{ z?cO$dT~#~55n-N(IU)?4MKEx_p{kwG=7X{J`B3`;o4m;`ocqx*D1wpmEq3AY_l@Kj zRnavUm7%6l02^(Sx0$9((S#R5(KwqNV54j}r5&3jIM5{2F&O|;Z1N7%F&A}Aha&jw zeNfR1O%inZ5`{?jjhu4>JYVTQ{&4xdDo9w5%I!@&SAMnBY7`6x~V#Q&GB|25^SC z=nfjV49o6>A1A>ZU>WX!8~?$GDYWPwXL7XYUK*H#)$ga)&DJgKWDmm09Zg9dO7Xc0{1*AdTc?lH4* zC)@2j$`-+(S@gV3K4yDw!CV&&hMX#sg67K9b z5%(70-nPl7?0%|sG~O&WH%|H>YJiu!B6zv`jFES^~*4@tgaMAYw{=p_+Fpt1pRf^#4uLwrUFPTSd zL&$HYFCTvE2Hg%8#O9&&H~i`iO6(^_*bIH_w@Y|Ri-|KYUkne=@ane1(N-LwfjuaN zXy7H3O!#>n$1#d?XkZ_ff!n-+QXc%24j#4O=XIP@7Q?S`6rcoQcu506)A;>gT;$w+ z#l?|O@i8{}irsz79oH|e0!7E#On3ueRw5rnpP8JuR zLIWRT*=aQJ8A>&1;7gQh(ZF{ook0UQU0Gb025=s+xIPX1g-09Gz`rOpra=RxrZgBr zsW}belv(kaG-zR2D;g|B=`0#7MX4OzAJ zQR+s6%~0w=gE-w#+!KC<4GrC!262a_;=VL^4%X{WgZTbmJdg%^V%cCC?1$0?G>Gr( z#Y1Uu7?usE!BHrUq`|Q$!S7)Hg69}RgA=g~cFzA5%f{2-G%TA)gBPPTnFcRKX(|oQ zMrk??ES@QG63>frzDI z=(oRPw|F59ZbSl0Xb`_!6)&Yh{3cX<7Y**jqj%Hb^C;a*eT8Vq`)Tk+EPId!UqR_% z8hjn4MLqj+XT)dZta2mMy zMGBMW>^FIeU#20POD%qthWM?pk6LS;IiBLz!GdqtgEskr z9mKiT;`e9>Ct8aSQ)`1Wb5#r-Z83DTKeB44;YmNG)^m6e-eHQru*pyP{UOYEeA&d& z@GJ4fU&E(Yb6N2>@biCWG0dyxu;_F6F|1KzFHVY;jYXXIrNuuK{|Eu*#XrF(dbWiO z#`uHaXM4e54g^2j5(aZ1_}S(xm;=Gj_GrNz2!6K33g$rYvz=Bj2ZEn%Qi3@U{A{Bx z%zb__6(a zdEVj2HaFyXhacPCkmntKY)ui*JN(!>Ag1q3zB*+VX z&JH&9*iKEnzQd30jl}Z~KeoRS&pZ6s&O|)#@MD_}@w~&2Z5PDz4nMY$0_K@y)MMNC z@Vvv1?Y+bE4nMZB49`3EV_VDcyu*)eF2nNhK-{5(N zAKQn6=N*1*Ck~!>__4*Vm}invk1b8*d50g{RDcc#fjCyR@D$hIo*rGh1 zclfadq&)BNV_UWHyu*(zf8%+FA6uiw^A10@GK}XPer#M)*n__38=m}inv zj|~?*@9<*-2G2YE*pR{V4nH<%@Vvv14I4b~@M8l9&pZ6s(82Q#KQ?&qyu*(TA3V?a z)n_Z+oxCGKKE}_HARpuBNRW^5b0o;e_&E~fWBeQm@-cpn1o;?0M}mBepCds&#?O(A z`eOWWB*+VXOoF`N$0W!LeoTVA;KwA$3w}(3yx_+q$P0c1 z3G#v;lOQkb$0W!LeoTVA;KwA$3w}(3yx_+q$P0eX78CWw_~A%KeKCGG666IxCP7~C zV-n;AKPEw5@M99>1wST1UhrcQ1wSSk^~Lz%NRSu&m;`yjk4cah{FnrJ!H-Fh7yOt6 zdBKlKkQe-z1bM-aNst%(m}Jx!1wST1Uhrd*QD2N7js$tZk4cah{FnrJ!H-Fh7yOt6dBKlKkQe-z1bM-aNst%( zm;`yjk4Z)YF@88wG`<54Uoo3iatD0uPWd_>-}Q;D>C7sDJqKa0M=9Pds{|%b6E=W! z7J6ir+(UCd%J~@HCQBf|+D=^=M#CfwKN9UPd60%@gfC*!!!*1CrR6ld1*H`<{1!^9 zXzmD<9;dn2p!6ioU53(AH1{!-*3w*#T~Bl0$FdDH_eYdA(Qvl2twhPwG~67eEi^pX z+4rJk8x2oD3D!hhh0;zMUW3vu8h#0-Jv96tN_%PeXOv!~)IbShRkBcemC`Uu`zVc| z1i>o#D7{H(F-mV!S_LJDR;h~8Axayg^d6LVQ z;L($))f%OfsdWxYr&0?&qV#lXm0?*;YE49`HnlE6sSdT~pj3}q3s7o6t;HxcqSkee zhm|&=)*X)ROPf(^DN3+L{Z5oxQtK|1T2pHoN^Pk10AkxwYbBPor`F>rb)?oBlwe_R ze)W-M)5@lfg-;5Xlyw<4Vfe^luq#26#3j!7Q_6LE#cGYjYN}sj6um%fNe@;%JAR6+ zQdk9ii$ZAq42z((XB>-Aa2zLZ#=y&OGBCVC)@{aw;bl{KiQNT$5PN*zVPI{j z!3!I!&BdHr4sj>oUmZ^qMqd>WDJ&Nn|l(Tv>lkykydb419dvFX!b~ z@bt>0AZw?o)0`0B;^+->A8yI7V+y|%+srRdJRvn<@K&h!e7tJZ{YQA z0>;7oTpFl^huts=IK*BUBlD0JYC1r^*k--=?0!| zOt3HWuT8vsGf$u9*YylfxA1f;KfaBp+j;r3Jl(<5ok{wiOUmy`%J1g5Jv@D$r+az& z0#9G$=}SC)nWwMt^i`g|#`*0_I1jsD_Ve=BdA&Dy`X(=bi>Gh%^Z-Bp4o?sA^bk+q z<>`AoeV^kF^ZW-q{g9_0@#7!!^b=nGDNjG+<)8EP3!Z+-kAKDUU-R@Eo_@>I?|Aw> zPk%_be%8)Eay>us<41V@XP*Csr@!*_H=h2UaDV>+dj3qx|HbS5op3&8?|*ptzdZd< zJ`Uf4obk%%i%^Ry37`||Vkmrx);a2-h=|=ZEohI8R6LbRcsiY@GkE=rczz~NFXrhio?gP!OL=-3$6e0zSMc;op3dg!9A0lO zPv`OS`8-{~%NO$eRlMC6@%&<*Ud_{MczP|bcO6f!=jjbRy^*Ik@$_b%-on#cxt`m2 zdOJ^-@bnIzF6HT+JiUvj%ebDqd3p~|@8#)zJiVW%5AgIso<79&Jj~Nac)FaYkMeW{ zPgnAE6;B`I>EqmfPw@PcT>omGe~PDTc)FIS>v+1Jr{z4|z|)O9-Ne()EDhXBvVBkc zo+19ye$XpiMgoLw?p=GcZ!aNTYSt+Cz0|eVZW64udW~;?jeOr5HOqYm%6;#b`#$Pg zvqr6Q-)H@5tp3?&hl-~@_k!6016;b0{)#_IuQOn0W=56X}-^WUqES? zoap<~_Z7U$z}ZN9-`9kc4gxvogBK+WNI?XWE~y_fC-tKs^^+SZ2!_%n^@|r$^CV0C zDoFk2MhXH{bV+%#luYUmLF!L8QV=4hOX?pFmhwd z;-yK-Z+U6HLWxvVkh0xKghVb-Xqy{|R0!RV-Pmnjm$e8>y4DNqMuBOscvdb+Q|&Q?yBWv(zz?rA`&3 zPIDs#q072mLQOBtca21Wzo+JtNi`Cr8oQBdqEE_G^U0)|3R2D7NHy0d<;hZOq~>cONS*0Ms--?D zPnMENwGyOSyOBCepOhy{t(7d*Mv!XjMyj1YDNmM?Nu4c7wRa=cL7$W-OFbi5s-qxv zjvJ{?`lLKrN+#7=kUH0mR2O|xo-DORvQ$?=s+$|B?)s!WSxP3=Ly$VpjTEf&(e2Sa zk=i9$s+SgPtPzdosfULIX!QiBAk!EU6^*C#b3b5cVEsbOxU zV2_qc^{G8l^NkRsM!J!Ly<&7pd3qV$E0G!{NR4(QHAbJ5r@QY3iPTs@>OwbC<0_fd zixR2vg46^zQWNz_d3r;BNg_2#kecj9YKlH7PcNe{OQfa>Qq$Z>O|N89uSle32vQfh zk(&8GC3UeNHOr0EC6!F-RmoD93R0K3k-A);)RkU(^fx3@vjwR+Zlvbwlk)UD^`=B> zo**^fjT9^p*6q=+@?t5O)FMG@u^TB^tE@}PQ;#l_x<-(?){WG4|5H-e3sN_@k-AZz z)XiR+?~vqEw+K?Vx{P|OOcU3Z}!;+Rv(WJ~vYL>yz@-d@`vA1gQtzNWqRRx|Z@p>J!OQ4+~O{ zxRHX*V{}P*YQE1TQjZE!E8Iw}tYlK3OQco_QjfWjg3T;+E#;~CzLrQmAxJ&xMhf=T z&?V)m`Ho1Wo)V$1*rpWq+oNiO0|?Fkvb?y z9daY}ZY7hF!r%Pw2~zL7kvd$-q~!3o4+N)EAXZN)EvJQjq$}jnvnbOiB*G`9_fX){T^Y?6BX{FjFG=)c1na4{oG>tYk}- zN~C@gq>i|e`ni%xRgp;jB1rw}M(Q_xQh#`PN;p;`^`{{9mm8_S^+|d1spBM4{|Hk5 zx{>-%pOhy{9iK?bATd&g&xMrX*C*x4QdK2Vh9DJiBNfypWn_8rsWuWRQ;^DbBbB31 zih3b6St6AyNaeYaifEJa6qDzdR)ZLpAQg2ZWowf%3cOfKCRHd%6}gdu{W~?EJdKjf zNtFsxRoqA&t5529FQlZ^AVyU|>I64Z)$~c7&ty+_U zC5(oGR3kT1jrB=+31}uVsV0I{Q#VquMYd-1`8=&!lOi~b=7LlUH&SQnlk#LKnN&+b zs+Ak5*4m_uHeMY4faK_H1*vv!q|VkS)xis?_assxBGzz=h-E(jt?5(7xn4*~-}{U% z!ctw`EY(e$l&94of>d8OQvLKv z4e{br(w9-AzaTZhjnqJGQpR8}q`s6ab-o~Vfg7nI+NAuREG3f~Do71;BQ?B|Nqr+( zYJ?y)(v4J^J}EB&%|s?8B4Q1iHKOkEh5&?kBBv{^fJuIq-KlGbBNYo0w`-H~Ho+m2 zS|Ui@;YJF6h)Q=r_7eI{{*xU2PC@D}H&V;=NqKon@JRtU#@&L{J#M7#{hyM$PmsFb zjno7Bq`WkrPl^gM9u%Y=awGMyJ}EEH=t-m=5u}#8k$P00l$VFRBvLB`sg-V|R_T-S z)O-Qy?t4s-dfbiF6Z)jQJSF(T5~(Kzsnu?zp3*1f^+|bJ2`Q6$T9A6ijno!>Ql9QUDmnUAL28>DDfl5p z%>milwmed#nenV3wZo0n&PpaFMVcAU2~xY$+;YRAsN+u=6 zvIur2Jmur+iXOp7E|A^`09k_yOBWz5Aq?Jmat+ z^?@5H_$^&cQr;{j#pD?u2~r=sk%FJ~)gX@Vn()OUi^_im(q&?e>S+l;Ta)OK8Xszv`3n^on(+WU1c-so&j5{h?3F(~E;lO2iHuZn48g z1!9N2Oz!*6k}M@+hYh#bVWR@E!=6aBO(Yc%vBLqk*x^70Vu!uVqx)o1B6c|77CRi! zj~({bCA5<)6^c1}Aj`$k17;;V`q>hxY(Xl=jZ|2ll&86b_7W*7Naebb%F`$1Y1ZW& ziBv?8vfM~T|EHvEK`P&kRDnJzPj}z>lBGnHb-*pkI-nnA9qeIxw)iPUkT`HpvMzN-4o=V=~<k(TL8_V?sT1`{dFm3zN~BH_q^i4-I=PZb zjgv^7B1oO;M(Q+uQl6$4FOo=|E=bjIBUMwIRG_w(C(nx|QfCNKb=*kR)hAWo3#nNW zsRn{nLpM^5Dw)(J5~;?5R1-H+P4!86YQ9S)Qq2UZ=5C}~R5Gc{BvNMzQZ3y`wW?%N zmrJBt3sPsfk!n-Pq^^)iiFovYTReI|KOWs%mmn?X3A7iM>fmOnj+JaFX)#aW96_p+ z8>!BfOlpqQeCG;MUED}@tz=SjB~sl4sqSv1dQ>tgY1LZbJVC0b8>wEEOlrPlsosKA zA2(8cE1A>+iBvy9s=phl0otUz%?`_?1`1Mx+(-@9CgrW4S}0jcMD7RNBKHIOk^A0A zT_uqkDl9e3%~Hd)E#5 z)Oa^i6ZA<<@<7UeibQI%AT`B})Kq;^)4h;7RU#$g(F1Pr=mGtB^uVmlNnIi=b*Y=B zF4MQv6`7N|QjnVMMrw{esd-*VohCKkd_ih~8>xlbqzq4M+iOUqt`ejcxsh6|P0CZ0 zwO=N6wIFqk8>wrxNqGurP9k-kAa%VPsT=;Mq;3?XZgL}avo@)~tzH~mZobIpBT>Pn~O#> zb~vCPI~-W+g;YI>)H-3Q^=_6b*SC}>QVk_i8w9D1ZlpFBk9p|I3PZkGC3-%|evq&^X(K6NAY+5eQ(=YrH1 zZlu1{C-rsa&G(HU^{pGJ@AOG|2|o3AkoqYRy%=zdUJU3*FM4xynUsiL47f!v2K1vB z1HXG|zK)Wm{t(Ugr(5&=rQdv>`YD;z--6UXZlwOzCKV)JEG5NH1${A6LB9*BprK7F z;OXv@Nd*L{pc|=>HmRWL#ZsLTeJUto@`7$Lc|rY{ykKtTr1FHNB5szl^ett3A=O#3 zRK6fp;6|!YpHy+?q>d4!O58}5>XSOw3#oG@ONmIcpj)I_P(RWvSj`KmE)uB|g{4k% zvs86`OP%6{R9A`Ase;sLZlq4HWK!KEQZ)prnr@_O>61Fci>10tr0NJ#b=^qS(tV=tEKC6Q_(NHujM)l8pM3ooR4OQb~nRM0JcDySbn6+Fue zsXh`Z@eB7sw_msq>i@!hu)P;jeI-&IMDulYYrb>zo3FDMQvD=SA}T2878MlKj|vKQ z_d=?_M5>3d)Ol`}>Zxz3-d;!zkVy3rr24v%>Zea?fEQ8&B~l{RCFmCG64Z}%30~lZ z)F6q}5MimIZk8IRZ>bSpNDY=qjTEHH+(?bmCgttD?*fU`XhCX>8>z9{q=MtTSZatw zYP=vd!Hv{JeNvOXkQyqHnj%O|bt5%RpVSO5q=rePE)t|>x{#JqSGtj!txsyM7gA-CrRE7z^W8`-&?n{TbL}XJ)FMG@u^XwY z^+{dp#ZsdsQX+yQ=oY~d)Q{i@-sFYU7>U%)!cw=mS?X4OOWp2;l(fPqxI~b;!;REZ zeNuOMA$6f-sbzxH-EO4r(I<7E7gEx)r{Mj9)B|p$9@HoGuoqI}B}+XbNG*3G^{76n zm0n0qkVvf(q#ko4^|(GMPxDg~B~niaQct>(TCGpY)AZsbiPTeq)EYNZYxPO3_tJcm zB~s;r)CM=95W1 zE1GYITl4MIZ@yh#ns2()e7gmyJ#M6)*C*x4QZlK%g47Fcq+Zk}^y>_6=DkB_cS2ZV?>83Pf;t`i3l%5<7JT-FE5<>hIJQ@bnE?CM9<23cBso71ZCU z%iA|(nUvV6E9kaUS5SYaE^ptEWm00NuAtjaT|xbwx`N+$=@O(B+re)|KlPnkKlQzK zKjmrrFuzRd2SMsbH&Q?8lk&1(LK3MXg4EA$q<+yS^~K9+kYygzyFlCrv#al*ncYIw*OS90{c&SiZqi+iKw8ETU1a; zKPo8XDJn?X?jj_jf_1i0%h)GvcM%f%Plep} zp9<;kKjqC*GO1HUkAA9KkA9kVkM50>v>^~K9*?-Cxsu`-qH?D0@4ET?btQu8n zZ4A|44Whqv0Q?JsHvF3{-oK$rs6nV9lrgC`Im#^WU7KSHilZL^;4{X`b+ylWq+s%C^<9Kk}GLW{O}*Js!?-esI6K{5!MhaL!nl{ z&F63n`XIx2wNtC?kb%mMYL%TcP8aLv0yd$z@~lu-cI9BNtWdYa z_UQ`k)6KC%uQX@quX~2x;tYKf&(Isr(8oE$0JT{Lt51;Hc+Lr8jR$I>@y<^}dYD>g zs#zR|aLjT+B581q&;`yJhKEKFqqHAXIgbS4Kk(`rRgWtPYz&Q4pQ8o|uu8)8*`YFD zGspDVp;2&?uzM>zG#btp;Ep}gxu#qrR0d}q1%IQRGmj5V;7#5GR5GPzjheM;ZwyU$ zJFzKFY=$QC7BNGU*u^mop~>VzxE^+Q!U-qB$tJZJ_^FkMg<~pa%3(aDuWg0`5fW$5)FLWtL%%FLp%RmY_ zq)I}enLy}b_?rcPm%!hp@OPO*aGu(Mu2K_Ab)fmo9x(@+@2Ff9TFjewFmK)*yylIe zo78F>I-GOF_2z`G;bzVWu@f*e=Y*~WiCu3_=sJ*MEq%3f@@N1c7F4#Nngtb@C*Q2T zw%gPsw65*;&=R!+-KAEFo}1`Eu`4shm6>>DF$YSx4!DM8p}WDp>|ROi;_p|VP3q!_ z&sU@vAJ&B))BwlF2VY6(p%ez|LXSKKlu`$=BnF}7p+`Bm9r!QnEUg;rLM!*cP`DxV z1QQtB^eoYU2 zni9NU4}7{3{DvO5hSJ(^>49r1&wM}+TuXW8gL>fF$}_*K2R=gyeqRq5Qdv0k1NNCq=D7fTnYX~58Oft{!I^jrV{*z9=N3v{FffMl@k1q9=Np<{GT59 zEEPD*rw4AM@~|vJ&q>-U!9hK6J0&VZ2cog`n+NjfXRg?iv~mEdAMa2F-GL=W6m39h0C?xqAErw8t?1XtAq_fUeX>4DEv zb^2K+={ZSHCHQ1La4#kJR6THSCHQnba33YOrXIMj@~*0_2kxgla~(Z!e47g-p7~Ne@D)n%<$B;NmEbG&z_XR$IeOqZN`uYQ1J6~07wCcKDQRD&2cEA4 zFV+JuP}06e54=!$=IivpS1DhOZqR!*TBJPlO?qcutOVbp2fkVfzD*B&jS{>>4}7iC zU`zE3cAXM@mmc_fCHQVV@C{1vy?WpqmEimJz&9zu59)z$R)Qba1K*+qFV_R#s&tYS zdQNhi61++ee7h3-xE^?k68xkd_zorbDLwE~C3vkK_)aBwy&m{3C3u4#c$v~kHt9LZ z-AeG&dfCnepL_rs1m$S54=JNeq9f|QVD)j54=hVep?Uxm=gSs9{6$PbJ!t0 z@Ds{2zo!R&Qt8`=^?ZA^^2{IVo%tyx_+vfr8YTEsJ@8s3_;Wq*Iwkl^J@9%Z_-j3I zxf1-X9(aQi{JkD{qZ0h19(a=yd_)htSqc6{3!L?_C#tQjGWC(Qwwh%fZI!7leui3Qy$n>=QLAi_fy%mS zm5nk`Sx>F9Nd_wGt5r73KxG59$`%=@Y^YY*G6R*3)UMuITV<-NH&(N3qpdR4)tjhQ zw#z_eQ?<(W8K`WgR@pHFmCe;EJ7u7id~KDfom+dg${`u3?4Z8z zVcIHF`^%1Ml_N4xd5&6TSq3UQsa1~7KxJpO%CQ-!JXhV~lQ(L^N zTIHk+RCZIVoRWdc?rN3OGEmt=t#U>ND$i4^oSA{jo@ytWrL8j6iF&D7UaGA!)roqm zRbHNf%06n9S7xBHuUh4t3{>`0H_tq6m8s3sU#)UM1}X=rFZ?QPm8mU0P_1%t1}X=s zFZ>#9m8rHItY&$gw#w8CKVLnmbAz_Z)GsL)s9D~mtumG65Vgu%GEg~Gt@5@ER1Q6C_xL!q%2gSt9IsaScm^sbs8v3hfy#+$l}}}$a*|r*+6+`q zR;yf}fyyarl^ZfpIaTfIo3vG?_LtMtDxc0k<#e^mEg7hsp;oyq1C3{=iitK5@;%1hL)zE@jiYJYjDTIGuwsJu+A^5qOvUanU8Y6dE=P^;XR zfyyh@Dqqh)(na0&p_q%YL!1`pz;Q_$|D)5yiu+47w;-b3-cziDWQ+xmh$V&TQs%|hRQ9? zTNU8jwZP^Q^9~-Lx`bpCww-myHRiqL<^$azSz|6=V?MUVe99;{*RL@*7no1iDmS0K zs@&XleYv@}R?W@k2ZXFKKP@-EEH}U3ZvI$q{#?7<{C&ImXZ-YexKlXEA(KgKzU@BS zp*cj(GXElHI!8N-U8p;nOZhI;9nCx0F4Ru&YqlSXEhw;v4>R&w5| z;yfjpb9P9{xrftiG0x6L!sb6I+(~QI3Z86JYXuK!qXg&Zf!ivL>;y;$~18erUbJG&ZydMcHiTrnlRl$UX;h7w@VTM0g058OuyuBiv^ zn;rY@l0*a6(ExJ;)&}|mluGJVOvylHD>Tqo!kTiB(iV+0lmK|Jl0g#P=cU~NK9->szQiDOlWeim+IZIzjE4ZBDN+oH2a7OA#ITNO2gi^`b6=O0| zsU*$s)TColrc`oH#h8pzDoOM6HYz5}%||PhbkS$Rl#Ed->87uQwa(b=?uxPUJPjoP z9;duldg+13E6?0V4?ID6?fo>s3HQiECH(;!O5oZjDH#mX04LlVla)3&UqcBnn4$y^ z(F0FaUVECK4wBp$&OA+d?ISeKoM8RwN+o6bN|^i3P+rDp4J81+NXcNV1~}m|W-67W z`F$kGkO@jIR$j&g4JL3Ivy|XTdf-cx;3<0GOO@bhdf>~HhMS=QPB7f%N(M7Elz`!` zP=aUafv;53zf=R9K!3K9!Q~oCfc_jM_)0zSTqSsp9(bPed2zl5m|y!`pua$=WMRdW zEL66_B7G%nAiYXyi>o!10CC$z)&t+A1UtXAcJymr zrUb9kJM-O2aJe4%9wm6A9{65mQ*G7*-={qDGkW0rmEeR)VYiva2b8q8>z(;QC3uG( z_#q|uIX&>hO7Lzy@FPm_^LpUrO7IJM;766u4M$7F=+JEbv`B^3SUp?>+6*!0Jfp@ChG{>(2 zPH@xblu7~>Q?g5`BvdgayOp*uHI%>&vquTe(E~rP1XDfmUL`nB5B!1>Z0UhtRDx|i z@JmW?fgbo}CAdfr{E8BMj2`$^CAd@%{F4861g4^nW zKT*;?TMzuH65K%#{F##WIeOsFmEg{L;4hTmE_&cEmDk)&5B!z#%suqLUn{{q^}ydK z!M*js-zvd<^}ydL!Tt5X-z&ib^}s(UhuXm!;DiU;AC>KWfrb(oYJXDFAF2mFqCE3( zJ@C)UGmq2*|Dpts(gXjh1dq`J|E2_As0aRC^|>xb=My!ycg{FyvOkqdbUsxlDEUiy z8JeH0|5m!K&L``HC&Yi040JwSgAM*wf;B%`|EHv{^T|3+-$#@TbUs}ZU(RKrmKd=K zf!`Jj#5Oi#^mkVl{hc$XW)=j0KbV}*}o^!jC zy`wy5Sxr-}Tid0sT(d^{n)lVTLUQE>=_@ajYt~I)6VxVMS+n$&lhig&U-Ld75Zq$^ zIl!nHl)0Rh9<;1x(iL`asVi%3m%e7I;ku-+oOFeq($`EiT+j5Clhk%kU-Q0R0|GSz z^2H5EUp>WSLtN_0S_h}Ed0(;zm!+?pbZNuW*G#q8xb&5i)Q(AC^S)l&a~>$qd89mN z1uV&c1s7Z6J-=9R;ag^`;R`NKfV(?ntj$>oix>RtK94Q9FgD|Y3%1I&9=m`C;nl}gs>D}gW+Ur4E>ykbhSlu9;M zOo^#fvbkbPvXx`~Gx|y(NXVC?WRl>xX`L!zybCM+W4i_uU_g}*iaYecxk~VJdf+@I z?cI9dh!Xs~9@tWXU(f?bmEf23z_!w0ujqmEmEhO(zy(U$`}M$uO7I&RV5?1NSKs zp_MgvE+?UyJ7LEKAJi-+$3k7Bv_JftON_rsaTf8Pot1MqU5LpEeCV)4Ytx=`4W6xePPsYlDL3IM>#$RPxIVP$|K^ldNzO+(AM<5Rt6&WyJS39|YjVDX$BG(j za=tsZJm<&qoS)+l8P;U$qV&V|@8vmv)jT$_c9hIXUwv75&VO?28)S0nK-RWMB~Ic}^v?p4AwqmRYn104>{_cloWC(#Sj*TF zE-nvOSx&OM)h-WL-3AXo+r!nYs)^6sWUkdVE%k8q8s*_rssMErF8zaNxO%+hqHu=J zY0r@JJDlOPDsuG+>8mF`_<`c|&y(Srpt!a}-P{Ud9qANq&J?aMRkfz1Wy8*qbFg`! zB;XjlVM#z(Jls535=5K-35$FsjZt!)i^|o|B?UgzV>y`5==9Tj-U9X(y)hp-ed*wWRuWY5~m2mR^H@tG5?5*4&0+R5EZ>l7|jL7CRhp-AWkz9EJ}5+Q)~7vn!(QnxkoJ zAMU^h8<|_UQ+YV$8^U!-+Ad7y*0mzqZaA8@ZWYmX)6ukbuZXr=j;5_gMYP>^G;QZq zq)nG3X-n~to)yuy^k~|8Rpd(VO463%A-yZY?Y<;!DOcL3BHSKK(w4%lZ$-F0nxrj- zTfd5MTbZOSg}d(>ACg+BO|c+u-o|ynp)^_D*4M zT$|vDYzTiiOMEukYNel34UYg&ne?c*)n%y7kscMdT3ypVbLFt<=-A{v*=mhWTQi)p zOmeGp?L~M|v1cQ)Dc!Ttg`qCo5?$f>j9H=tz8=(o^9Qz=TVXmpK3kbQCMBM!Bs`A4 zDU^iAv)38Ee+m>^P{3YbCMaz_Nn!JCN3;19$>!U%ZN5$0=G!V{^KBKg`Bd*VPdrme zc$%>J^hBFa1Dj8GY(67=5w@#T z)@2vI$f2@A=|+zo)s1#&yU~uLxzQ7n8|~0{qaE6A1WGI7Mmy5D(aQ90v{JayliuA3 z&l_{2)xwRQN_3;u;6_h5ZMR0#jW(onqo>omQ6d!$H+rTbWB4w|ye@A%TZB`7iG7=I z72Flut6<+2u9h%Y7bUx`^V9bba}sdQ)XWa2Pc*kCb~3xIOVVrDCDn|Q8`D=EAyu_T zq_6qBTyt3ZnrvoCeh%3kB`ed`m-|_$dVAWcVVOjf+?u|s)F*@1C(~C=>63TIZzkWW zSce4CR=k;ft5W;qt>R|d>-}cJ^Tuwb7sSo2Ib) zDjGM_TT1tTNA3Q5j^_R`Tw3?vqwW5C^xc1tw)^j~j^h6EBO!3mb$_U;>;6zx*ZrYt zMcjW+8ux!Yz5Bl{-2b3=_s8?b-2aep|92DJ{}8zUyN>(6r|JG5rE~w!(z|~m6%F_Q zJp2WJvV9M}H27iq_hHrv!rxc$_l@+lu+M6lwkwkR!hKfy&?Cju>^`fPw&FHvYldrz zSG|2!2~^|ZS>YexN~XZyPbpWlU;B#oAMJ|d2dn+sSG3>Kx}yE^6~%X2bsCNABm2pI z$N3#6^gS&4{)f}Ou^y?&Q^cD`dy4p3xb$1-N92Ju;Xf=BOgBEBL4^#)NLFv!3EBQtIK-_@jftUfw1L+L-ujns^5z~3Gs9i%E zyAQa0JEVTMv)2y)$NP}llqB{c{}BrcU>blLE(VA1Q|!B*bo0JrotfSg$p$aBtVDyq zV|7c9mA(kRlmp9Pds~Vnk@{TlW6d4FnEq*@USrW zo4iZ8Uvw#k!r+H0V(=^@(ZLUC+xt+3?0qP~-uH_h<^D813Z+N0_aT?p`&4@;p1n5B z752_cw0ADpJI}FqMA$o}3!kLw!r#lF3x7|$3xBUdUHE$m20wZiPOYOE{Jjcw;fZIj zO{2o#cA~+fU~t;#SF8qCM4}ZTx9{zsC3|??FgTG&)EG!VephLe3GgQ|DYlUm%H!}wC(*th3x%7g1t4m@MDi=?++@}g(sf9Ha$+* z`}jnA9|!h6-m!Ppn7w@~NS^aHH(?EhP%nB4{GFCC;`y>%-hAMzfCH+L_yFlMoi~tl zYhOTrEz7Jj#>yJXlEhP)R_*i;3AF)OCoM4jiVa#@K82b76!l@^-t{cw(_^KxWLamV zugT65f24O7Ao#LKBcJJO?aPY$kZ(flF~Emmiiw{I;JJW3+BXnBRMBsVJ~MtMQbHSY ze<`7j68)ti_)8<_OpS%VJVe5dzf4Z!FS%|vP2%LHFV||F)=JL1zci@;)m;{(EQ5BF zy)f71GlVn;0gBTc5SypuJjpWUF~2Z=#}Oz)z!dQ(0-`*PzA=$kBD zWpcVF#wOlfCE-lqDour}G)r`qrr;{g99L;BT;*lnwJc5JDtT6`^cO6-N}gMHuk3{K zT)$DfbV7M9G0D`e6UvK{8`55m+zaJd=cTWClwJrW1>%`ct_Za>7AyH6#Y34H2I;ZrRWed6kHd*FY=l^nGt}p7e1p8!Oi-c$|2zT_85^^l<4dIUL zlld*!Iy@wieKLpPppURp9=Fo1Xr+E>tu#QxN_LdY(=?N9jZ9lJTnT2fB{K~aW*WrJ zbgRltgN2!9fSKSIj>tO4Ou}_8NHvQ2=XN?Y)ru^r9(-+hZQ*NSj0IPDTfTK=TH{d3 z+wxsTq_`RVFqv3PO2OM$PkgTMx&bUmyi|A@ixK}1E;HtZe&%%$GEU%!rMP3poij@+ za~zZoRXJ$BWBG=lw-g+7gk$+EaL|#C<W}7 zwVIzmB)aM%4{+eXK>ulQ0Uf-oKUHA~&XOkz3>zi9cM$hP(fdyZ3;T zqF4gPXLc{KyR$ocdx6Fy=Obq&gCxm0Cjmh~P!J_5!3;{0j3OXeKsaE5BP$?+>G8ma zJ_BY%k^+h#@>Tbw?wRS{J)Yn9`~Uv$_s$u5r>m>0s;jH3tE;uMP$jW(6?2-i4m-*D zO}&e!ta)bN=99hwf^Pj-VD_UK^aGn-S)?C4hXWo7amx{xGA*tK|!j$3U1w5n}=X~ zxflfvAF;6q+XvPK)@xS3G*#c%X``ROj0WC<4%}luP#TuYh*tgh6eje26W%R$9>kQ( z5PY=Qj5b>DfM9KStDns#cI$NP#>B#|tlFKcDttP2TNqzjS@ltV4VNRYa#^YqW6VyB z;dNrG*@Tu(ff80=vz%elES%-wkX1T+-5>7#(Y%7UPSMqhk%2j_sv8wwE-w@9sOM z!8SU!-|W}{x?>PE88|>%IvBHKL+`p{hv<$SBF(+?Pj&2Fvtx(pj=c*VJ4{+SVs@;X zE{YWxQEU*FzP-zyRR|oVdv=tx_ufC%vtwq@-luzZ40`rHY3X<#LZ9U3l&HBK84a8; zJ)`ORjPVUjM;|f@e*~F?1)C=yLzREos_;WKS%35hwLPB?bVQOoLg1Tc4okt8c{nU3 zk03PChRipnI{${J&QIPwr|FhZ;NK>vPtlzIH*oqC;q+;fH`S#8+2D{gueFojBUS!x z6HD)r0%qbJj=+C78(JgPzFbBTCp5nzKMMuxgC41t=QrWz93E-0PL>ST$r6usXYQVL zR7>C-{>)_E=QQg+1J->`Sohz&%&S^T5bKnK!|43NRA%n;>S(nLmu}8on$eU_C?EKe z)@5H3E~;cOlN5_eGOzfOIrFSM#r8I?Z!Cf)hC#aeM@)jThiQjci%A$ zw$U*oZ3_yJv@QB!sQ@HvfdXur{AhN}efoJqv_f~XjP6;5z)y6~ej@Fi`=@&Lv&pja zG|PU5o}DKx{Sv!pALc#11nC33tsN-}AWWYaR~`Lw-%RFH`_NS=<>UW(ZsD;|o^YR?o82l&Yp zcsKD-{5C z@QQi+K%PIys^&4$*l`nz$M`b{b2 zl4J{~Ap<1JxFaz`Ey|tiSR`hs8Qd?KL;*mQVcaiqXqA;ZP08jbsREqK^Kfp#htCjh zhR7YpEPZFF*?gFEo)G9AzjfvuFMP?*R1I6qK0N>GazT8c9%+NLTiOfx-+Ic!<&owd z&|ti^zn9JbhWGZ(gm91qu2yo&X1uRMws!7FZ?QSxjQt=cTb#WX*}*6Tz8tSSU1|V- ztK}JTwme<#EYI+y$kRRjWG$l=&K$Q_N>VDoW{Ou@FJ&neVKc=ekCalBN>G+4cbAGP zm7xqW$9YB=t40qmqEV@0jJ$;5F!E9$*=AA0AI(eUC%^JPjJ#Lk9(k|CJMyaWM_vt! z&9u=tGwzW$GwzZ1O8g_QmT=^8w8cz8${1E#%oGO@Q!eY7!jVU`MG}GoCXrpreJF_v zxk*OJR-#3|wwS3t#vj>?wwS5%6PmLcZl>t`CZjE0iCbH|l1FXfwQCDc&{Nn`%+wmI zq@@2BS_A8vWI72Y9aiM{L7;P90iE*-=$x6Lb6x?RGt;wIe#IM+XOellBd7(eb84G9 zr;e#}>Y6&I9@aUYVxWiWLs_yX0D7nal)+@~Eo$f?Z&8l-N@Id%L=|Qz5A*H3^^iWz+ zP7f$np#U6JN^3(5JiRqB@RXs%0AAbDjcs!|Af19%cXUyvG=mGJq3C~>ZY&sU$~lAD$A`GP}% ztc1@GOAEO`ogzNp5^+x%L&QC0cp~2M?nRtx2}Hb;DdL@J5$^;d-kFGam%QlBSQ#{x zuEv0oi)sT#x(K7ByNlLYBsz7%=*>lfeGj|d>`9NZMQRr=hghjvB%Hrln1i`6om^jJ zab3D-a9z5{<9e^V=Q`aIQhJ+Q??ZFFH*mcV;dCpPcUWxlK@@bBGALcUolu4 zX3QC-Edn*KsboEo7Yx&fX+htrrf~(GB!I7ARb;G{t!gDOVU1P?Uy4I9h&VqYIAW5*dgY)7a}a@2x6C6U7I5~6=-K5 zKamV!phj)7mIP{#M#&VlQ&5Vv!lZk+O$`fs2p+C{C?8p~jzRe-h(6@wK`btW$n%A5 zQw2AtF{|5cYISi7nC~uJ*%tQ;CjE2Evn*e7#YbVH~<*D+s;JrI4|407ZuXw9_n|fQo^Lg(=?-Fxe{IE&Ia*1A?#a`gd2OU5^C!9jTbgK9((1_%NhwS{x~}sVTcZz1K1XF5 zfcviu5aLjT#W-XQ+aisWx5`819IqsA^=8Z45*Elg2?ymZ3FqZ)WQuzXZeDp77VhLI zhCE6tq>!T+^5;-C78dhK@?luakAua0qPGQEKVK5~=p$yWpyg?bxze*>bg`e~stjbe&Z$4@L&;uBhr%m%|GHpIR4d&BiVm?hV z%v@u5031_W7R>=&*Dv|F6 zKH!4@cn@MGF)nOlH7dA|!;f=@@{EM2z$_uQjBX?_woFto$FMpoD)5-tz7(a&bsF?h zLOd-o@=ZfNrJKsjntVz(o$~pzDW9|MUOu&U4f&jH%I6$fK4*h`&LQ$S*OX6TVq|3@ zPd=Br$)~m{O`{TrY`$hn(`pFQ18I_nKoAGzR(GAab_y5><^;6^sypRug1J)_EYp}t zWTz;qgLvgkJC`-bcdFz0b25D$k-Ssj*=MD3r(itc=oLEMuDnweC8aF0N8IeRL{}bS zh^{*UiCD3WC-MmY5OA@mmx=SRPj<~>GmRv}O4Y`mG zE1PtccGxKwn@qXba`$qfwQI-)PR)(~hm;&zE+9cS6ku2Ewm9Wt7f&ws^5ud?B@VeT z(`qLz)|TxFX9dglT(vV-PjHv*xq?(ewq-l3mG%f$sP<+1L3&xVN39}2ll_u^oP%Ai z?om^?FtO*3S%JS3*9v^EAXSme3jA<M(nq+U>EATynonV(0_|f=Q;QQ2wkcQL>{8)S|@O^4YAw=E^e4oGx z&C+ZiiBWQw5YzMGvI5^1H{bUO`OZp+U{{=3_vVV$z091kPo!|j3b~%#T5bm`(6 z$U&@l?s!#i1Xjo$yaT)su`A?u)Oy$mU-|#@3ON^6$b01K@?Osgd5`D1yf5KFd2hlD zIX7X4ypK$;c!k^!R=MxPDmOu{3oGQ~u*&tytD)=!Ss~AYmG1{+g&cvh5Ah1wa~sM& zA}eHY2Phwt6>>W$`$StIC!95=>x8q4ehmPWIm|dX&<2Hg68+cRPuE&MjOqHMIbGv( zI!2;1|IO2Gt!mY#l62uHZe zpOO~045lQ}ImYE9f^h|BIB~{Rh3r*nq256sC*YJe@{S0dMgWi# zV0}d76=D^~5n-?kbTeS|WID+T0`Yqq08R8WEKE4#YB=}I6f5jnGfSyB?4;yCQL+oOUw@&m-Ilehwjlo+z(@)zV2OF95mHt#2$mQO zN%>Pl%H>aaQf}s8_ng)OrR9ZgvUgOpDTrk+?3AZS9P%W*-U}~WIr4N=;7nr0>8QwD zAtxP-Ti1i>?g7mEA!Q#OG#t!y4;seb(ZX|7u)XaNo};l-{d%RwQU|Fs2+wb_S5AUO zPXW1{T+tM!EjT>4bKZn0zPNrDDZC>U&O?xHvR#ZJ86YFc+8tUrB)2n2a(*JojX;tS zmSj0eN(mN#vP9{MR5W-GlqJX&p)3o^lI8qRRuIY{Bv)Q&O8i2e#1}HOty~@KE6}#m zRyT=%PcRD+ZCeD(gswV^xr#a~4(|yfP#og$o*x)$fFL*>HYuC_Pk<3EYeh-W(Gc&&~~wW0ch~*Cgg#9x=<^ zJu&H)P_Vp7%nCFy%L6eh5Mov|h$#=$$H7@QV!p375figAXn$s7>3xyi;h{!N^*Bb& z`)XBg^A66uFG|*_4V$6TIB6m~Y$o2tuz8Eyo0|HtF?}G?SsthV zhfLa9>-w@GXlBw5(wVfOScL*?Us{>8kHKaqwl5pIar$_yVFMbEF&OK*@{f&8J1+F< z@+bJ?f|Sba1bTBX8H#QW9+?Xd$4enlXXssLK)X-EMjcCQu5X7kw5vRtc;y42) zBNnH2ZsK$zmN+#bwHS$N7KcQg5Zyf~Fd0w8?YcN2IwnGPQ;6JBC(cd?c0nCl>O?Ft z#M8TB>S;MD$vPpArX3|-Crt6e)>U&NUf8;7p^H~LQ@q;o#Onc*=|ZdN13{oBnR;7M z`oE1Uw^^&{1C<|ziYd#4;(VY!&Ydi9)Xd#mO}6x=AD9HiR#RKkYHAn1)ztp($E()5 zF_c@pmwW%H&HEw4z3wLWdg$EK^NYjEU^{O(^t^lSX{{UF>t%8epN=p*)4^WAJvbKu zlXoAJds|@ghBc0K!i{?$2~K&Df!8m`2OeQ_#quDJfx$t*lZ1UKH+y*T=jP&guQ%;7{troq}1=UY#d z9)Yzd&bN-2ktYl-DxKho&0{7rg+uYpnOI42-7m$DDmRB{(@kMw6Mkl{NbCHiCh9CLe4|JRs-p@{9U7o=@EUk$b?Xa|h`zgEg0=PWO{gmA=J{{}y_b`jz z^wqvHwDA$Y4Q9&-K7F72sRQ$!+)sVW%`tS^K8Awx@IW`llKI`IB$bAqR1S}|-~!k0 z{-frHX6f%1nTkWHHrgbgclX9V6wmH=l25k0}hfd5jpFtd+zMi3f6_E*X~Dvah* zm`xsfMlHnu5=R!BWIn@^Z!65bD!8C=a*A!zVd*`1{#UvnT?Vgs6}hq86heXOd)j$A z8U}@2MDpE{iU|w~Z!$QgVTF#bv{@F1DFOH2U?+Co9O0x9^AJuxd>#fLfuaAjJ)mUC z^+#~y!ZkWZQXV-$^1FecPt|Zg0C$7|%5q0!09_^d6eP_!?fqH)G$AbiC*eN%44I`T zz?4@EtfAM)EFFSb`gJl(zX#UPGGYyF1#9RHVhvq}vgJ^gEPV!LE1)b%ZVF{9p)8+V z3CiAtvJ?+^{DZ5Y%b!LVnJlm0jEx%j_!J>oJKZ-{d)-WcCp{DojIiPCUiu$XNH z(~C2tB+Uyk3vV#}e`p91dtD2@`Y%ZA7eq?&9uk()O(0cX*iO$1ZU(9HNLWhWhO$Hn zOX(IUOOUXXZiO;UN^_tL?;$lbq)%?hlfG?+^!aN6&4QAY@}9f&?TADAM3??*(x)x> zc0v=o>8br|f!7I}8Nog9w~wtciK}nf`Q&TS3IN-4JpuLs7IrHxY=;l*>$u0y*Q}I6 z%OqP2w0=lGt^*JF*Spc+(P;2^H24vX)LFr*2M0O@KY_oKaRGXm+dGzWXGKSM7=QHH zSk^6*L-wrb$|l0@Q%ujp(mXE4{&METdX^*&qwSJUb^C?T+5GMswIG0_zBBY#kbF;4 z0#fdRGkldsWBIMXL4^AR5RHY%=kY1OZv{y+DCPI9U95s%5Od5-;cIsMJI9w_(FPvr zxW5b&sq?*HZO3M@`Cg!^*(J;O0$&Q@{!W^%-wV?e61o~ov9sKz7;~=cdqK({HW$M8 zg5zS8;C=6)>$e(RgZuDsP8`G-p+5)+#oClV2sSL0WhPsHP_tNhHtcp*o{cry_wlXK zeiWMF&@YBdKMGu~gr|(U|52F7$n4mUtfdTX{;1QH8K?miz}WsIa9l)>gEQ|LN~G~R7vuOG zwA4T?!q(tlVu6=RF1S6-?K&&g=LE|($MkwG?se5UR$8`#1*98+-V`tLX2DU4p5EbJ zNFADxlkjfBQNNOSCh_OQ3w|YOYtlPON6hurFewnXap<`k$NGw1Dm{>gSR<+)9By!3 zMG2Q!bp3+=!}^MXBYh$%ymcDARug_?!6SBWHeM;oU&}+}v!3_lZ#je-Wrxn1+ejc-u(W zAG|{ksgK-DdU8IOtmx z4FMJQ!|y(t+ZO~LE7}^pAuPMxf+)C2tH@K#gBO)=UZXztuW_#E~+XQw!=8Mpa$dr_!ogNvYSr7 z*v+$0s%CeF(tv~b`T?AoT(nrfBv7U78vByKFHY>s-7t0RiKEHOf@KBv4}{o(d_{DQ z9f!e#$0d~CQaz?oenp_&*sfg>=n8h0xE#ZMK^M@Cz@Lg&fAGiC3$Jb7+Hh2rzvT#egv6Dks)=jN(l-lDpF z)vjk;7VfN{^qOGsVSxcBlkN-&72`TX7!kZCh_<0z9M{;z73c@orMT{62$hJ>$8bH? zQv7_J%I~`9QebATyDs!;LUYihO;!Fb7}~75_+2o?nB&<^ss1hslVR}xU7+S!rFuOq z&EjfBJo&;pfnGS!qxL~Wtx8<}r%K8U@`cQD_-@}2T4zTv(}zRB=B z=lj$5m+9gukcTbwd_Df(xOk+M|C@_P8uO31c!*18u!O)R^NZX>zTnv|UrcN%Uq~D) z|B|>@zLZo;zMNDC{-(%Rl2hf&$#vylQx?isQVz+NQ+|;z<*Ok7mamI^)mK8k=KEN_ z?ynAiYvtbqL*(m$x$+-MUHNxquzX!vC*Pnq<3lCE)k3y8L#4pg;>ic0iJ{U^mY5Gh z6GLU7EFs@1C@TwP$@%s}SvHg<`6feIIVj8L8wzFRp)AGs7nD_iGJN+$(NIMw0~b@M zui?50_2sy3LX`~HO=2hTGQnniLU9mkr|TwE#npAg2FBeIY+X>>p|XgPN|6CgFzdZr>XY2bzMg;f$*#+8IdrwY!51WpB*5Fv zR{l#c<15Q(viv2Sg$0zqt)_D+6siUSL>5yleokKwjmm9-gMvl+w%~pgc9y-Zmf~W` z&a!_Af(qHCz+XZSmO0D*l{dq^Mtp|*-|-vnwc<0}|Blaa|C<#=D&EBVi1#TkoQn7E z_kQd>Y0Nj$+&sjac)R^SnQw@m*Mg*O$&2N`;1;vno}c8uyq)B~>F|@#y`V=my?h_& zQIB^D=vI8wP@;Dj=vI8wP=fa)l;N9(lD&JO4Bs>a;U@{j4SkzXoTqQ=8~WCB1cIM% z%*u6lecLd$zV*m4^{vg@1U2|6>>1qyUm_Sh)DqPQ8VEI}oim{(ZowNKk05^oTJg%ETZ@Lf1tY1St%vm>5*yVbI0I zfMr#v8;b!jk3^f>SQQc+b>^`uL}3^QtGfF^gbH*67H!mpX&e!zP)~YC%cF|C6nJY@ zA1a)VsDh(@dPnRxD|=L`BjZd`kK>WL7q26In2v-+DZOKNBrI^D@j4RDTSxlxI?|u% zNUC7^0)Ja9j-(21#o%=$HE$hxkk^rcOh+RB8%H8{!I4PbIx@(ZoRapzQ5nzNwL!+Xhu{%Q(@RXH(Pj2WLNs5ME06qLL{?`oSUrq79X6gS5 z9>D*4RsUDWhyMi$@wIwA@xLGozV@$!_+M|3e|5_Vt?p(ZkQ3T~jSww2O=zRj1`M=5 z7-)?vZ^Masqp)A zaOLkAk0Mw01mzb!A&9n9J@ z$IBn-Cs_XcfR{gV7byD?FZ$&MQ1%mE^vj!|>>QNklNUnS&rp^Ei-MHUc_{PCm!a$z zDD!#xK-mQ-Q#{R~>>`u}JUgK55|o8JZ$Q~)C<}Vw#`Dk>C<}Z3fU;kqOvRIwVKjLg zan7fQelw@&=XL9^qqdYVg5xc-j;wTS_Gv~~dM?&l!eQ5CP?N8jdsXTfx+*nf4t_xa z9Q+DhGfn6(VDiQ1?)&M=NT2V17tD7zH9f@KSmFV!=Wwys5>KQ^nNk5m#Ym-brk?@= z72`=JX2v}_)%@SP(~8vh2c=MS4=$9K;-9DJl?_l5WBRSkL~yEi76m4Phx|3QOtug{92h3kywR zq?R>>C7TwOvOwN!LgI2fVX4RnO98>gSscPrK$I(wCoBax!cyKYEReDi#J*B2VR6}8 zHr6$24L4x{tY`k;78b3OhOpE$g{4;f!gBB33kywRq~h$5_ySeq8!?Y&!`+qe*P8f|@IeJvKX8`dz#I;dP}^JnAGM>CBqYmERPBNZ z(MIYG)~BK$@h3?v`w2|j#AK;ba>{<3gCfwH$(iNJBD};D=_e(rT?s{6OId0+og$B# zhR~xtL#Vq+5t{_|ic10u3Q|1sB(NYy0(;mcum_RA-X?||3GC}6x2c8({G$@6VQxs^ zKvMz-#V>&mnY}roFQy>t6qdjsiRS|o8D1A?!U*T97`Yna>?kc)#igoJ4OXsd+?`w% zGUciU$koH7pT$6~hU)!nYRXkpo?Hzxra`$1oGkOeNV^R?KU!J^Jx1{+tZNdC!(i$N zW_m0v$~ONRZLJm-gdyRraUi0$LkXm1>IpL!w_rY1`A0?}AjNd_n=akLL|ah1SdJxl zVQLKAHmP}A9c~{3!^s#JX-W;pZhOor8s-=nV~hc&Phn{pckcLymf%_^jp3rDnu8^{ zYNVQry#!b9KGmFuCNWaYRC6kwY7UB3D8Sav1e3&z^sUN4hIgL~O6FD`Pf4X>1I{!Kib&7p=mu|g+I!U))DyUD< z*2^Ry*HeUKQw@^I?X??exO8K~NQwz=%D_S3 zTKW>(@GmBcwqr(rF=5UZdQ25l`RVGMtS)A;TFx?9EobpqJD_~x6K?XV;N94+q;(};QCQRK^TySiS zi4cS@1?Ls*mr{9(TP%^@HCQ6O%VWv&CQCS@uef01aB_rhy@L9JIr?6tIr0KM8cr$ktq z$_MJ;dI^i`a%qF>a%mpdr<+{o3`A&t3AcGC+o|SZAkHuc;>$GKX8_yb0(qFHUNHzogoSO9j4dpIuCP!q`*s* z;UBoU`LQ}%Mi`^$-k!EWP(fW_c6K4%*#*$@Lel!8zqzGh7vQ0(W#UhZHv(CohssiJS<#1JjHO{1T@l+LB%`=5wY>4STHO4t7B_#Z#mQgn)^SO(;Hf53whS+-{SUmTM)9JK$%{HXUc4TN3Qd(- zYBjEka4sBck;V;EWf?Xd3{zzpF&5r1Ez~w(8DV1q;j1WM%7$Ae_V@6Xv+j;y=Ud66 z!Pesz)aAM{iqsXfF}fUDSwUJ_X&9q&AKjmRmN7_}e5Ia7f;0ShDG}Jh_y8LTM1fTw zjfCq#$}_*p=_Vr{RN9(pBrsb2<*);Pp|nO?Z#r5@Y|46pqt%05_w-#Co<@X&*fF*q z{Hv>pe{}&k#@6Wm)!28LscTJhl(PzH6qa7$3YF9N)=<(IUr}AB+oKiL^|U>@4w$~4 zFn)ufT|9H)Vg?W~Pfr)^!m_`yz!TxRGV8+B&i0|VparN$C)0J*<$JB|*zvQ+ zv-bP_g4H@}%iJ%ps@WO+e!-+;GiC8v8?UFa)Yx;srGPy>4F&A!$y30a3~`lThshA1 zh$`qJu1tm}&trn*pF~_Kz4A6kuQ-+tj|q|(usZEARm2pBt@W5F)W`-|=pNA)53MHdxm0UIs!0RDUa^7UA7AQGd&v`wMnR>1V=(#OK&ou)*w^h?~azTT0azP&Fa!k%a zU?x^HvL`0zMhSAE;d#ckoEs&u|5?h75*%&B%L|+RJ4)rR5$u3RamP8a3t_3wxBN$0 zgF*I~B=zt}x-HN^w??{w2MIe);A(O>8>ZACTUqQOzA5DFGPRZEI1)C6w62*W6|~lM za|B-=0G^ZWoc7eHOM6pewl_6adpiTaYW?%H1*0SxM5YnxpYQY5<#6b{y1SeiIiC-Q zup0N^-~rvX0{LW51@jZXb_7HJh*<&i!@cbuT#;dy zC({JO0o1#5`*IqY*C?LD_N5m@MGM<32iHZ_1I4gfib}gp1@RI-IbJ}56O|q-PCU{4 zH(cGZ40OXCDN9PwKe0Z8pkk^FqQ;kL7SkQ+aVd%Vg|1;Qw`x%_z|)ARv;Y}^7+`fj z=pPTfXQ>B3|Hx83DMdX9WeHNYR7gDpWnO7Fl)VFGNz$8AQT1IY!?$zjaons}A2!5H z+6T1p!Z2#hirGe=1uk6!7ZKeQudf%bD$J-17}6j3jeUv{ZicjQYk zez{J5`Q7@(M}ARLNckUDdBCXgXTl)z+fD1Yp!r+kO39Z1Kd+V49Q6($_h&RLvJ_bC!DM%N5j50em?g|!plC4z?}{!RK7CBly+FTVD3GD^a~ z!&xWt`$s4R|Bf%!(th{hw@7WcSc?4q7V_iY@lhk~cLl#i@EIZU`->=uf5(S|wBI4> z9{&!fddTk&p)meE6{YIGNAO#ub{a|}zc)r{`1f>_uK%8a-y*d$bHe#iW;C3&SjyTH zF4O}hpk(Aj3dFodP{z)1(bj9F5^JUWYo+wHQtDbMv{nkNmGZ&C3OLTQCtSQo`JLfX z2g>aTm(hs3JzORlE*A-xCv*fl?Z_9QeyJ1*SGImhr+%px30Jp%$)tX%6$#&K{eq}p z>O{hItzYh;erXU1H?)2!Nd0nuB;3UMr7-y=C;UK8xHU}9({sY@K-;NB^wbC84*K|0 zCy>01`tS>Pwu&=A#Tv!kBH`{DY=7d8e8pX1Cpkb*B*`TW7$)e6B(0@U44`{hpi63? zeV3x)J{rmxc@wf@(o`ogZS;?XAGBytTB8omkdPnc#}iC=Aj(foFbE-S2HX!vOVs3K zYx$v07Ugg&5eW~nnkj2FQvekp%?w5bsAld#;MT(P7;YwszGy(25~Gy~t$HRW zWg}qeji&4%gh_cnJ2yN^l5$dhh^G7;O}QKikJhOFqdh|;>BFMJiKM$&rVSWr8@VrTMt#;#+Idg`k1svg6U>A-Z7D6onnML?7H@usJ(83tPp&?{u zF`^J!#OO4OON$spK~i!pguproevAKd!}~c1Ev8RT$C8vK94;-fbLoJELtAM7NBox? zewTy8Qa3K?6A9KD&ZV66np%PyWT2K0Yb~bS+>x(?L&K{LMN`r1Bng$FxB%7>9Tu2@ zofudgWR}_Ih@%!VU0k&?Z1Nb1swft}!LZU|@f&uTd(R>-q-qEy;=kPR2@ZLeyU83i zPcs9+VTHj>u8gh*`g~x~r-!3^jGAAmmZsQb^=PG9oGJ&Q{m|-BFX&Aw{>u&ji_@bw z8G`8QO)N00>^wSY@u*KcOkQo5l7CwO`Y`~sF>GEV7w+If(=`kMfTpJ`nm*{DDPJqB z<&4O+_7Qp7BJe;UZhrii8~%(#;B{^zl3H&tOkU4$!(#G!l9kaISHR@YEgT+#_S@jU z-0)W%95$$aN=8^FJM^{-iQlr5_-l*ALtIJhVk^Ps3x$N$w~fr0fW8@?0kDLJf-Mh1 ztoF(cf6wXLCOUVAi`K>cbFSyY(q@i^*vzm1(D}h4^>9E1CIYo}Zun;oI&c4v8e$8B z7D)4Xi_{}r#)fFs7nZg%GzVzESkNBLGqfC%O52b^fOf%xHY(51w%KvJXhD12Rj5G7 zT~z&c1}jUhwlgaQVB{qYK3jl;+tbj}?^uJWMjqxLa#)#~2ZJQHiD zomkf_XyaXpm1xe>=1O4~Gtw+d?Xs^Fu3MCv2;yEJ|K*1Na+ZV3BnxE`U&h}ki}Ga@LU{chU4j9P}^P?O!Z|Cv<6UDkMSJ?O#W7@0ncNPb(yRmpL?A2o=JOrutAJ zs?oxzu%OWpuhEE0qX%q_f&@@L6}=nzDTt9&qzer=RG!u7Z0~j<=KI3d_s_dZFk?)a zZn_hQ};bvT|#JT@K95i%f$S?O*~U8S>idJ5q6HfecU!$QVs7c82>NF1K@-mKouPW z05FpPAOc$i6(Is!4HcmTwkRrU2rM`j3)A;JN*}1@z+B)2bHMFs!LXx3Lv95oJ3oNt z$5P?V-{5G=!x@IJAK=0zXNQWhUw0LL4THBBDn@8g0~MoaQ5+T5XmJw`B(e|(3Lr*O zYgz=?EIUH*5~u`el1lAf%yX!WCU7V- zwT0FKwP4^Gw5OPLiZ#2RQrRtv)CV;Du^j~dH~($?mz&y#qZm)C0T4gK=yR?e#0u(D z1}W%qTb+2|5CH!UFsBSELzvSZm7$nZ7L_#w74L>lwTJ`QPSFNkh`q{ix(X;R$96;NWA-X=$X1)&p_PNal~LcCsBgVF zubrVC*!nb|ji9$M%|D5(cbuEqwyHDdDdR>MWZ5@Hm}&L%%Q8e z(q@6Z$`Y!IlQv)4XoDw7B0S|0#AX12UPD%NmA`fc1Q8l~Km_d4L>q)DYjaXp0f?l1scU+8jTj@4;fkmtL1P`NNb#u>szlHTJbN(kY&7*P zEjk~kz^3#>>L!Te#zLnb)d6UgQDp+{ZB&_pRs~g|paq9&(2`uCB~s9;qN)ViR#cUO zRt;67p$*cYsUbITIzLjkA58f*nsP0Ys{3j2-tKF<0-UOAJEmtqDlM8A7_ie4dBVBs zs5(JwH>ysdRRh(~IES|Y6PPW)9|gzMn3zlHN5Mr7se2=-`z#f-jGzzb9bmRK!_rTJ zTcZLMBB=*07|Tro0IfQ3>dl_6$|+~9R|>_HmXf%_%W(Y(XbAx zW6_X~FqSS5=ej$ler+vR7u6*o|Ap#OAnT!eT#)y1Dd>lZa;rI|Tu{q!zf3(DNu}Jb z8#D>zYPkBSK0)#{s!t)=05u>;Y8ozxwFr^4hNvO=`ah^4^>riE$m$Y1xGxGWg@(b6 zF%+qQkxrD{j-OjZtHQ(`TqLh131$e!2%(tCAixK~2cl|3yuxubZN#Ru35M zbV)#JmMNErMZ}Q^e7><$4_bXUL(K>xU!rCdBF#~A3T=97(GGqY%GT1^Us>a7qb1HQ zPzwV6ENVePe*i(`nK6G7atPmehBxP%9dXAIPVzQET$)PpCEZX&cnW0!ef6 ziW=vw+R_!Wzsn&U&f&nU!>$NU8ZyD<0JjZylwfoJoK87d&~COU*A}%U-8_%lQr&Ea z+F9KsoQsqI+U-Ck@{#baIQP3dDOr zzcEf%uPS(x6YAtnS<%&~ zzU7hBUoAFox7genbta8mMV+Zex}YvrBZSReQCITab<~ymt{duRen&?IOVV9o zDcHSGFO3Q$e2n$-ToYxqWX-y3>f?M$(D%Uu&H8b_^w9_W;LDcWFY&N2JkcQ4(RhZ% zb;daf!@_Vr1Atu&0BzeX^|tAyw|D2QJx)*z@ZCRX+`uae&}KUr|?i8`(gGK&&MjuKSc+V97_0RiV)=>BbqnKZm|0#MlP~#MR)F|z(yrsGmgref~l14;7!3L zwHR)Mv0-ltj5BsP{V7=TST`^OC#dTzBFT&(YU6_1=W`hsw`@+ONQyNs4(1u!Uv_Bu zENJiK8QN_-G@k|Sum&vv-fO2I-y!iG?vg>=%q2)>JkYYeQE#keBLUQ#(z1O}A9JpO zlg~xwB+3(9v63P$j6b0Vs-2&=@@P>5(!$x$8_px>zux*FF{*H zeJQm2p?=iF6C4CcfY0D&4=Lcq!R?*JQnE$m9Mbv;{bYi4<8Lk9l1XeDQL0nBVFLF zuzb^v;}*IkC)&2}B84nipJ=d5$FjEP8Y#|rPOYuuNNL@J8i|y#fSjb{sD-6T31|2d zwwzC`!|ip1P96wf@>B2y7wcAm(xO22}I2#54_3(t;r>bV^31{3(g?oubKT$_`16gHjv;k^cX?77J7_AcoZ6?k-%x^ z15iQULzmrL*&mi(;-bM0Xus$T?G2V}f>eJRFGi!$q-*z~(NxzSM~|D_r5AD-%~!c- zGAlX!DgQ z2+Uq+90hYc8qdJ&M_@)G{Vk$@&VZSKCJ-h9q#&q0W%3rB4CE1 zNfel;&{GVU5jvQW7B*ioV4g-#6EKgVrztR#(PRdUmLfS4dBOs77F1^|gWOZl6ar-| znnFRDil#D9U|-fo?hHEc$XE>N{$XxEn)D? z0SyG611*7h?HAFD1mvseMGE9g=p_Qu$YO3vegQh_5X3aAjDp+T%2hhNWIab&m|Z7sOLn`O4yw4kxdpfT~7$<$YniJT5#76ldK&Y5#2}+&}rY9T!z2aWG#Zy!dyN%urX_{1aney(^B?; zX1e}DH08)l5)2jDL)$ATWanVsJKFkMDV5*WKBIb+;+%E^?kN$U{li-8)!(_?xqib$7NvZ1i=vak3Ef zIUev3k`0T=*=RPI1COHF)Eqbm&9P=v^4(lCmwa~&&85D36}@VH$H;p*fdh$^vU0IJ zuk^;UTuyLX0V`zX1VJ%`M;wJ$PT(716<#@&uNrX+K;3z09--QCG>@X%d^BI9n#)qX zoM>!7)K9G857#SOha229Q8_`@R94rP6J!sy_7i|5Y1ZP%Frni$VanT1Ge$nKCe@qz zl%|XXX+5bAel~}mTtT##!gwDl2sE(Htp#WS;npd%fa2Cdv{17LNgyHX3929n!uB5{ zBCrT8B0xVyizuLr(PDGp(DCNbq0apImfk&uFY9r?gx%A~e=IHfS3K55MLR3bSOETx z2S6pe0r{B;z$a+{cm`x;#wfN7$jXe#1O}va^3vyl<#u!YmmA@nyp*fZ>vqF{MtYS{r8M=5Xa@cH5aa4$m(Fqe(flK?== zGX*MvWZ>bL%l9#>5&&Qvm;?a9crd8V9Qp6T(5yHeADj#iTm{7j z3tgBfmY^j>OZI%1d3B=dXYXsso^cn^6b@aLg5n87+xXN{f@ffse zQdba1%1*;|1xa2(?SkU~ZzsJKjetqQ+%F{86S(fliiOde^#q#~q#dj$?$G0dI{BC8 zwF2M?HX)VnZGCb|jAN41fm3pQa#Ec0CGKrtiw@sT`}(46z)UCwG%}R0_-*J6;eUzI z0mYRD`4_F#H%%13gDAL$H#ZHRWi;38bPe+?Bfc#Pn97o|fndK<69sUHJ8MA-6MiR{ z?luTZ^Z1`KJ7*09+mLwTPD`f+008gc0)~(cKzmbe8sd?qpo5S$;73Hj!BS^GmvL$! zcNt>>LS&GsRoqWmnW`&DoQh*o(h5T#8D-H># zE`Kl84!4&WZb=sIll-q4v2Q56-GxjB%McvFiR?-% zjh0c9!5ioeYcgQk7Tr`vnf`TYyTWGrb0+0Rw&Uh$#(p_W%4sl=@X;dt4E$~vjK)F@ zPov+M!~ya~2*AF|e%&ZeLN^xeFlh_EKh!ky5K#VQe71}o7@0u#&twyU!TgFy6RP(!O>ic7C z{ojnFRke)B{EpVwpf#lR>Szts`dYNs=qbeh0P~C)l;&X*!Qzf=7Dm!)SWOpjG`$Y3 zBTd&r>!_yJqxD+TB1>)1_y!6ra2wDDLW@Rd z14WCs&|8!c*dxU@qK%}^{b(aqXA{~)*P$ZCpk0iqgP1J@mX=P;CORMY@9-7T&=;=) z9}y+HL4bFLjwiHR0yuQuUoeLHN?zD6Xiqt%tl@zA1!Z67JElLeq^JODb{0d%$i zROb@2li-XL+u=@vs5?T;u0YK0w8(W5#5mev^A8|`(<-fp)s>nWEr@>~rl+)CFcJ}S za82$kh>eCQCAWiXP>1ie#mh@2t< zn6=_N3-%?jr496>+16Qf&jh|FFRhP_-lBLbHY^NaFXmFhKhTE8^ZZX4Qx~8<%m0*F z;dK^R#jH$rjuC0JKa$p07fYCQ?u}=NcC`=DewJLO zjg->>pgsdYn~;QA{^TBvAGUuaZGc6PhIxk8(@vd%7PQ6;G|qg~%jOzP8)T8U2?3x_ zC%0%h>n%9;#g4e%!WeHBWi;SctfgZtQ8W4LXwJ5s&l))c)3 zcjwq?!H+Q}t8Acm+QT%yeTc){-6CdT_YuT0V%T7fZbqAlWjPFOrYy_1(c8wNfZb>B zLpCwo+h+G2`v^|=uv)b@WSZtP0M=B)E$M5IxP;o?{Dw26&&bm&A{S}{|D{b@llHd zZDHu&0$TN9Zw-?N2+p+Pa~SsP$)Ez?RAJ}30V2~1Op3Ho^zaxUaB!uKhOfu4Ukd_W zn7MI)=$fu0cIg=)O0#5x`yf~P{Qy^qu=oltO0vP_n@Sii4E`->3mN=RqAk?m--@=n z5B>pRX&M(*cJ#xiQ@Eev)}8*Rfg)wa^lTtGVdp-2h{_zyQ}qJ{*WDZ0|lGuc9_Mu)MJe+AoObPr~V^mKE8Jvc@dGpu|>qX*2LG*O+=z7N<`#o6s1ID zC)&vok)fhEGF^+5ccGSP0&&#{^@Uj<255PAIt>*VaW)|#W1JC^VQ~w|uy}+7k{0sx z2-PX7AG9K&zOcFtVqyTLs!_^eSYE_rsK6aaXcbADY-y6tZep?v?IL0_744$LWH;K) z5tHGu#U$++v%i)mp{PN1JSM%OY0prfQ*;_0znD06$s=)#$s=)!2~U^YWw$jk5onV~ z@**a~V~feNmY8(a#Ka5)htzI3opjnuu+?H*Y;};1hJehB+9A5MnHH4p94N2ype!_@ zEV7{V)Syt?NO+}W8dHxl{t;{Q=uu`<2{w-wYanqLS#OB#D1iTR(_ZIn9z8~H_d*yM z?3-Xk080yI17eh5@#wD#fiFzp&M3jb1Y#^Rkr<-{XQSb3kPlus(I`Oz9oDoSC5j(6 z+8{Cri=U=2rcJt!62+?68xd^p<3=D}DQyMK2wJq@$|fV3DN)62pv~wczQxTfQ~=)< z{7*3!uDh+H)h_&6Oar6UPW&$kDUFR}%sO}nva)@7T%eLPh8vr*w)OrPK@b3}_n|qc zNVw>zD9F@NfoAs@(f$;(ll8b@qXG*#du(Nlz>Uv(cE$+f2VjO|E+!17B z)EE(Du8{yws3Hjj+T*yh^*rI;Boyu^VhIG+U|V^xcf^1_oG-47U_T+EAQo&KC%2o2 z#Xd0>aB~PB4Q7*Lj4HT7o{1J8t&S7G1PO#Kw!lzYx}PLRWBFbT@XzF;Tw)|0K)IBW zvvqaLw1GH}_M*K6xkG3#h1@>0k02M&PGF}U z(GJO`SvOtz`T`|>H_nLeNBaqsV`x7G5INMsz$qSK_1L5ap&Lb`TvTAU{F} zDUgTIAqM102gnKZaYzg@t(^h#9rO+Xc?!KlfqWOe%YZ!N06Eb{zi3)l1LR?Jn1K8o z9i~7YK}Q&n5P5Gqw>-(_$iZ76ddH8VqXgtxbd&=59(s=f`Mm?=Q?^6ecz;9(c?=yR zAb&*1D3I@?_Zg7q9Uz~kvBZasgNF=|$I)>D@&YuflQP7;tw=p+U5-{{{ANS_1b zGd9lBojrw45tspVih_9>on~OFT4&S4mT^1?JM-bMC3LzEjAe)`0bTVe`jmi+pie1q z|3Uwuz~S%CpflvVbaaOL?lbfm`Oa|={y72HKS!SvP?_j+3e;DO(!1~l`hvhKg1(^OeTlxL;NdQOg}x%+6+>T9-+hg~X1WkbFK)H?Fw6iu z3~ru9XG#5%=qy$L8}tp;PHsBf$6_1$FVfn?iq;KM`VttpkUI}JdWBnCL*KbhqPu$@f0Y-Fm();Lq0s@T(;&jJl0t&>pkIY75Y7bT;0*@&Bo& zV3je*QU*~!jt()lZ_@dfZ?`0rcy)=v$&6pG4nM`tdvTou*&4B!%gt zO&BX_82ECL^l|Yal|I59sW)kW7^zU>H~~&@2e^t33pNxXH5wW3(rPBO$QppF8TAbV zaH2H;b6^a>uBS3MH+?c^0IrE~RVQC%u4NATSqQCV#1;^mVj;90ka-*b<)-6HSIpx~ zl*(L3+uMdlpoqdpXgw3)WFfSk@yh{1&shjX0hw>`Uv4_~D7qoEfi_z6gwR_|{H=x1 zTTCPdAT-@VXcvf8XZ)9&4w-~p5!y)Wl{_J|iJ^pr&?aUy146SbgmQs8-{HU9bnGp4 zqtIsBL^Tj{QR0iBzBQp6UQn3O_iFklE-r6pZ}4hLCrtG<_0{eVpaMT3w{#@Y8nbal!rn-0DN z%_|$|Z|eTT^bLbpRja++U>>JoQaZ?SF{0YqtvZwSfvSGgHbA4Ho^I7o(XG=x0*`^C zNAJ-v$>h_=4d$0TnBTa-JVrB)!0hh^^G6;`Sl~PGe4myj0u!Q+o!q?4gL%~j=5Ys} z-P~Z_pkY$%I6$}0k z=YS0@N~@rcY!hcxt>{)gjjm32GrtQ;AJcQ6|B4&DELf1jjeXHj!0p$fyw*xOTk~Yl zJs`4^-wkFq4b$SYCzD1N^Et4^tvbDOrI!*J)m%`@PsNJlAxzMw)jh>w;S`+FHZ%gA;K%`#XsTbBYVh;7|(Wn<|$#uzo1{N?`Y&p3qqvvwr0lbB-5IIdcq*M29K@DKUS3iCzV7s$MScE=uKBo zX~9k*jsm)A7-{gOle!ns1wx9I=mJHGi|C?33d~D?h1`s_AT{P%1TI3&fOM9cv@k~# zJY@s{=n70*O!{N^ntx1<*#m|z`4NAqh9pO@h;2bd$pA z7P_V3q`AtJ8sh8$o1* zcF!Ij${B|U5&uSilW*Tee^cMyL3fOA*+DHz!p0J@f-rK)BtQil0f4cGYs=DMBufOZ zBlyk4ECj#FP$DzrEMr2eNT1t-X+tv}s2qZz!nAFa3}ywYT{W9=LUUZewQ|10m^#p! z2U_zGOg_YK3?^PE@fvgr^kxTlK=r`mV+h}0Bizj@)Uk!noNX} zM62_hCO_jhS%uzB5K{q;+ zEQxgbC;Y~AIvGlmjZUZRhlp_In69U~AnFP(Xw5ihHGJG?7^h<(4W|I`6w>f{{Khn# z4@&Ye4M#G5v4DMG0L!SM1M@)z9|3j|zcIl4P~xY6h15vKB}?EwGJrv>P{waSK5LG( z?p?`%Epub8)td|e*Z^tr27Y6jRG>t$HTkF2QQUz&1PK1L zr&#vfE6DE3T*C`r@|Ag}Cz9#4XnIobRuE7R;%;SPkq}$vq#R<#-4hDYrokZ)e}Tr`^!0cq;h%`VtQ0haOtF@L*@;?nPHkL^~F(( zTxQmfyX*BunKg{A!&YT}kR0F{iyS}Am=7{N()!B5@A`tAzYgjo8Q0gd)M*e8bzB6a zLEO}75GQq1LY)vzod%+$%}(mDveQ6tgOP(e$tHF1&`xyD0u2QzAboH#Hk?h)OvmHu zpl@Z=wE;4HhYWRzKnm(7|T`h%5rWEPi9a7le|626%043X^Fe zC@n;4l*4aKjlxh;*s8%GBswg>9Jlo}6$F~oxEF!eiV#dH;5P=7qEJ%QzyurT=CK6; zpULGH>nLeT@BI)_=%ACW7=SNEny!T3n5K(ENpYiTlWZlRv;?V91-~&huq>3cYS73w z6VQTMj5c#%3h_Tgeo6uCQl#B#_>E~7OH^sAUA;ybC@n*3)WB~{4J;&Otr|3@&Evzg zIlWmxXbNU%nmu8ar-dMR+P54JLHL8cT8phM1dbsXhRm1zR0o=K0mM8TdI}2^ut00! zH--vW@XJ|L(CJnlO3RZP_u)6D1{Tl?Rt**#zGH$N7cJBtT*0-X#DR1we5NleF@W7@@XT+M1%uTdRJtCJdy@EcPD%W(~>290S;l8T)d_HWKoE$!nA=dB?$ ztqHJel6LRMZ%n&ba%ySqV%Luet(ARqE0m!_yBBKQOQ1EyZwxdnRrk3-YfT3s;4OsU z9oQ8#C|Mh7)g};|<2ME(mV!D4L|AvYWf1eU5gi(*@0*4%%W&nB;(8nVEFC;g$!J}` zsV>3y0sO|`i)FB0EPUIFj;1pBwvCVXZRsrVQvvf4dLsC1X3IP#z4aI+(?6@ttoM`4kkH{r=!64k2M+`ouKqzN0GOfKKBD~ zs|Yv>aKXN^G;l{jl0nwl-cfBVfNPGEjx^=X)qG>1M`J>(cKD5<6&{24TT}I$ArBAn5*%?ffy1B}6YiPDLL8%vhV^G3cppAtR7CE)BnnhE&yTC#UR507_ zPHS6JFxmqAwxsPo_>E~BkE(W7+ZG*6m_6wE%Y@k;>a`~@`{6eRCLUWI7?^nN44{o% zz^R)|=sjJj)e#_cB+wtkZwz!S+?^QcUX5KQ%wDb-cZPbM3Cw}`je*$(O1fw;vDS5= zLT^_=>k9R{5||I+HwGpa=WZ5Eyjf}2S66^4{QD(lezswG&6H9dHT7^Ku>6= zC&6Jjeq(UJwhgWzmA$oPKhRa5 z^aslICschLzcEzB8sXiwlb1{&5a11)GVt%!&IX)EHPxaZ@C zT!-l(=)fS-xv}_-=^R#a4>>ybPgoIy|Z}qY2FC@EZdYYnjJ2m>hdzgsaGn zfqG*I%op$*0~70-Cm5La8D*p^%qOAVlLY2V_>F;yhxk|_%tu{ej)QvR2+SGyje&^= z;CKoqeXS$-*tC$0%-MXO{9|NhvLYp10z2TWEzVDrIo|;#lDWXzU_PZUxF-Ng69~GC z@Ee0J9@`TwboCmOpmY+cu>`*{HSmCY%BW$we4mEWr%8?1@EcPD50lAe4JJzJaX~B` zyXE`1z~xCfTplMQ+oGQ4a+m@bOd;4U!*2|Bc)(57u)~fkGG!4z#WeU~8mY7#zcH2Y zIC{qH628t7hj(PI#Fw3E?*zoN@Y%Bj#47y8fWX7%IipOrq~#t3d%Wmm&v>^+7{ zjGQ|D3HrbscI*)Ro(CMBC-|+wZw!8T2)Vx)W8F5hEcP4SWR6vX#fI^1WOdWK|pvp7h0N2u*ku0 z3>L3K$*UF?dX0HdI*-)Yj^CIX^PyzERfEAh?o9oj3F=6$HB5Q&C%6jI0zhE_K`)Bm z81xoG$wC7?bN>*lw?(AJF8s#SSPUhLjT)vPVfD6z)X2qeOpT>bvec?UlVDxbPttO7TZ8Xw>{rp6{H*<{wR;mwa!v*X>9JBnFF^|W9) zXDTYJRyPxTKf-SezHdXx+a|t753yR^LTY@1-+}AO16;>>4~f|KeeZ0@l2tQQsIpi zrMv|kEA#CH_!<1h0N(*6I~d?!IDk*J11FjV>)wpBXmec$`J_e;N$P&uJd zLKDA|_E)-+uKJbqQR&mtpYtpEi{-DDzouV76;T7!7@n`9RcNhWDey*tEd{pum8`m1 zZL-??m4e+14lVeIUnw-c(DXvD_?5y^;c($Jc(yIvukZkPzE*fs;VpipNVOtOiZu5t zMIR~pRM9E$TvK#s(Okb$?0m6X#s2my#p@MsTf752zb$^X`0swDgj%9#i4yQ!Rboep zUGO|v;=2+*`jwKsN3d3- zFI~y6lzF1e^JQLw=jUa9DRarMl=YO2l+A$W#PM=7TKzM4 zUabCi4cV{MXi%eljZW~qQd6#(;8$v%sQE?Bul-7`1GPS>^>4p&-~9X5+_xT{Ki_xr zzT1AKcIDcQY6JaikFEV;?HTagU;E?QC;dvDggWVU^24)xouPGrzIB$>dAm*yJb$a} zt()Xm>Nc(0wQdi1&Z@h-?wjzuTu-X!^(*!6tJkt#8^6+|UX!*>fSyerYVu^0@qVT0 z(xw}mzU^0S$?^wNKEx*#~zI$iGcn_ubNvU9J_ec^em^JksE@GD*J@6x$TH^0)gUDy6y2g382uJgJs^eg?p z?0>2MuYP6F=YxJ31oR$!Zt$Oj|MDwC{X?^c7WON{D-Lfk9OP+4ml1)R@bN#s7ar@x;*LY<- z$m{ra zCf0^$+ll=qf_zR~JaNOsP4N7A;?0S`k4fQ4#U_=6XNO4xCOza=rjD9AZ7Ph1S<`1N zowW>}H)iLX9q=o&i_fk;yB0iK&F($BpI@2Nbm)mpE%c(oNgUw-xVSAnkcQs$v~Ab0a#o408mjF0(6=U1Iy1D_nrSBJinWN zWBx6_vS7r5X$zqJ1!osrUvR^(EIhsN{KAWVWl`Hj0~Za3=h8)67QuL299&#{aVdEI zu=wU;=*N<3OIj>}_LfXtvS7(#ct%F-@Nhb;xUUcPVn$>pcvd3i;`3K%ad z+O8P50^qHfvSR*Z&rG<$(t~a-+b`RC*K6Q zS~Xv)Ra^ba>N>02uLk*FJ!18=)qwZvA6DO74fw3dTvL7xjK?*z)~s5y z7M@?O`E3o1+qIR}Hdzbs)_%SA+S)(-%DNfrmahYOUUz!k`E}6G4f2MJ4G5m?HVoP@ z#IL+n{;fuD0bk$x_N_nOy6IOo*4)@?V_SH>zwxV$-}seHO*i%2)CZo6H*MYo_-y)P zb6|7GuWW9$x&LO6lg)2z-o6>+mO)zp?v~fLG#&H=vW%+J}71M;zL^tKnaP4_F?%WSW=y&*g&ZJ)D!o?n5~{S|jqf#>`k8+O2V zJAU5rcNFwMw0X356zWHpMz=(PKheuO6Lu#1m7VwR?7p)XJQwcVxbtnlva8vyUb|pi z?Haf1m0h#pxnb9VUGMmn-7R+a+1($WPwk$&dpb`Wp zvaiyn?mO*Q_E*{8Z2trB9Jqhn{)zCMzkkDi=+}XK2MQf1 z3eP$R+8+S^9vE?8+5zD2fvX2o4*LDd!IB4SAFKz@(+AHV1il?gK9qH+Fg(v3x_Ib{ zUwNm-J1yU71J4=nEPn_1`_934PQL^FdAH!ZRo|`QS0rzN?viImS9$9lX^$i)OCHGs z|4CNAy(0y*e|xomC!@u8{^Cd)=PvKtayg1Z(8 z4gmti-Jwt@4#nNwo#O7rU0SM?LaETwQfkyFm5(|th3}k%4Z~!%B@iX||G%C)^UU3M zX6`-jJF~m9!Q1ELLodXHzJpMXM~W90Cg@?p#JxHo0k4jGk?()MD6X&7ZQr;q7GsyV zEt~)jc?_tAl{>;GW*)o)vp)0$#LZvqZsf-z43QHhqPHyoL2Z6_gm>Zwbve-%dPlSu znG*AO^~ap(fpy1ie@SFX?BjMFdz3c^rkBW;6g#+YC)yT~BdK=c+7aE8q&v~giu4e< zlJb1?=al3-^5mJ=zwq|?E5;9PMMP4cn*Q{XWoP$KUEbt4Km9o-+YaxaO1V(vPp(sS zoo-A`k-?&1a_;#4oo5tLSJgN#zilpH1fNTv9J{P$?h7Nt{qwDcpEDh?*@ zQgo7OCyOBi6Y=c=PxoQ}bG_9_H3!5udn{TRM)Msqi`HuV4~L0zRa}%yE#s!QSgJZG zue;;N!HacU1yLb2jhcSsQr&^+ZyoB2$kaA&`msxu2Nzf9V&6Wk5S3Ho5bB39)gIt~ zUuh#m)zmt=`Vmgm2l+3fYzvLK=*$q+Q}Zb6M>^FX=)a8i-FGArqGoCzZT*O+iG%%@ zQNQc)u_7uhjJkg0)64u>C`iRiR6-uf|5a|dp?A}g-bCIS`;{F098;K@qc}n!t zlIBn3{;3gdMYFU%HTvm!EKcVBYS!X$m>>NdJvJv~NTs!+)#EZ%`ssSCPRcN4O+=f= zY0C7|_Sl^mpGLg<5D}guiv1eKtDR`~xJ{sb5+BQx^S(QgzB%~T2@{D0ei6A#ba))+ zQa_)M?FqW-D!yRmR2CGS9@nYVPv>KOlKN)`kfyu5{rlp7vCj-t6I~wX`PI+yV}GKq z)V*7Uvx%;c`_$^EH(i{p>%+>}D=rIkHt}?Nm}UJ;r<)UY-6+S-wr@vXk!23iBfU(x ze$vy`NxQkR)>et0>1pou^PlccTyuRLl}+?cZ2U|q{^y&k>7t-}0=>71H7?_^@p!Y<&9|*lKu8TqG-4}XqsKLPRpIkSS@|+l= z%n|#0FxPLp)PUUmfgFm+N$-HoF`xTKi($$q_kK6%@`@T1-H$0~Q) z^w%IYFgn|CVB%S4l(NqK*3sKX4UWM+rWP8bEEL~DdK;+$GO>|~l_JGBWhHYf>FuNj z$&H;jNHK57oQIf$+YCGfk71X6g~cM}%SZF2-kWMLAJLmee{K{@ zls_NcpL&m~0ZjytazI^Ao!tG`YwC$*%BqQDRlQx+peB-CIjF85{POQ>#QYq{GmCgm zxi;}!t9Py%*hF+L2Uh>HggRoS@@?Y!R_|RkxQXmtgMTfaVzu&b;`>+cVKu->;9-N0 zWk<1A`8a8OtoO1SVmt(Ja>E}W&(KIb zud*WfvqGO4Y64OqGdKaRH^OmW?tA_Y=5`P)3rOYBqm zkaGE;&j~dVDVP(Si2L7E;Esw35-tv?1WDNh(I<(Tj1*3ie@T=>Dp68CQS`~8CL|Rk zi`#_BDvqdxNgWBJPZ~8TsU&IKC5|<-IHnRO^(2lydDO(DqU7Pkc;5YYZeoAiA{L4h zDs@s<>gdx)O-?FHpV$2xl~<`duk^X4CM%WaR{Z>WP32eW&o6zB zsR>I1ITk<9&Zs;~8+oSBH8p8zB-ifdTiieJSy!A@`IdI_O`mgW;?hvg8S^e$oKtz1 zw(?G&dusC1SnhH1Tz@Vm?jI_COQm4iOF?}astHVkX=sv)k>YKYifJK#Cj0T8?E5BMbQRyI34i=2{QgPLDXytWPal)+n)o}yTTMC#Bfb3R;qBXz z|5`@uca+yvzNeq5*XO*N_;i%>oOsW>c^~@;tJK5~D)rM>>bufE<{h0ZDSlE0NPh;n z&jff#>4q{v`ZR&d2z&#eOpwlQ1~~by|1{nh#J(H&MHPd43>WSY}T}a<`fwpkf24#kHUK?nJ`|HCWsy?Xtpsx|i5UNJRyur}d ziGkv#suQYCxNb3B_Y=w#s#e5(7@@BhGsNGjUZ{HEsu!;NiNE zB$v3O45AETG>FS2{FGA}MBRDB-FfJZqQ487C#9+*s*dRGpJ@kUQ>YHBmjf0<7*JD3e zvnj)*)d@`Q_qQJUyrpT@^=}MqOGT_|h7`>Qs?7RdrO= zdC;oEey+-+jH4>g16LjzM^}CDR*H{5cawgq`l#xYkm{qaK*~I-0wt&dnOC7VWPqwd zstP5v3b`s#>{k(0WuP*VGSS1B$Y`WsWh7;!hc(juX38%e%1p{kk76d5q3#HqGSj0D zq?upC zdZ_AQLhD#!_IK4pWkgjIQ>-S^(7Kz%(yAz`qL`RP?qOoN3ZpWkDvT*w7-`=7ZyL+0 z`l#yT!_>6IevPX}DnqIonc_8)=EhBA?7yW@RhCmlQWeR>E_e?c&s8XuDOI6N6@~J? zVp&sGP{mRe%ZDv)N%1;Y!Boan1vAwYOd4JPPJF$LRCQC;&7`P*j}p^WJC!+A?Mzj* z(^x-O%gU;Ls`~jTwJxb%>#Cv3psI$Zx*AH;$9>WnDXXd?s)}e*6~af2%R(CSZ$ip! zvbr*=GU}rmDk)zdXI4>F)>LLyW=%@7B}VLfhP@!8lwp-&6T?(V9pj#9x5_%ow92$e zZMejWf6us4GFll|88@+vl^k*InRl|Rugt5=n;fP~%zfN5a9`O#8CV%OG0l}+vF@2T zN;Xm^Rwhm^<0bZf?ism}Y@&>;jGWj8OU`)r%p4_~DKjfGC#U(6Vqbbgw@`*whE58T zC3nm?Q{$`TR?5`M)X8nYq}pGcvBePCMj2ZfJE@G85^?XDyQ*xb%&p9w5++Q_ecm&8 zlt3Q|fr` zCB`~ALM4VujFd8BYTWO=oYTv1|FlpYt9ldR# zcSM97qb?q)bB}Y#C&#JlNXpi$l(vJ~ zzx(;W_ijC(oS+iL{Xi)bsj+e0c&S8DH(u(->t8$&a|7m^BjyIIjGUydE2?&?>*v3F zz;$g=$?`9CMVaW|O_XlNhi~w4eZhNtpOd`0*t}w{H4Eevb*)hrNjGWm2&MAg8~-Rd zO(l&=8kIB;=7D>O6Cr1)#CfnmQ|40RL=U*$<*3AYgiE(dru3Igy60kMsf$kfn}3z_ zk2dG73y(@3b>UH8-6YTh`sZmH$T{lz^Juk5)$;T?ey(efN}vSRAC)laGhvMLuD=dN z$a(5Iq-;6wA)gxZ}l{pjNoN0ATbVl;{ut-D?=s#RR@kI8lIQpuHwbt^3!LLGbJ6uI@KUB41SC6- z1x#HK)OhMW;JToxL`$M#rXOszoPLw<{!c0IqrzKm}MJ|08Uv=?KzWH}u=v2}rLy=R)PCjF&=CRg)iZV=Y zSJyjbi_~n_$L-i$*F2SY>YAtC+$Ez2^w+%zxl>*D)OGK1ySAkE^Ko7KRPrTb-AnDJ zP{)@%h1l>%eAdh7)g>@_MqW8wjkDeZuFIfGKy?{ZZvj)l1NXlb+@r38sy^%Mvsz9* zKe(=iDhX4d4l1K3pV3qESR39NzAX2tOQLc{YIf}7er&GGqDn+{SyXQkQ_uq*-aaS2 z12)I}iROm#fVwWK>*C{n?MdzD=ejnkWK6-jnA%OEjxTu%wf_28M;=nw$K)AyWp6dk z|K02PmVEwj-Mp%#R5!2cgPm03ftcq3;y&a#rmmOCR}a;- zM~(G=dBAneREe2N>g9h;nA)B`k{TcW{1yA<$lsz`cq<`nS+&=M5E+D1SVe@eiQ;H$ zh=C#+ZF}*o=!$lz7$ioaoht^5WoUPZA>wtk?~7rYr?6^Pw05+)&=x=&iM9sX7HHd} z?T>aS+8Jo)quq#h2ij9&xQCChdSntKJi4GAEJk|lM*ET&;~6Tfo(05M&(Ub-iSeF$ z(7r4tcz%iY4>8fJs<3)B6_dPXqFpT}dtF0&OH8qp5>`u;m};4acD0yhxq$YfnC_iT zSiK928QwS^?=E7d_j%}+CRkN3^?Bmjm44-6VOf-OEX+VdsQs6g$S!HOf0vx zLi@CM&bAcoO0mLr7VRfur7zCYw}4pX+Y)V0vD)`A+E>LI-#^ga5^Md+3aejTvCeNg z+NEN>-x;*$#Rk7y!s;I&Hu^`RZ74SR&p^9MZ1%s7_NLepfO!ZgDz*mnMLSAt3)qEr zpV%Joqp;eg*kO-G+e+-TBd&dp*k%6+?YH9jz%XGA%qn&V;&=mli9Lav(H<3h1Ai0N zARn6Rm!0s6bB%b#`L=j9 z)K9z?T1QwzpA=_8r=VRf-Ux*ULobW78Lh&aF|&9xY`Cz7%@XIrF$Uqc#ao%m2y3Pq z;zFi2XgiAcGHpkDL|n{-InDHwct3MKVa;4dT*|y$e3<#1_$Z4Im$Q@?m$Eb#SF#)w zpJcfvKFyk0e3rGjxRMp~o^_!3BI`S7zYykmm<+Bkw6;&HIk{IiC>Le8J+Ed@rJXPyAZoePJzdP5f36zA0Eh{9X|G zS#YTMqu?gAN5r26zd`$(xLNQYakJ3(;;+JgiG(q3+=3@mq9Sj&AT zgUTZ>%IB5A;>(CyMj`G*g{vn+e>I!Rx1~Rn51hn&H#tO*$3fE*<#Vo>F zv7ijEI9_I|cu{7KEF`l;juF<#i85>CakOvAY?Zu)wNkjuUa1+{?lMQEEocwQoRu&( zmA;p`D(4l}%H?J5%7f5Ol@XN>qCF$?R7TEK$sqGqwaR=|%b@Lu_PWepEn4QQHW=-B zVXgMLEKnmtSZkD&1#4kWYt5I1YQ2H>iY#0k=TtkdEK(c$t=(M~t-ToSR#~hz&Z+hv zvUnZ%txjQCqE2tLBV@@sJJ9ZtrRv;3dqAec0oH>maV%1?IHO@-LKI8 zDa%FY5!UE(vV8Q@Xot%R(KxT@gR)}uQCYFxQ5jk9S6QjvpE9z3PFd;6va)i6E3!(1 z&t>I?zOquova({sPO?hlezIa?%x~javTEaFXwS=PO$!KX(@0spDdIIfCTldq{52~h zYc^Yjc8{#p>~CRhZk18Z%cHFd<5qgE%-UXYDj-4@o?fwD>KGiX1S zP1|)8)^-DB^LA^|?w2jvp~kfPO}1>0<7!_E{Ed7!X%o-8|dM*q(5$WC3*zl*=@+_kan(rvEn-0fXq z?RH5%_4G;EwfiyoRQGR%wfo<)TaVA>)6aY&yY;jQYtO8*M=y(frni^u(HptlyQb{f zr?BkRw~*}F7qzl)FWI{;A(R4;8*3K!8c_8A;`%g{&MJ$ zYG@nEVMEr-;X{6xBZk(IBZsb#qlW$@M-Qte#|&E}tizVevBTieVV}!!!yUppJeM3l ze6XA_9OF9tyqq`!^>u_@P8xxn9Whr<9`Q2T59E}QWrTHP4LNn>60|Giw2>d6{Z39F zl|fiXWt1~V)ka%i&Kd>Zjv6cHj9Q3xvz#~TS79Bk$@!y8qJ2Ux9F6Ux$I3;c51@Tr zE*^tp7?Vpb8PgPPE4g&cY_u!nvN2!F$q^a zc3e-nZrt;7{dgfajBg~Y3sAj5B`K!e-Xi!e_3~GR?fK zWu6tTWtr7V%Q|bRmTlJe!aD1QmSZ+@dv+-;=j^FkuGt@Jx#twqBIZog^33^J%R8^3 zmT&${t-!*?TET@lhlQVNg%!M6r;YF9VB8&42>*69>(Zwy$cGZe4zN8gj5-qGt z8fqn$3`09pE4c)7vgCEG)Y2lty0ofRdg%zXv$ZlyUq^dcE4!?Mur7O2dt%vJXs>AH zmKW5@FCV5=c&@%yaYYv`a%Cy4(yGQ<<<XGb zVR-(qx7PnK{C2pKHtdg4B!wGj5Ba);b2iisyhZQ+#jMH5jUOTlsg?uYAP$u1k?zBn37ezJr( zF6v?_gA5X%iaJ>G7QaX-qOj!YaYguq{%R{D!aQCRIYNIEl|)9*8eqSJW%4Wn_6JzF z!w&W*SeB5#z;1$Nc1!~M3oM(XFWBE;Su>Uay9JgbV|K8Az_N!`1G^2DE36RM9k85X zn}ttC0TvN94}B$A?(ltJ8d%=&m0%uVc``Qy^90MExjdK`SiUU1z${<|vor_u1}l(t z7MKrM;jBZztYC$*g@9!ME1LB-m<_B*w$5O_V8yf51M>qbmTfnfKUm3Z%fSM`N@R}$ zvxAk+UK}hCtW=J%U_oGIb36kU3|1z`QLqrOayd4DIl!LCc^k|LRw3uNV4+~;b2S3X z2o{;E0$3PW#azFGg@aYj^%+4^4@_K_60Bexv23SF`C-W8sD+JakZx~o%u!i}jffWI3l5ZebQLx7OegrE9 z)-2y8u;O4%^E<&xfVIdkz)FHO&;J5gDX>=gw}F)gYgr&OSQ)T31uS4?!CDs`5B3CD zyQ00o%7L{lRt~H@SchV{z$$>XFV-8ZB3P$lEx;ndIu_&jRRZf$jN?}staGu?z^Z_C zE%pXjRj{Xu`+!vgd%D=qVAa98l_&vL1FT1h%wRRax|jM8tQJ_$QZIo;fjv`Ng4G7= zUFtem9k5=dn}F2?>svY!EE=p&8Bef!VEs$~2v#4gUztzAo&+0M<_uT^umNS?1#1X4 zsO$-_MqtmD+X~hgY)H8UU`@aVm-`2-DcG=b-+(m(8(JRuo3T0Ai1Ntaj4i;1m!ARF z5^PlYL13-GMwWjEtTot}^2fp2fQ_z@5v(oPxC$CrJFu}8m~ZXDCRAX)bpRV*VJ}!m zut^nGfOP_!SkVI38Ei_0|ABP@n_RIK*i&HBDpm*U3O2Rkda!O_Gb+vkdm3zdqz71c zuvry<0P6uZGqOI|GhlNf%YyX;n_Yu>)C+804dzjAu(>scf%O4fP@@Z2U$FT#p9kv) zwy5SZu>N2RquPND09z6j1vU_DaTL$vS+HeMJdZ(OOQSD=4F-EI`ZU-Ou;uj%fDHv( zSuX@^7}$!&Wxb9c&cXnkGMkjRsrar4|b?0>UhQlUq&jwozc5Luauq9wehZF=` z3U*?M18f=C@ge=emV=!d(gy4~u#-bx23rC4;*ecnE5TkE8Va@w?4_X+Y&F>Fq0fM= z0efX=Q?RvQFAqHdwhrvIp_{?hgS|Qo*R6~jz|IWCbt~gWu-Aui{5FA|9met74EDyb zLttCL&J9}&wiWEnVXWiZz}_0hI=&t3{BY*h4zRa}Gq-kvT^P=>-v##WaE|@+VDAjS z4z?TY;_!=Ld%)fsQ66kB*astWgY5%*e*~{b`@udO!RyfhuuCI$f*l0AJYoshA+V1| zFwYNzT^YeVKLYmg$Oy2bV4sc*06PZu$;hr?$H6`y*#PVW*k|K#oz8d??CLmNr!$@c z`(n;2uou9-nllybMX)dD`huMX`)1DXU@w7vJ+~~_%V5{$<^X#I?Ay5=z+MHrKDQ3o zYhd5a<9xgh_QO2R#~HBi=Whag1MH{ybHUDn{W$+T*qdNC<{t(-2ll`DybhiR`(-|_ zgKvTTydWCv0@!a0N`t)(_Ui)X);nN-EMRWE3-F68z6eXze5 z@_POO*k6lEgIxmqXHhn=55aCN>IC)?*queuV3)yeFZx^fX8hPzM#@E>ih>!hh)Pl{ zo&@#@n8)HCV4s3%i~j`s49sis$6%j>c`o?@>jzRUgr`wlE%+2>%_!Tgs;gMAMcxV$LX4`BA? z%fNmF3tm17>?g3Gw&n=fZ(tem zud4ZG{2eUQn(JVHfQ7H+dHe~MWi8L+CRpaROTqpE%eHnT*xz7T*X0De1(su-1?(TN z?CVy7-3H6GZXDPhu$=2~d|?7CVjYezOoHWJ9|fj?pQ zw_yyJ1+3tPE@0kZ1vcyf^8qWoVG)=WtkA|LU>U%QZY&FC11qu-a~b9fR(vDoGRzOG z*hY+Vm_Jy_jTq;!0I(99ih|j}N^c4V3j`~*X){<5SlLZ8z=FZbZ2Ao>1gzYq55XK@ zPi*FSIKe7x=6QsImEZgaSVpkO&6mN#z$$K84;BtqdCL^AOkkC^z(Zk~!K!Y7hr+Ue zRoNB>mKCh})_=gVfmPe~G+1`9n%knma)8y?UIQ#ASk(4>V7b6*ZT|}_H&~tRpMXVx z)!y+WSRSzG9VNi>g4NyeIaofh`a50$%MVs>XFIS0U=4Ow1uF>l)?(LlU?sttKYtah6j-b0Ujr)* z)^az`tqfS3-8{FlV6Ar_0DA(g-R@;z<-pqRv4WKc>#+L=unJ)9_jUlQ2-azDb+AaV zj(c~4RRZg>cOF<}u+ICYgH-|Rx~~sdRj{Y_R{^UA_VoVTVAa98?OzC11FXmXp0S8zV*fR&XkJ@0p4{#rKz)Pt}FU<2?JtZ!IDut5i}gEazs_D~zJ#$ZDZRR(JUHu%u9a;d^ z3~cD(_F&DyMjWmN)&gw!;kjTf!A2c^7OWN6$it_>T7!)_ya}ug*ytl2!P zu3%G--UjOiHsk0QU{8ZhKUNH^JJ_saAz(egW*(af_6*pZWBtH-g3Ug5608^4ykqOY zdV|e9PT%(dTX3Ad?+Z5n_+YSpV2h5o2I~*D@Pq?w0N9e_H^ByiEk40qeHLul3Fhh` zu%#zha|VMwcY-x%2-xxy7r};ttvqoAY#7*zlXbv`gRMSU7;FUCs*@wYMuM$9*#T@6 z*qW2{#%QqhC+UqbVCzoh02>Ro@stjF+x9{v*i^8cFXRB52Dak`){^O9&%eN0G6QVai`l?tg6(KW0o!}JKG&zin)PZv*rC&`R|~)no~92Lf*mSMdKbWcUh8+@2xB*J11MiZ)pG^FRkXHrX?LE$rCQ zZJ#^tcC^8`qyG9G*)-RVY#ySa$&S#$j!k!WWSe1|8E;3KV$W!kaYrxSw<84R zcl4T@9ev<_M<2L$P#BzK$d$nPoFj%-tPuCrl|u*q(lO4s=ZchurLFrTZPNjN8$E_dD8e+|gk-JKE`fM?2$obkY5e4jOlK z)Xk3KTo-?i;JUkW^sW0H9X9Uhq?;Xm>i&#AjoZ;5?stSM;(fJFo^rFJpWW~1XV;Db zy9f5TTY@5mhX2P`N(BnW)YRjK{fO}~`*Fs0vbiddHKvlvm`ZBZ=;_!h8aU8xKO=ILs336RfYqycl z8zX<1u*hB9M!sN-{87Rp_i`Kg9b@Fn35z_$ZRGcik*_2y@>sW#-#147Bw>-Kxs80u z82QtLMV{|A@<+zVpCu@Aa5lG*KQ=^`-U*9b(QV{UjFJ5k7P*$&$e$S_`zI{&!Qew~ zD*qS8$oUc$`MBH2Um7FlPgvx$ZXD335#68ZR8(~k!K|=avis$@jqkag$aw?#BJoCjgc26EOKYJk$*KtUYfAT z3*AQk-55Fc{ZPDXx0^dg$7;8c|1?I9eFOBskvF)F{FgCu>^q(Zj=a@vg)x%+Ig7jchSS zekEa%&$^B5V~p(P{@GooTyPsXgE6w3`)7BNKXe<}*BJTrgpI}*ZX^2}BcDlFFMn0Rc$T!_a4lzc4GeMD^n%l@uW8`xQi|qE@ad<{!n9bi(K1n$hi|1dAhq#T*IF* zMvh2W>*QMgGWblbX_de)5pwM}4BiA)Xj{So7 z0V8J!j}EUVWXyLH!T4I1Up0FdI2zte#Jsb@x6|Qmfu0e5!e7`$AbxuqEF3YvST=OInd@rn;R{DuO;$|{GtGQ77{+9Fm`|^t3@%iCD4{cTN?2NB9|5A z1b*if-VST{?Lc^Yv^H$dfd6a*g>N{1Z4|O!{3>4&Ql9j=B7A(#`dsjN$LC$2_k7;R zfA7RHs}`Tx=Gf-B`e6yLwXL+Rv8|0`Yhzz)J807Hs7b#ICjH(s>G!!wzpvu=^P3pI zpWjrIev3`|EjQ`6$)w*llYWOx`W-XrcgdvR$0q%*oAmq1q~BjA{caojSpr4`jK+Us zV&?aX;QDmK`fS7ce8c);!}@Z=`YPSJk31Rhe86s(C$I#cfE_boFPgB|OxU@A^KtRc z-;MX43H!)|eP+UL#%Ff#`1`eonDonS!b+L28Yb~NnXrMz*ZQ0d>=oF@b-q}N$|Wy1EEu)`+%Jz>I5o4oc7lYSRW`du>V zcg3XNRg-?-ny?!t?9cct$RmE91$i6t40SZf-=trVNxx8IKfE@J3Cm@2Ecs0O6*e$S zP-IY*pvbtK=dsl@iPhBbI@I%^mL|vB&ZJ)_lYZSy`aNUPudhkJfhPTioAeuP(rVvt7FoyzOkP?8QeU69SLr4!n&HU zUM6gy2^((0#+tAxCTx}oTWZ4A8JW-7;BE2qIrwP&dy(K%ChWWkGpP^3UzqIU8x!_V z@NHM!#8S-tPKail51+Fk7L$G%O#1no^fRdsAsJ2jWj2XdDSl3eOpWiMkeMd0oo~`_ zu}Qxb@y8`(jmhzCFnR43lYTo)`t3I9x8J1SVUvExjs4`wke7|uRIsxq>}?bFfeE`} z!mgUIYeqj~zdxC<-%Qx8`0*SbCd_KW0^-MWgqyH@M&@(YQ6zrOI4YU2sQ6xU)Qj&S zM?>R$U?0s*`n5Lc*U@AjT}@uw!{oK2P6E@3)%{O67jK_j=TWQj7ok_pV zCTxcZ+hfAwy`REGt>R|V9x9& zugh(C9q!ef`HlU+iWnKrtAxpG%bE0xH0f8(q+czQe$mE$IF^PcteFXGZNfSj8P21N z$$q<=^y_8PZ-7a^!6yAinDiTC($C~G1gFVo2+mo?_hUGZdCvK+`vok;yl-=EbZ&}c zn_}-FoZC$Lorzy_oo}14OD61!3A<{-Zp6QSI)95l2BBf`&n+}d{9_Ky8Gj$4b4=Jm zBa}f7i{m@KDT}4H_>mZ-(tVz zew+NZ`5p2*=6A{OW54TuKl%OTcN?FGOb?hHFh5{%!191q_`Kp+z>5K|1)K|bFW{qq z&jN1Rz3n0P-1buT8um{1fp|YYIB;y>!oXF58v?fm?h4!&csTGx;OW3O0xtw!3cM0{ zHSpWO8-afYc?5X}`3D6Bg$88_$`zC^s4(7z)eCAG)H0}DP^X}7LC*yB4H_6UJZN;# zgrF%wGlS*^Eecu|oHIC2aOL3Y!F7V`2R9FHAKW##SMb2#;lX2rrv%RmUK+eEcw6w% z;8VfpgRcaC5&TW?KOtI(B_u5vz9a3+0fa{+1lB`*~Qu2 z*~>Y=IoLVEImS8BIn_A}d9x8YamM+!^OEz5^Q!ZP^S98j&@7=jL+6Ap480h7IrM7i zweXHYIIl|KxxlZgt(|n>@hs_A$5K!F)#ECjsgiz;x!Rnoco0hZwc+YmuHxw>>DR?J zSn`J*(r*+t*oR|-6JJtE`v~^S?z>g;IW_55oJ)AFO!}5VmtZ_GDSgYLi*Gir;?Yd$ zTav5gxr(PArEdkUw)L$neVbAGP@bkNri_JT_#(qq$=@C z$|XFMFKv4fln-}H+g2Q|4L{+Lw&xMvHiaATJh`+D;s*SPNZKZ1gMBX!mmh6Q`%2$~ z(!QE(1(*|GD@gluT*6lu(!P{S_)16Gs#_wZt%MW3fG2^ZttrC$-QZUIoP@(V3&Mc#d9grzaUm^&2YH>Mab|( ziS*}u_;Ugrcnn1PSLSLpuHxAZ>0gISc-BJtH{^yUSmgr}(!VuV+i?|7HAq`?9I1al zuHsPy=|2Qp1D>*dEB%L4Hc|FbZbAZzQYulRA+{Dcpnxaw+JFX>#*}81mYB>4-VnF49&2-!IrPCN)8?KbqYXJi+;&WW$^r9Bf_ zKC+@@`0`!aS|OCZAU8b04VAe8-kKf^<7&3GIL`#5gESFO@Mo~x6%itk6IeKJ?4aTQ-`O8a!K&cbV*_$E@?XWQpU z`+WNXX=}q!i@3Us*28yx`1v`P@Ex7Bufr?&{gkwCW|wW)5Q;CAqi>27Q4`r@8bB zmtN!28#qo>!JliS#R&WD;*e3m0_58v)IA^hy&qzz(cX*^1xrzsyrSBzn@#nFG@>p!_{6DPv zZsADc8FT5oi%WPWTxOVL*&$?h%LXBHP;ydoSzZ@1f=hWQc`5lQ`6&gswIG)Yaj7tu zicpGDicyMFN>EBtO0i#QN*PL7GHDGI;vb0AxOAIxgz`Sw6P6o7mZwypRHQ^wDp4v^ zs!*yjUNuT}N)1X)N-athr8cDwJJ+Q|Q|eLbQ=X(Wpfsd7EoFpk#N%sBX+mjAX+~+z zP%XIBlG2LOn$m{SmeLL)DpRU*wLPT+U)GUJogf)TS|Ww)%+)TG?v$q}T`AotPg8nO zo}u)l^rG~p^kKZdlzv?8PZ>ZNNO_hrh+7A9X$WN~Wf)~RWdvm;yNu$}Xv!GMSjsqV z7*CnN)rpizT%F9NDIBJ$T$)CiPMJZO$qln8vng{Zb1CyE^C=4`3)y)QWie$5WhrGD zWjWLLTDkVagGRsK%wET&h8-&ebq3{Xr{lp!`i)Pw8iE zAVdReb|HpPZb4)Qiq%?3h{A zvMvzm$)&d`V<_Xebu^_TSDSEYEafam<2A~glsS~1-0(SEq72~bi(LAU z@-bx|SzXGLlzNo<5K9!Y%o1&>CoC1YggZfD8DtqO#Gf4OzbK~};8n_OzHAC*3*`l} zWt5p*-AdU>*+$t;*+qGdT?TP!H|2TCCdx`~SVLJ$*-N&9OMAGqic9;sw3)JwvXQcy zvV*dZGL8L~Q>Id)z5N?z_fA0XaG`Rg+SSt5KBNlsc5UlxS|P z$EEs|Cn*gm4JnN%joGCMmzq+VQJPa)a6?NN=Hg3N@s59 zLV1ePmC}v!G^IPG2jv-d?n&uI=}qZF=}YNH=}#HJ&I2jWQU*~5Q-)B6Qif56v-1eb zNXjV6Xv!GMSjsrcc*+Ex$3!ko;%g^!X$oa3Wg2BVWd>y?Wfo;NWe#O7M7)7qkPc*p zv{OPT!DInk@~8N5)t3^;)gUZcR#;X-@WN9#-(2T( z@-yWF%IoZMoN|M5i0mZg1XsVLoQKF`^0?6aAs#g-btq3#8c~{3T2NY3I#4=OxNqLL%4&@@{66G@G6UyfhEf<%*qH5WqLt$6Ov)U}e998aatf!+a|2~FWe0_Gq?P5;LCO)zamp#mY07I9&Wz{Vl=mnf zLbUQ+;-q+9rF>1fM&Yq|auz%}3!Z;dZbQ60AX+4sEEJBtm!0CEgi|=;Ub!h8cCSJd z4vAKUOFTiZauklUS9MBl3J2D!F{K5C!|BxtqQ!LS#?>B_K9qr!p_CDnv6M-a=@br~ zR*OpuDa$CUDC;R(C_5>;Df=jgDRj10hf6O|UZT8CIZHWDd6z;zd(qEc96GNUKiA_D z{p>|Qd(qEcKT&?B{6_hc@(%=mnh4?n(Hd~chr*Gx_)`KYA(T)G$JD|xwa~y88d!2> zG>(-eAD5a@T2k6kXg!VA)4Ef7QaEZ_e~9E^XwOoHP=-@RQN~j^h#Ch`n?;#RSp<=^ zfVPzK9EHQFt)P|#y+Yv-YaC+j0)<1Y z#SG5-T#E7O$6Wf9@&)B9%D0s3lpiTKC_a>5DSuFIQSLxIG>R7`10{eGMBxx?9D9$< zlpK^i6nfXA2&E*Y428D!s7R>{u@to6jxicV-_nlv&(B+SOUpjX4rw`PIV3Gdxir-> zO;{FNmI%uP-dNA4G+}3aN+&G6EWL%Lv!#o$Y_@EXmhE^2{v{S%L>5sNQ|ds%I|+fK G7vldi$7l@z literal 495402 zcmcG%30z#ubtZnR8+t83jU)sT(tGHk?P&oTArNSBgEk~U0<=r0)j)$<0veh|Nbl(> zjuShvvv_x6JByvzN$f1n;%ttcIC0|aaW*HD#gjNrCYj0RfA*Pd|L@efOWoTAPc!53 z&rh6t>eQ)IRbQQ|I(575Fa5{Ie$uk6!&@&2t6^?=r7b^~&f;%&a%CC+&CKLWQA-GG z`_#R{a(=F}t*}temlkq!ZA1Cl+|=XY;`GW~K5B)9)#Qkb<(F0prF>@j@xs(temP%` zTGhfz&J`wEdtowaMTON^S=6EBsoY#nSUZLk(N@aO%;l%bZR5lqFU&6F$}6ROpRlSY zAD8pX;^cosM9ai%uD`z}8Vz-%64kNjYABP+^q)-aYHEs$Ns$OQ?Td%2s~SThndH2$c@|Kw}6gjCAQP!;kNjcWXu#!uDT^5JMihD0Kj%cuh5C~yYOljh|}p!D=|7?Imo$FA#_wqIgp&!OB@vnqG62Ibz?_@f&CuFWSqQ`tmf^0i(uI;;sU1>L&z{4&e#K|~ zJsLk1BYEZ@)AcJp>wk@{U)dA)>^WugRr@oaIxKol)00Y&JnI?K^o%OL8W_<_8b6iv z^k3HWj4D3sxvue3($jxiYPUrYBYB z*6`$>Yr19CKGT-*73McGQneTYiXow6|p0lF~9x-ZrPQ_=>$$aUJu-qtnRPsR4 z4a{dCZuBZr&&v9h2W9<-wR(*zJ~v#C#!q=3Gk{;0-3r}0x; zz4jc^_@f&C67$*qZ6we3U)JRnpY6Y{%PY^#^0r6k_Sg8fM`!)YbF;kd(OF*O+a8_e zmFH%8+oQ9*#<41XJw$t|LEU);i$M)!~UwL%qKhf)}_zuv$geCU$Dj{ZjdMbE{ zJw5YnPp|mw>6vePdX`t7p82+?XL;q(nQwb~me=^Ur)PQP(OKU1^enIOHBY~!dHR^` z=~>>J0b;hNXZ@Z>k7=HMN%QnE+tahW^5|@j?de&+#d&C@Swo<3%KdY1QQfSB#+S-(o> z=ILX$rzgNIv8Pvni`kxD@!8We-}dw@uRJ>QHBY~!dHR^`>DhkIqsMGd&-xXg_1K=C z^{X{9^KDPh@*3aq^r|83wX*Z_fZ+fZ}t(_6)!Vd7eI| z%>YZhwq|*423XQ&fS5J|Eb-c!<)8OF))KF+nQzYkY>ziH#OxV>?NMuJmbYgBme=^& z46u~)W`LMB11xDXK+K*2Xogwh89>c2F>MA|(q@3zYjpdSr)PWY8G!B4_}UDxq|E>^ zZ3bA0{aqu*7S6*00R~ODS&#h-ovx60h~y(Ku^;Dp=I3 zHN8rR+cN+aEXEXH6%e;)0L8CYo}T&I3?Rn586d9B0HWUW^l@zl5MvtOo&i|D^7O1< zn*qd_Hv`1A89>x~o<6S40AftzYcqhT_hx{&HUo$;jjzoBqTciLaeD?JM6Gk_TLW`MXh1BiOh)5oR9y}Ik~L;>jOmKG*je@wvXonO}39?7TtzT+2bSU+3TQ z_!GLG2~W?w&d+-MS9E^PWJ-Q@>QH(o1Fv`;Q2oo z8*`zflV=af)q`81zw>-1musq;iFb_NZ|;0}qv102HCEltv~;9K`j2djH$C2sd^_5p*?LkTguD5&3pFW?42p}L+)b#F~~KY3x}#6RySo1ZA&NOHTlCGb)ndW z^ro`B-8B_%%556C-L>+{XsTmrL%b>)4v+R{`gew}ENwY>yQ_Gy_T|~yJDraU_4l{T zH}x(Ko_=v>F1Irkui4erF&+&^w~WKC)~XGWj@+Re-S;PUke$1#?p9|~Bh7s?`CfVU z5bSKIJ-90q**ke_cX@Sww5M2TICZXVW6#Qk^M|+0-{=e-JSwjp+SYR~dZK)`ZR_!s z!7kZ7JkhgjN+eoZZuK-&*R1Rc_xE3lUg*r_TJ}W*o<-Gj%NMo|%^tehJu@*@-jvI9 zEoQiVE^kaV4L-;gwo&ZI920@Idy&bhLk2;+-{#A z+8IOP4>fhq%+?Og zF5GO-kB`VbHMcwCn`^4Omo}+r?&JNLRQ^KklQVU<`|i%}ZoPJJ>*3i8wXKijL{GWU z(7Gybc9jPb)7NUkk*YJbuk31S84%HIvL$@8bNb}i^tEE+;l+IIfmL~{W4^F+v?F!1 z|5A0;u6Qoj8EWcizFxeZ?mT(^$&s4dN6?;KQM6}Q^tmDQS69{D_`Z(#?Vjmt$Txb+ z%eRN}HKrfVKE1H#;Euz0&z^aS^26@DWA}}NnZ*3;)hD|T-s!!YO&qv4e?6T(Sv%cb z3p?*Pc5aa^VT_lPi32^PZ}{o9Ewk6t^Hg4H!Yl7NRo>y{3k^?>3=g+Y-#B!tWwNEW z>iI-;5Yg|Ma7V7Y?GDD_$lU5&Q}-PDb+G95>!!hm=2c1M+yw5nb>7WKjy;mpFSnYV zeraq8(|8W|)ZS@d*dK3-Z@{=M*XFMS_b+dbHyv%yRNbGboxWCgvlHWGzGw8gP%V!a z=%>>f2oxj#M|+Fb?9$ zc+1YMJ^7Q7*0aMC?aQfsEjK2)T{5E?Q8PRC1pc8tKQJa&hqj}3? z3MajI=h+D~AnzXops>9Wqtap}XgzXwT{K zkplpH?{fD1lQRpqJK%`e{=J_3=o<1z>hAO`UwE3FJ@g#xyyn>{V&teVT&o2j&hBo$ zK7YM)Ie%gk0N9z&JMvj=!i|#r^6E%)dOllw8xuj#BpiVr&oA4|`|;&Ugc`qn{kl#ECK%CRhkOox*~p^Dgy24dhE+dkBU9{y8i- zXkqZe-n!>{fcs%*LGXCLkZM9~!$Rit)#gVBZe!t+9$Fo~-ANAP=z`}^>d9fqiEdsP zaQ~7+T<{#8PpA;&T2rE`7BaUnh5aBK_u7y6HT`Z2+|j`Pm+wPBjzX0?9M z?Hd`|(@y9wxojvQ+&-o}Kw`Cy0V$2|RR`))pNr&IY}{fqVTY+F-x zGP%cF(6o56L`X)o{;aTYG{%ihFr{n-4#NNcQrV4&9P|0UmqrY6jRfel{zV>kUk zQ|~HpMiWn@)th+O?{ohxkJq1S**Tf;`~XiZYC;~KpoJQxC%ts`%bX5BbaD5SS@?%Y zyQg2Xzhn7ELm3-~fvw)S^6ZCw;(_a2YULMC*4&3kg5E}-sKCCR+b;!bC|5TOlU=gbl|cr}r#s&vDZ@szBNCEL=X36Yd=d~mjQpek~^Nz@>a5K8VU zpFOzs_~x3__R*!Gfx~!B<>*W(Cz1o9$z02}$aHFZD%3yF89iCwk-6H5$9*>_Jzf_d z6=N@q?%TP4XUop!RDARC%cB>g;pEg|ZuA*JW#GxsmIc4n&gW%iHnIkPL$!GA-EROsrik>vT8 zufCW%7}`8~;mV8kFW%i28Hhm7m5oRC?|R_qVL4k*lh|;+DYXlBE!87}REI#)Bo_Xu z?U|)ab#?NE{RpmAU3t(yeQkb3M91s#_xjFI-LBTNhi{neg(!cyE2Z2?p!Jr+LC&L4RiaW0W8GlMn%owi89JRHaR}_jp&v>zdWM?R}Gl2HDboqi^=)2+U?U#FdV?0^Q_Euqt_|OAFN{BZ5ZxvG3u?^g zcW*`F{k1oHS578|&b8g_EMneXJJgsf5G1K{T-tnHwPX>ryjJl z>^z(VUaqBXiNyGkxodTII;JSF(lXfW5eAD|4#V1Ho7&G1b(j7WIOYF z8f0UJ)=j*@DvXaEzCM2g>o4Gh)@9T_MOv5LJkU++v+y7`3fI-f59_bKRc!3>Hx=5W zug}QNtz}xLg!Y;FE#YD4X-vOVXuvvx+IJ^yc)AzEqh@}lx(V&0+7W?(u9f+Q=2_LA zkrp#Ql<08RmDjuM^s9MdJllHJ2KE4zlTmu%cW6CK z>yZ7khca=pAM44El?xoOo0$kDG76XJ*4g5u&HnIwcc&aRa5;o_F$Zo|FzfIRU64phfnQvP{h3a zY90pO;uLV{E$5#0?QPrEH*>OK(_U=kL;KA9mheH%hL<9hp3;nVgvqM-^_(x+8@9#Q@gUiXc)OIYDf?JvkSAe zgF-63H~Zk%DL=d!;gJFqyUW0*dOp&2qkCrX4)om?b;>VKbSzw`KlTWCYzZIbahSCO zI2Z>@Y8>=Fxpru4@4XAqi*jn+a&`_W9B+5~{}lXm7se&p8~scHkXp1CjR(g63)kA3 zx@rHU>hprCPw#yMSZ9Y3pe!i6fp3h@wr!m=7Z6CFZ|YOyf^a+t;0nd-w!87 zupdFYvtAx&te3}Qrkds%pC2VZ-B8_BQ$5f>Fq7QhdbC9(5ZF?FkOEux+7KYVaf0xN zc|y%kn8$dYD2zu^mHKXVwSc=ZBY7U*;e#xv+5_WtGvidPiw|Zv-w<#!4qWEE`HXRk`GWSr zBI3?-Iv+Tr`f^}$oC3Wn4l%IhkVqQ&gj<%Q`Rf4TR_U_?Wbk*@u3xp+3o0-K{%o){FI7pAijtfwZ@IEX<0TfLK~ z(+6mL_yTi76Qb@$ee*f&UzJ@5NVSBUQoJ6CV7#kw34e|DK_Ji>r;$Uq+LyzpIRI$S zcj)&gyRi;R`So=#o;)vUU7)RVrdCxs`G*Cjj@0n;w=?&^I0Xw^`5i+fLrhDy_2_apn!P+{%^3mcpZ4yQND)w$8xyK z|BUrtO07E*Loo!po&Lj8c9i*d5Rci~6WYQ!dz8mKjDD}*%H@AQSIFYLj*P{diO!vFAzO+6SF%I~6_ z4XT{$nxBb?dS&m((Ak4GJ7<8_3lJJjczoiS?_pRj5ytEtb zcRk<#!rr#w_GOpf@A2yt{|2`!^vpvq`bX8=Kh{vHbzZ}Z=pS}LG#|PPAm+Ro3)-x@3JJPx+Y?r6vMT4To?tj8D%}3mRjB7PN zY~%J@8K?P(^dGhBxqA-%06!i|EOEa$`-GRVUKc6W>)6%vu;BI|ug9`ivESqV`#5$DwV+043TRR^){V^Mv!+1GH<45(+#0j$d zFxI>NXE(ny|Jd>mdYc;;XdS!V=_e_;zT5V07!;dn9v-^gw=kb>rGo(4_fdQ~v|r7~ zJr8eTy@7q(^4TM_@9B9dQ;qcv=D~@pbF+2Wk2w7BFwgrBjvPLR`OMLSb<|^JH`d`i z4kAlSYTRHv%R61bHRcDk-dSEfbh~r;VuR#$w6k77|Kvuy^SQB2u|1;t)UEoea~HQa zIqQt_cs=%UTMy5i9kbUN{_&Vn2Zi>5CF+NOzD!Lu(>&I>Ix>--Imv#dCA`nI6Z-`r z`GD~lt)B_Ui)x*N{KW|7|BU~c{IV-QHbmrB`Z>KY z*x$Oht|mq6b~<2P7<{@-?XM^vO8ceo6=kQ24+QoY*JtV62@xTeH1y83*&Uk1EqM4h-oat1+YY zBipV4XB;Pj-{pQ*2US~g13fg~&tRQ+e-Q06srq|)bzuSro{=2mulGqeox5N^q2j(2 zKb~vqS;;?rdA4n9@9d2e#~L#ciubA)GNvcSHa(haJ~orzinu83K1ll-UPoU&)YLaU z`ZRofxVaPS0lB2wo%inx17pq61=SxokjFX+`yAv`?F#w6&^G^bOSpw`Nc(^mt_RIq z+BkC7pYAwn#ETPZeVaM0>K$13$_~c6eo(3WUWVJnUT0yy>VL-mJ4EAT+g==0tM&B7 z=djK@uJ$A462CX3a4$uA|5@`s&IpG!u?9~;;>qu`=8;zxqb>a`E2h2%)i`T z2Z29|N7bl(32<=7>mTe(&|k+C-hr2uOtmN}|E2J#_LDU4)bseKd@rny+_w9J_4E8t zPw_CZfpMzVU1uncruI45SE_jSjrKD9K_l&RW}o(*Q*nTi1GBZX59D@JaS3^|r%3y# zLn5KtSE}_;5`jq!nTw#z3^{!rC# z6SseFp7!yQ`~lh#>yQNVp|{`BtMJwmK5dW3`b`uU;eF?AvC*f8_orA_S2Nz}+%PiA z?M8k8A!gfteZCSE;}ZRB%W-_NS>fN7v)3p6FR68nPwuus?hMP><4x^%X4U$K>!a3B zG)~-cq1H8NweQt%JN1fcpE|CuvmT;#8tc*5X+q0KdRqL?=vOlbb>o{z`E9Zr8z{B9rirTh$hY}q5&@6fuJUfg0F@VYvDkmZy=0S@XI2j!JWhV_qJ z#=eI0+xx>Uw%zvri`JXUFQ{?rtPAY*X1wiu)fucm5FZ}o^>+VDSAn0J;S&e$VLqD7 zW8cv}oD8ShaOywV8M(SM9}W);^rX7C#^q!v5pP);$9X|?-_@#fhqi8Y=Rdp%(ReV6 zbxnso|3xW{4m)ATvTeG=uIhWes zjeR+-pUD2x7i!_(2gr|NpHTC{%-P6|j(yFYqlNSG)V`Z(Ctkqg`&N2R=~eLp6(3w0 zuf4rx{-%n*AE~2#M?Lh5$oOnO>4&~hBG*#iGrg2+J{1lPRHecLV&`N-crFyh`Gkm( z-%jr6?FhFtU8&uQ{QV)@uhYJT{W{XqIHzcNu>C06wUoKiyVQbpAl74QKH~Mqe#}Gu zXY_}PV+~F8E|J~)S)SsZh<`|&t8iS5pAje8+826Gt#=W>j2y!`1zv2#xKQ|nzk&am zQR70zkEs3~P8=(#*3Wi1ypFZgopq1Whw;Me9u+GRbsPJU{5t8kxP4I?cvDQ!{rOu_wzZp_ddkj_7kC& zfWF!LkgN8;=W$?1PZ{wGid$h_=;gQh@c;3^dl?kZwqHop-s_-vD#mf3{diyb057s) zzZU8Y*hBtj{(4_=AbpJHE7d;-Zgnpq4!#BJ+Pmt#jEQzTu0wI?C8dA-NY!4%3-JOl z{OI=H#XQ#YDlUX|QcYY~Y3I^`(~lPC3R8vhKyiMtFqgl$SS}P7mRtRg19Q3M<)~GI z8wS?T7q#kywb77SSeu=ki@B)>x!HVcf3Y~%8nx<$RToH#TAOfHfl4>zyE3>?M!qc& zQKYao1@gCE%}+sMtFWqw5>{(aV5U@@C;j={!sX&n0ar8R=Azbis4}Gp>v@v`*r8>9 zURWC_v(DAp%c-?1a;-33z8AG#5LT_9C#+rfa}RTEbGe0CTpRJQ_#odloG;%iPM^yy zOwZ+)TZf9p2P=zFYd7?-FB-M>3M<0$XiDuWiPqA?sksODrb}q3{lbd7kLW+Y*fcJ) zfY2dfMN1FWLxm=~v0`}{LLEwz{Rr#KH)FK6<`#+ztsPP8Fs`#$rb{Z?E|v1r`I**C zsZ?CeEH4+S1CIzx>Zwty2lruUA~0kjH(ywo9Vp^@jYs9y`P@{g*a|D!hnyaBFWz9u zwh=u8IxAnA$xYGS7@~)*fpOj&e3%w za4cRy;mRrPb+(ETV@uNH7>V6 z6@{68F53-Rp9WW!xCzS04FP1*!@{Gozs0iog*98+wK+*yr*q|;lHNd1N{hNYh7>5> zbJH`c)7gUmky5?vlvqdEm25dT>xt(vkV;B_JzYst0*p%e+;mp)b?7OpfEHJZ3_}|_ z&k6-jHZFQ4H;1xpl&GRH5XlUMR)1AC<`y1jJ*?WT<(6h+EafjvY4;jIJw{al%Vl)A z>XhkH4$cusdpI|(%y+s*wSh8}#)0=V4YSn~3P*$I=JV65>baJl7mD-w(rn%nAaS6b znpg?Yv(v&b7a9uF`8<5%RN2e4vapQXcGNQur)NVw(R|w36FMSaG zZx0Q3KnJxEgcVva`(_^(R~BaTa1(LWn(Q(XinjZNA0FoB3TR&%JlvdAb$#&oxl~3{ zX>rDh@<@!S7y+8e2I}ysVh+>U6#RE~y0A=M0UfD(8<-by^aR}y(tIqqA+Qq5#_*dcH^i)RjVEp1{r`XSR#CGP-!#8 z(i99Kl%ib4@(|-_D;Iz|R9Yo70BMBl&y<~&t6>nkR=QL!btu~u*-j)kxdTrwO$RL7 zzHFmWmF5l(t_n9ZBUhz!KS`HnkgG>!wR+}~?7YPlRGv+z%vtqpD{x%~>%*gCG&emp zyfzG-L}!phRpRnXSfDAXm3fT%>}rXoNjMl`!O39^Guvg>6p6c9%G%AWoPs^jv6>l} zpI$7N+U@bJcg_Ztk$Vu?sY?=$F6Ez{2bzD;;m)JiFmRu)J&XAT+e)q@B~3mCqLh#I z%FU7>T5twKb2^J!uyv}&R42b<<#DANQu!079evrc{7|lzvXlEqOL0Z)VVzW#FBF$m z$(x-E%%&E~rEKvbmPT{MRa|gJgH_>WK3AT)r|iU_Rr3@^F`1TMKs&^gHZ=yErpF3G z0i|9gX>}!7OT~177@>xtK4uebw6Z2`Y^Y40vt}iLe!D8j?p0LWP3U0gs(fTD%|>>+ zta`?CCpzgWORdVHu*`Wd5iDm{6@zP>@=K^(b&BWs5UMBZ;a1i}O4BqebEjj5@Fr;> zN`K6%fzL`fk7788REsojUr(bdCax@@rop7CXg9l-PVEm5rP93)ayUG;xoug7IeMc~ zgRlg57Cm!18?E^k?iAI6)G`XWJkw&xD-+as8^yfj7>};xs;WN6xMfR$6VAZeoeZQJ zNVHue7N(MiQ?69XJ%*|)&$`m&jfYyarvvNG;M$qip?WcC$AAu68LNd0rd(8GV163T zyZuyt%6;fi4|N26g@%IK9HTa4%Zrdzsf20D$36=b>Ji~|6s$%KE!&l!Qa%ovP#_vn ztz7sCW0c&pT@Wn++zwy?yEmK^-br{`Z0D-HZ4)^PY~d#7ic=4;jK;cBITV_Tnk&;( zciZmAkX0qqeqpUNT2wUp)GD7*oS9K|s`Dk01KH@~IFm@q$0gJS)hbI?a7*JXu(_SY z3-gPm{1mQm0e9MPKIoaz1epp zHwUz;NC4!L{pT)cGpA0CWzP-jPeT_`o=O`V%iPG?o9J5NoIMBO%=o!1a4c>D!VYtM z@Fp5EMvqJ;`!DzF%AF@xPEWe>8j|k6oH?64b8+Yts^C7ewoUX*N$Kq1$f?uUl@Yd> zVsxlFsBHE#734jq1L3}55w!b z5808-<-w~+2WvcgY3wpJ;F-*oq08{(3U`@-fz#vTs%_vG#CZcBty>`r*HpplH1J?e zgM3$`cmg6&DXV0#h|_SK#m7#Pm>XGSh$`v)(NV|rkQqU)Hx zart!i+TbY)RWV1|Z*y3|>45=9B&jlS*8pbf>5QI(b?`XhGFivS6*dG$u#U0QX9q8i zI3|r}PK~*=8qTap*aN=L!;+Tg=g?+ST@eo9xVr$IYu3t#?7f7Dcyt6?D3+-cIy)n$2Xr zR7YuZhDNgEaJ7z+suy7>TB^>LAQq}e{c3YAjtrr;PL}b3kyF_rw7)07PR+4o{Bq_p zycJj7$wl7SuDR1}#PTZcbR2Q1A)HQuDVH(>7Zk&feN@R|Pz_PM^XO@fGgFNZR0jf1 zyYUm(P*omjoX@dE|G3Jo4i@&-3GzT=L_UT=L_UT-a&g!cqg5{CK4X z^5d0U^5Z&}d?)$wATQXSBo}N?;sx82c)|80Ua&oh7i>@Bk$poKGpDkbFJ`ZdpUz$y zx-t%1qC?|X((rOv41n@*<2=~7aUKqEJtlHP4$G zxtL|V(_eC+u;S9-KsG&aV_*mmHT2M*fwi>6!`e(eD{U$Xt~h(`;@By84VBLs8cL4c zgOa;^@p5K}95;H7RJhMhE7Xpi#-i^sM!daLij&#)7)shSH$}pJ;+C)vTuz^b{?nL- z>gn=xJ}+zKKWO8Fd$qN;I?e3cr*WM7(pesh+D&`)WP7>3L3{!yfxIs)tt?Qmr)|7k zTA9M->bbdr+}zwa_To|TQ<(oIi$$CalyZy0dW&B6I_G)%b^vM-V9`0gjF%rT=GRZu z&9}2U2KGtu)8c0m;*;W2brJEiIBd!-F3uq`)QU>KTJ4be)8gmrEld0YPFH6N3)52k zlK41j`(5OC@S(Ne_@#}H%YvMP6E82%~ z^eHBO3-bX^!4SO1!5uZjvg)i`T4!?iO7U6oJ7m`H!pVC(FFwf@zlScG&6l~qh4mV} zi|n?qRH?UV05MYhf%wCO_!_(Ut~PDNVDLZEIFVtfxA%*ipyCzxmZb&Q=4eQVk{#y-+;b(x57( zF|8!kp$SDavZYw4%AXzSc6Lye*>R+<7lub$8dRn9QNJ`EZD~*&sXuv0Ii45O?}mk9 zc6t?|iY&)$VPGBR6&-YtirIhyX;1^4`7+?y$pF%j0Z7<@gB&lMiQsB1v?ZVhQjQvs z1~q_$uUtGk89*8`02Ofpz~X~475H2rKa!pNAPxBe2Va4Bb~1o8WB?+38Sw060BOho z4CuoNM!pzO0SQM1NP`Lh!&fGroeUri8GsF620S|%jIc=q1$qX0wlfe}SwcDh5PEhp z7y*(-fBQ=Cpg>865&}?2dr%&IDiCtb}|@|a2VaI!=Ij= z491>6jP9&;rgKc=IA4i{o(7b7y-(r>GXuSU*z0{F4H?kI%0o{T=jZW~5)k)>K$#vM z4jb`sIDiLwc4}(G!{GoP=-J6&#KU29di^wBzA4YGWci%@9$t@$h29!4)x!y8G1NAI zlfxcPh^)-e6~GBS+olp}$PmCumxmJ~4H*JB>GE(wq#*-3UI$i}hY2DL83LH-@-RW9 zAp^SESAvItE+YcE0tldIruDk@GaL!@ zG(FoU5oyQ}=;Uwm5UDw{y)d z7MII-8PR@2G8T>ms_NmR$B2&}fMA0&;CUI7U5bU10W}_KD1*Vg0BU+X)DUUN0BA&K z=2n*PVGR@B7%0wzP>&HpJp>`V<2SQ1H>ch=osWeZ13ElZk#wa6M*$tfJ0<+83iRRk z9{M;SaT|0*8uS55c&XNV8y-J7Ku9UPGoZ%<-%%s@jt0O-&rZQc@Erxh>a}-+=?kNH z?Isou?A=MuQDg+=Q6R5E(Y-<}+!m;U2Uf~rXbM2h*LEHXj~Y>U6rl2D@bE@Sh7tmJ zJL=($NJ9qT$X9{~v7<(a9R+CU9cjFktX}+a8uc{+Js!*`$0kFR3up-{qDIB}Nv$u= z1-ZBr=mKq01;A`~oWSa&;T7pv81H)c)gq6p7PLt%VCByPhMNbpDGw0j&jW^=2ec^< zz~avXhMNbpDG%`C&jW^=2ec^!V4s>-L!qmPfbr?H+zakABl*3eb5F8yE zIEXgo34)_T0|(KDJQM}>*+vWp97LP)1i?W;Qa6to925)nm!pA$5>4e07MvJ3Cdao0 zRcKhD(5Xp95Gxchbxk#6g~FwNQ#GtmqN$u9Rw#t(mSe^WMN0kUXt1C}Q#nCcQ1sL- z#|#UKm-@@mU_pt7awuf#%R>yO9HLEmg0P@4s+-3Q3ksC_%h6y#iKcSU)&4vh4k&PH z$`ix^g-%`D%s8N6slOZz2b5?kCx`oZsDA80-5C;@Sb;~j1fC8uf zax@%JqM;lLnELV%!zqVoQ=T9WD4y!(G2?(DsQz*^98jXEoFEP;Z0eR{#sLLN{pDyl zphQzSK^#!1)Gf!11B#RS%h7N^iKcRbIH1_6TaFnA6bkj1qv3!OP2~h}K;coh95W6m zAnGfJ7)~Cd4dqZw)SpMg0VSI91aUxdQnws44k$9}FGs@xC7Q|!;((&1ZaHQgP<+&1 zj)ntDG?f#?0fkT9a?CiOfT_P64F{BHDkq2oik!OTm~lX{Qhzxb4k*!34uwm7d5GbZ zL$oPR5C;@Ob@P~UKtWS~IT{Wq(Ns)s=?!9qVyv$HW~@+D)o;Is z6-qReLxELa9%4A<5N*m6#0mvl-8^QjP{Es~2mk4g|Gm=%IkD zNecj?elj#u%IC9FX;xHG1r1UZs5L1HLW<(EZne#jqKK@&+8U%N(Nqo);m@PNg(9}5 zJVCfnpw_j`3>ON``pePaLWzcQC_3xQLky=JqD^^%ZA~FsH;)-E6qNOsqrrs|P355f z{dqKSP|((t2VL#Yqv3$!wx&Em98etAt&bT86l3+5qv3!OP2~h}Kyg>M95W6mxau!Q z!vQ6l$_e6tqN{E>W*kt!)K?BMoIFGu%Aw$>KaYk3N;Ks`S67wu%jH;AA(&f31VvO$ zxzXucoKQHzeKYxz`u#2ahCEh<-~9Q_(cnUnRg*#>BB)SBtd+v$ph68x6jn7U1YESY z`KDu4kAg}xa8an$qy+fcNOKTX)&5~-ZZ!*KuLPB8sG~5fNg05petbzkNw(W8KfhRh z%#HTCphgXo6sI+53}TYPvo2Q5n54+7zjHK9n!~c`_5dao!!AeBmA0kZ1DI3{H;)mM z>2`oE!3Q1l*_Fju)!Y5HDgjrA5t-?B;7hNcVz_yX;7hkV;Pd|y7e7wLp+wbtg9<(H zshp?Tuz!L601s>1}>8ms!jpiU38>2}Ztox!+o zT8sNC+LR{rv8^a}<3xs7QmOIg*^FV6ndv8r;m0F=J1+yHT}9?_e&I|cUsyUu}@QgUaQ&U3NDh0RpS?(enlE~ zDTm1(U{SMYskj`g9uKO~uxpO&rzw!H^%z}s6RW-+)T7~bzF&})wNrzyDa zR$&!CB%O>^-wmqKz)SLmqAAAjso_za52(@31k&a+fi#8vJvF!s82xfTs0K1l2b+%x z(sU}|YY6R_KqZ=L0LXdHKzZX*P>Y68^T9!y&I!D(EU`0K4eHS#N*PSn(7<<*USenP zB&bJ2sQG{)P3H-k9(D#_9@L{jlros?0ph$NQ?i`_elFl|W(}j}lZZ4OJb2CklQ}zs zuL)|>kV{!iCh5q{m6S7hOHhr5T=S7dnocFOn%U0aodHGKIflw%u!oKy<+Hr?UG?h*0)txCFaX4emeB6euDU`i}**YEU(wn52UzCW_&7kNLzTO$Q`C>$MXTm1wY@4n^Gec-0ls z%Hp33YSKVxK1WH@!HCkdIIn(Joh_FhVb1=gpeD_+n$J?wbTZ2)NBK|xYX3WPXX*wBEu?VLJ z=5hZm-#Qzs#;^T-`m`ezmC;}WouK&hXfBNsO?k+r>28INQ5@Wwk5tlhYU0nMVZ?l- zlBQD=b@iT8R}Q#U<7fPSRT^~6CoO3@Sn=o4phJnKY6hW0rz>tXL7VabDZT-M*f$*J z{|c6_0gBFIOqzm#qB9q_bTgpnxW!*f4N#P5C?|OSlGe^&6m7~A1Pz_JIJTM3U($52 z;vBYtZ&yqFJ9(sT^s z&!geRd`6O{gARWl4H@QBkTe~7`15GUpv03{S)9o3q!o+op@X%1g=L)f3}KAK5-%n*i$ zds&RSB9-pDycuA9X>Yu=OGf>+`|IaiT4UQGBZnewR3W(74OEHA@+4i@nZ1`=zK3@+ z_WGNzqBTQ{xSTZ=%!p$5`-@#yjf`|fj*t<+!RDYY{9u-@tZy*#TV^Gt>fw zD^Txbb9u;eg0mnD;8uC|UdgU9@ZkH-g#W3c0U}fm-3=Rt3e5&bA)4aodQGvedKoz# zIYSMD%lzs~5AzT4O$prRQz$=19AoMM5T;H~sQ5VZ)it#nD@9T3AyzevTKm#x>5lGH zkcAAFxS2+wy!3FA_JMT!dc?U%HsLZMdxe!>g&!(CEa6)$dE3J0_nxm9Qhb*YUlxph zJMk(aYuzX8ejy@8H`ejRa!jgw<*KO5v7OQFL2ux4EA7J9zE`nMM(#wi)B_YxC_S9d zEkD3#Wz@yq!s=ZYDsImzJ1c-wMY`Zcs0Y!i^h6zjOK0^$U@&em(hYXisxKF;@nkAe zMrI@Ts493BU?UBSsp7&+VRofNpAyK!GjuENSRNM$dpuQ!EAgsN z6%7!^uj?>u)CK7Ec=rpRo>?wU;o|kBER_bt&*uxXt5fCD9Dda|r(mxp0f$+}g;wep zT*R0|S8p=Z3Jv&ZjRyRGUPl>Oj+CjzSJ1C+OL4KV*5zoetHw`$Y6`a}OyQ@RXrE~s z-2k!2#Bh6=hbDq47;cn;_ZO7-Ij;-X!-pX)Ffj=0bzdS?-taY)>b8L)jjnDE(U^!( z4XGKT%kLq&HT3*m3~A>U zZu}q>W@z3|yPsQ%tzsKxKwN3Flc9C)rN_7lWO1dOr;kZN@l_dlf8^T|ko$I}7)}SD z@iHmqlvdoP*DSV^;_p<7ZMLphMn1$U5fh5=#ou@pq{33Z^IM7?;yF_Hee^R-{v?=R z+4r-u9}w1VRJE0y82zOy=)f24ZOt!Xy58%%Exm6aCf-|q-j{GaN!nYC zrd_r#R%ffK_70-G#q->eKPE*BQy;_E)S3FY>XVyNydYYsO@2y>U7YgMQtW2xQ&Q|< z>gS}`%hbVipenW~wO#PM=ZA^Vuigu=cSBeg% zeou-tQ-2^uCsUu3;xOy`BPqI=`n(iJnEHYg-7NQKsy64P=n+S$Hh&>SFH`?Riaw_P zN{VAl{f!jInfjs>Cz$#>DNZu=4^q5_seh6p!zKNT6#Y#7FDV9?`Zp;~G4&r(oK{rS zlHv?gAt}x>RVBqarXmvGVk7&aF^QYc6_t?U0#j0oA*O1j7-njN6eCR4OL38@O;TK9 zszHiTRsU$C6k|+nm13N!W+^T+wL^+4Og%5fRkmfP6xW#7D#dlCc1v-Csl8I%Wa>pJ zZZUO0irY*bl463Xb}8;Km6jsQnh#5Hmw88|$T8I;#UxX`QcN**Op0lyPDqhw>NQf# zFx4-`EK{eXxX08PDGE%Tlj1&8=<^3m4M{P_)QA-GOkI*uG z>r#}M!iZRA>b4YRrtV0w!g=pX@sN3wQmisHEyW|IW~6w`)IBL)V(PvWFEceK#S_-D zAjK=pTa@A{Qza?BjH$8|uVw0?6kpCc9!c>!re2cbD>(Iu6ko~IQz>50sjrpd4a|F; z6ko+C`AR9int5-K;*CswwG?k+>P=F74O3q$#n*CZ_9y-$jFG4*Xyd;?P-km4Je`VJ}H&D3{E z@gAl=EX8}7`W`91i8X(p6yMC$_e=3DO#Pq~-^$cSrFb7xKO)8Znffs)zKyAmN%8GW zeO!tUu;!nX;)6_mQi|_j>Sv_*PNsfVitl3T(^7nhsb7%d!%Y2>6yMF%uSoGdO#PY^ z-^=~+>r#9l^L|r`k8ruaEyedU?{}p50jB&IDL%&3|G>?tG-0UAXT6zBec*yWe!(-wxqR)t55`9MelISzymqect zza;vM_$5)hT}|$bKab;lJBJ(fc@xel=t^HoU=ho#$H?+h+iitxEd{6w8{%jV;Sn zar)f^c3V(=GK$r$)0N6M(3hlMwP2E@4@|M3Y5dp))3=shwOsdeRIjSeTimYGg4)Nc zUbSfYRF#IEbz*Cgy9Y@Oj~e;A9cG|SOE=AZA(-R#IYU@*6jBjvA%$-k>l z33)T9xr?DrNA;RQHW<_hF~b>}*e0*YHQOUFg{^75hrKlod$n24{VEuD(V9hhxHLKm z_ZwoY#@K_l%=)vE_Qf$)W%P@|50O2)=sJr}{nJ|%JXmM(85CV-@fj3dXYm;nU1#wb z6kTWW85CV-^Q4Qu>gK6Zc%98trSLkNr%GYFeT~jb!vfp9&gQ97^E#WSO5t@jPnE*! zY@T#s+7GO=c?ya?BYsKiGvb#-pAo+#`i%G`(PzXji9REKNpzidt@vOa`-L5bwFtg0 zN8hny0h3Fk&(HBE-B`xt(j1xfU790BQ>L0AOn#q)$nEnt@>tHa&I`zCD_GMMK+^UX z^;o58Zc;+thxS;|r^B@h z^QOABtoA+#sQT07mJHtwWXnvQ@Bf@2%b8|k_bY=eV(M}2DUr1dS)wYnE$LIgrM%15yBG`}0gOoUvo67e|tIs-y)6dvfb(76c!k7!w?V!3gx6H)?UZVj9bX1?a=I3tdQ3J>v4!L1 z+|+~CXzZ;x?{{CZSWlF`?-zS}JodKOJMc5vMO+bXS5n5_75l0L_}_q63!Fk%%7wYM z;gv@n=TOGG@d|@mhL)mKzBe9wPwboUs)zsEf@6iLduTr7{uX+>)tsmlye}U6*4X>; zG7igJD&?p1GsuTGE$ZxiHcyFvFdq9r>^pG#2P%6FAA4M+*9qyJfk7GjuGohXNW}{k z8(A4%vAfr{h?dID;%kVp@1cqqQk3HFi^sk<_L0bIEdC!}igFr*`Ysy#A#^RiUwExB zUA`w{KOA{aBKFbPkKpirv52?cs`s8cweOUS{aEbB6VQX-v}~ZyRnE22ePj#E_?;)- zjorO>aL{=%*sNm1Hsmg*4%V%5qH_s3zBXh8o+2OgXpg!X4MhjR0i)4Ab% zIfublE|wlgWB8C|ExvZ6@r3mT-2~sqI?v(t%DMb<>rk=yU}aJNZ0ECl0y zzU>+NOzhVav0sb*23qDfh1IrhLp%L{W@SP3eh0w!>DX`ATO#&ZbT_w=5gdc$SMt;2 z`6WR4cX7yKL;2N&6}o>f9{Vq`-zQM#7W!8TbJJ%^#d%@vgDx}JFcyU(sz+WWb$NoEp?P76pERWC2F4+E2SXXtN*?@2rzzsdi z1Y)erU&j8594~IbaD5F;1<@FOr?Z(SB-@DA`P@{g*qT=La%{hvpYmR$HNBK4tUeEm zkPf^=dE(V_8yrg7b126>-F}Cn?D7V+JA}ADTz~Qpv413g@=q8w|17LS>uGa9>S2fm z&Ht=NcKkrd*i@a;I;mbGi2b{?GEDuawE7hl7t$JFDlDy2OjV=vwW(dzNm^&EbC}rU zF=-7lm5|nXrlhnkFjXt9A*MD+YnZ8eX^k+o2{W0dn3{)vW8#6()HTw&XkDU`8>KbI z)K+PYv&Lp=U1n;Bw5~Aq{2HU#n_WByg7S}=M!reb1C3gyoWNq|s&$PlYn9dwrglr~ zCfm4ITDRDq7o~NZc?YC5!MsD#y2HG7X=RxLrq^r>x5T?F+a;|@rn;py#ne%0O)~|{ z@=P6<)(lf8r8Ub`Mq2ln8t`|tDnwcZ>poTLjIn&+%T(puou5or~f zcS%}{EH@^tC8jP*tHg3wrM1j**QHfv>ZY_-IQ6!)9y0Hav{sqAE3HSIIw`HkOifGc zC8lPi^)gfUr1gYz+?UoX%$t+eQ>GTA^<|v8D6Q8ruOzK6XR0i%*D>|*{|E|da1a!} zf&uVYTCZp7Wof;E%Y8*!U&Up7nY6x|+vUrp^+u+?LRxQP>h;q48m7KVT3^dK-YBiF zV=Z4Jt*>X^*GcQmEca$(JdL0a!%>fO?MCsXg0*1MSc zW@&u`>-$z|eIxVUFRgbo@7tyI9;QAht@kqZoznUyramOCZ)WPdrS&aLeXq2>m9>0C zTJK}t4@m3%O#P6wzKv6VSX$rC)Q?K*15Ew6v_8nxPe|)KnEHgYzLTk+lGb-I_0!V& z5L2I$)`yw;Ica@2Q$H`Q?_uf}rS-i`{j#*akEvgk)<>B7jI_R=so#*+4>0vx()vNB zJ}a#sV(NFL^--pNPg+0B)E`LeN0|DYw0@MSKa$puac_NIT0hRbFG%ZSO#PX(euCTJ z&!zQorvAIMKEc#qO6wv_8qH|DUvenyJ5+*3U5YkJ9=Sm-f%n`dOy_ zr?h^KsehH$r&;dbrSr1b|(?UB|WGPO@ypJQsjwEin=IVi0^VqTlH{+Ou_X?>ol zPHFuK%XLZX3ruxO>rXlLsI>l!sXl4_H%>h+tv_e#q_qBmsf@J#JIf77>whrsw6y+` zsk74hE2aje_18>Ykk;QYH7u>aW$L1|zR0aMDy{#I^^HsG?>O~}wEmuX*QE6i%)242 ze`M;GwEl^y32FT^Q(0kMd-mx}UxoxGH+NNM`#17%|Dya_0>1cvF$G`zuUsyC@xO6B z;EVsAdGN*m!4!P)e{w2bnzfjR1##r`!c@;A`~)}UtmD*{dLW0*asodE-RK(O@@WO1 zScxaf33%(>PBwStxm;W+P2~#2T^)7@jA@qj(Mjav8n<5 zlA@qp>I#TBN6*z;+?>iVOtwbAm}4k5k?uy&tyua9IAe7 zuR+jRfNNVmCuYGxm}!+8P5tf!0wW(t;0HK|wYn9`%YBvGK5S*RX5t06_;li%6F@q` zM#gphVTn)zEy)w_mmVr~L@tFHgDXN+JkQ5Q7zFUeYQ{O8^jH!=E5$AFJ z15zZI_d`-7S>F#!%owyTO#G-6HB9}u6t$fC6H?SM^$969FohqZq?p2wQR+DdevGn_ zDf}2^6Q};X6q}j)MfxRbu*>&K#Z|RGPW*PlI*|Cygw>`*3}GYn3s+~TsE%=wsh-?0 zmiznLXo12L^n0nQ!UFvf_=V_JyU*H)W!IdboveQ z(FAtlDZNje&`RRp5{Z9J;I_+V*9d$8kbg>FC_kh-vx@|neZaB#5(KTIm6t@gwUzHp z*zYw0eIpP7)waQfhsCKJO*WTvB?N3wKbp#`xhI+oA&BUh%#r(OvWmmHYNkLtVIX=c z?dc;KNk$XN>SRocpAa9%s7oe*Sx<~RB$~u5AK~Dj`ni5kpwH-6E2LzyHd&Vtf1TW5 zTb5f{&hsw=Jy~}w_sL{^a$_PHP9n-6-O9n=Mw1P~8bid{9VGwPNM;Y6cyqEbk=&BR zn)YJ6ftq6*0uwZ@Ldos+?AB0SuPgiEs+bwIbeckH6`{LySS0+^xn( za*q^$!;QQTBNnhYsCCGz_Avq*)k`b6Ir2XMsG{+AP2}Axw021G_go;Te_;x%GlBn9 zcA^j4H2&7QAYRC?5^Vhp6y|3jyLSJDg0JVcY&7rNd(-1N&W>CerRQ@Cx;uL=c^vL6 zi3OeLm&w<}PbaJ$$xN`+3PW)Ti{GvzK}L6wL6@cYDvN7y>U<2kCp*AFg9JEekpKrx z65znx00;I4I50TCfyDt1Ob&2h^E3GshPHK+fqEGu8SpHY#)NgrTgLof4uP)_c^4dy?y3mt zn_`yHKDHsPG^kO=7A^A3$d@0gTjqnaM!aFxa`gvye zS0jz(!}J87 zxw4QeJ*M6C!mLbwoE+;Hlb@)t7{j#+n-e%Y`cpAU(LM89+_6a$d%DYOwNG)Y;ov*@ zbM;n~TJ5K})qVl2U#z!chE}7VyWe^kadB>=U#qYQy-LOaA@rxRmEl|hHuZQm{Wjb5 zSs3s;^;Vp0`gOMH_rUu7dMi#?g8cttUcB1a!ZaQTlnzOs&}U7Z=n! zLZjYfsFwHt*K5nGGWmt%pHhD!O#C%m`kx1zif)bfLy)e3uXmi7ij$ow%+0k%lYgmS ziQ^^E&N2Dd@#J46{|19TvNHkdi#TIo)c#$Cdh`Z$3SdEhx@6+*47z`=kc3FUG>i_) zWJ3=mf{d;d59HvQ({~dnM@7x)((gbHt~q_}fO4Q#9^wo1ZFsuZ3YYfKI@{gc z;I*XIsBFOSchN^I>SEa#ms=z@Cht>7lGvEMpD6^}->wiX5o~`KQ!NBL2!EI#u_t-S zgST3$xdr&a?tdycZva%pVV^NRsMN{Co8|5VtlzU{BXHl*C`Mz^nAqxex@s(1YT<6T z;!2s?Ywbi^kNpST-00V%8r{~iR+62W!9gE12y`rZbnlw3Ca`2dg?&SLEI)gCfz|@( zWZt7+! z2QY8QetF7w8=JqE{Z9%VUNyJ}#i!+&1gtrWWgl8Z?H=(r2x)9jaW^6@YrlhS9+Dx8 zEgeBl)L7-j-%WvjK~7`~g;{u98xv$eR9=yx7^hu>B8)Ai2!C<64Ac1A;8(hwo6k>U zBa6dOZnrx!lw>7$kr6{uDXAQq3&r_7;wxlOEz9R+Xd_dzc$twV+lbnWg9y=_%TFKz zSUD;~4V-U5hPE-aNO=G<&I2MuGbBHO2vlMPZ`jSkOUTd;&c2G}e_>&2Ze=>}-m1Cy zpqwp7GECM4k=f;ynqNfHQ$WEzy(S=qQrIUoB-)s!y3ZB5Nsi+_xzKUiT;#gs-L6A0Lht_*N6zycf$v$cah z_Rf}kc%?3kGfIF;`U5?F&2;-dB|t2YfMJCMn)vBY#UP`*@hJg+0vXW+0VmL`4JRl8kU27}i@v}CUGxQwK+{y#iHoI=Xaq#L-{@Nyq^hD7=}vk| zsAxsHgq{+(73otEe#La1Jf&A4fo_MV1TI*8yTNU2+Q86F@JOd`D+DxhzuADGZy|Wj z)ER>Lg;82UJj)EuAua3U+=qWrQQ8r_d%inJcQ3BhT9 zUy+81|8t!7Pr{s8l~%g`a_VLkor z%xj@34+!Qd4@8KzH2eg@8-!YkX;>WUU`=>;@Sj=Ji!yYWc?V>uo2f&j4`^q7AVReL zQBOpKXcxp!6_BUv=e>R!n4i8>F14S^Pf=_Ao0>0b0J8I3b}wEpV%{;@A0U=s%*x}^ z_KxHWgHj!K0sqcw@zP>KE#9R5+G?!R~8H@3Wp zYT1SUC)WV4+zfN^7j-CmqIL=F*63p!ff6d*4&8W8Rbu9cLaEov&ePR)z+c!pU=pcDf7) z5Fy&|@)L*W5M2NPw{N(FJ%}V5fE46(%d2C}{z~AonhL+olztya&s7=kIx=79A zdMk;jP0g~3+SEJ&>y>&-Rz_{;=KetY!cMeWT8ad>+E-LaFl6k>gQkjr2GrXdjx=2y z?Q&yFHE(1q-vpDsrrxR{D__r6emz)kuD5CpRst!osgs6G#UTTIESMS)rP3dmA20{d z4w&PGx@z9d=DY`Gzqj71BXi!#=6nlS-&${NAagdjO|Q=7=%d202XBj1a~pl2QUt&t zbL_T)4M811wzvPa)q2hJVYchLVZ`^;TPd>ZJJ_y|fc5_~_Z|RJ)a?Iww%*)s?rwIj z$$lZNbg8T1VqXqNR?hxz>Z?Wg4o3dB1%0$M6h?oMv-FgT@<_gpXAAAH@n#( zH~YQ!|9gFpJjvwq%x9iFlgwnEWLG)F(eVNs059l@d!V1Mr~VG;wNFrgXOuQle>api zQU94JK|kbYGb`w+Ao$q?3+6!ZvpE#Zf#7FTDVPHx^|HYJ?7E>IOEeY0UsA8TN#eR+ z!|Q$>F7geB6te4n39ow_6us?`BHeWZN4V~05Q~HD0UHQfDD6yVh}77RumD5$TPmrS z0N0<&uz7;vK4kbI(D}$A#f;$x$naAr`ph9E8iwqB6&R+w0ef|2G|*kxFRrAr0TZUM zY1kma=6huG1DyIthmsEM*|LKm>u1$_$!^*#PfZHUOqpfPs89 zYCSUjAT$(13-?O*{6_H1xCYS>b$b^dYx0k${&Hjo5ea@a*M;oT8-P86fPOY2vIKG| z>>4$q{?Vw58!LW4vlqeCs@U3WXxY2* zvd*CX2?&C(V}ACki69VCsi3vl556!en(Kzg_q9l+3-w=sTDvi=U}Ds&u{E{=`*|0p zRl^k??$@E#zSKVzwf2YFGav$v=AQ+ZL1*U=r2ZLrXb>D4J#5@4nm+^&!8qh+FT|Vy z6a4JG7;_*L43ZOz{3RwQe`MOFHwK5e3hf1t7qjt1?D2xVNTVhYQtZX<2zE*00@E*@ z-4@W*fU6GWU10uX>c0qePla#Mv|WVuhwmZ&OR#Jv^mVasfWf7=( zIrU$QRIa4tT)d10usjE)YhVEmO4q^%Iob{uAz(}1z-$MXkG2EB&%PsI4g^1&XTTf? zem1#)IS~A8jsbJ&&eFIE_1}Pe?xOx%P`ZcuZ$s%m29LWq6Z~xI0F{B@XLA5N$Ar}8 zY)buipqfWu`2c#uqYzk+(qj-d*fL7K9<4Ut!@1l05(mPf+>;7Kxz*fsoA-6Rg~*@*d0>B+h4E;UiE!idum@D#_vh4b*gf)l8J3zoqgz_TdTWs2gB%mhE1wL-P5k=aW)=0He2 zNek+K0o62xC~>q43|FoBtylmf=gWAMjhyT?9u+bFU=x^_)A$FBO;gdJFnXq(lMOYg zMG#w<%L4%l;AKDcAiT-tpt8>C2VuVGLOci~YAO<*0cPSg!3%!sK^W_2;lbYN2jS^! zHXiJkeh>^a2M?Z=c91>cl_^6{pBZDOj)-q3ky8NUa6$Zd`*G#t%VGC~f*}wsjC1xq zCQg74dj&(`qnwBi=Ot_mDj1eqaBjhH7##bQPi38CJfb;i*+C-Q3+li}--3|^<*?wO zU=%DpNsB~ME9Vr9hR-zR<0nm>#p0q4r5tphUobXOFs5LfkF-hL(Bhin>ltuiOFWl} zDTd`2Oo$W=E|_SCm(u)6(S7U=o?H+vn8J2Q2*Bq&zWSur_!0eUO_{{cnJEk`&V4f5 zWMX`IeHNe8qJEcngjNHg11@2D!3>8~E0_rm&3oOi0!5cPq&h455sR*XqAMLz1Bwcc#UhwtD1aG;!&y-i zEP@$^0+?Yqf)%yKqD4@2lS7VV*HDU09KY-~6JbMyez3kNr{Gq2=IYm@b6@toI721i zep|tk+=9ggw`-}T%LAz)l)`Ir~ zzX$BxoJz&eH2|G27Ch{bqY9QOOJ&6GN#P|Vw@G>k8Yp-q0;E<@|28DGk`}Z_QZNNk z08#M);3s(S9a=B| z555Nn-*?FI%p86;*NI&(8*bQ73u6uhKbxh*92;)fq#VyN!O!OAFvlJY*z_0XK=89! zFwC(rgUv-@E`7{kkB0Dg4*#b1s4$p(j>NyE1z#cY?}7La4mp7nXLDPq7K9Wud$bHe zd{2XTnm#15N0}hZheC~1Fiewwg{S_L797B)`WsIDk3&wxQ^$uZHldY@hKpo+VZQq! z-mlxx+oaZMO#R>TGuUBj!vZL1Z`&#e+qn*@jc16r3i~DBR5V;Kzc{!8o`R86s{o3T zfbT08BZM+!@&XRq7d_h@TuTm#68Cz(1e@2^dx9 zA&Fzs)xcB1&uEF`s9h6DoB$+FbVyw!5%)pO>=}FH;U|Y?;Ay(C*y9Vc1dQHu`Ot2s zTdNsN75s`Mno+wUZ*aQ>kZ9?UdYlBC9^<~ogcM6OYeK`1hF7vCYy}$&;7M8op%LsS z`P2nx*yB*Ut=*2*Z%_Td<9Q*DrE_AqP@iM@8*}2RR{fzrelYd5!uO)x8CIOxUEuCx zQ+h}}{T^VCUCcP}^fDcJ_e{S$cqRJ>N%o<34>V3cFiwAmoP@@S-}`LZF4Z{j;CT?y zgBckxK9bS20yH!u15Znrpu51+NvgUQG~h>d<-3!&lY^c#@%kf|tfr?7Atj!M5{G(8Tx|C1K5sScUq zfjlde3p=wIm-3XrE@x8vm}33!Yf3R`mxXSWHg zb{hu2KghxqV4>eg-l(a{(%XZ%aZ-@CULUTbJ7hJs=t+qvPu4b)2bqbBfEG>)JWs18 ze1m;XZblFJPZHQtbkL0$;%Cw_!pj^N(Fn1M=U27;eWMq>^HKl{9jxpenz*?puH6Uur(Y+S1Eq3`PIe#)PB}}4t`?MejX+SVc${ML~ru2@i{g`=Hx?I zXS{&D)j-XcqxMVoDWgUMmKKad5zkZkAZl3`)BxcC5LhKAbabv_vhNb*uTQN3T&+i(gBOKOL}+W zfc7vzfu=u`-<=W%xR$?j?Z0e@w5i1|9Brk2QSLTo%2f7ZWd9cdz(MFjT`G%Z37}}= zgq)ZU+IBSbr!*GuZH~nJu^`MiOdVHi*oYD3Q>L&x`w-I!c~P^9aZ0Ik7??nXhqy z^o2=PSHkbtSaBp)6f1##5BYT;22(%nVO{^^oY-L*u8Z|Rv9d_4S`6Zc+LI2jokHwz zxZ{U5q_HDeV->}YvIsnF`iC~6v172LmPKGP%s;d-jUA6ACs>3%I}U9^V|B2ku0^`C zlBP6PA4?iognf4!+Kk2;V@VT>bY~^aX{?VqJiU2V%;yH=I;s5wd*ek=Sx<`*HzImC{Q;5#*D zIAy#Kj8E_IJwRjS4rve@Ws&|a7sVdk;ul3@W02SRz-z2S8Zz)ei=1r{FwJ0#46(>L zG}QrxhOYw zVeH~a>_TfFe9vI}brz+mg>|Aiu}gepV0^`8(wM-uhROPlV2jIQm%;gCms?~gjh>9A zxC%_Mz#)y<#irl1tO4<+U6@M>W7oo+#J;N(#;&)>xior8^i*a}n3Rm&WJgbRNR!ws ziwvjHws_z+IIzSaOjdn!Rk3#86hqPp+u+p&;mac}LheO)MpjFQ05j-)Nfs4TmT>Iz}8o+qi7(BJ8v22YJcdX;% znjC*Y*a$|4JBpfT^@ofBxF8A`P+ay1RK#7sRJI2EP(7P-bE3+?a| zG`g36A8?w0K6stXlOInyAL8pty!(rPMM(L0(vW>TVLDEsPIIS)L%KUH;k9QJtnL{$ zVsx$X{YP;9Me$xM(Tlg!VZ$Fgr&;7$8vPn=c?K|S?T{YKYp?b*;He++Ff-=B%vgVR z%d|sFz=WiFB~2P6G>u11696{RAp@AEFlvHNXU-IdoR!X`Ns=X}BYp-{p6QT*3?D)K z94NZbA!lpwNtT?8_<2xyzC#8vd_LkYgQCkFGFXF8vgB2WUjUV_cE}KhcMyLq6kX?# zbC@MC^3%Byel(s38#p&va7*^JyRGS8#K&dVbJc-gtG_V;Z zm`OWY<(#~xIzhV;(ryeKn>b_`qg@YaH-{n^GKMqSD}CfBRoh55>&sVGCv=^Px=sV& z=?)pebTvd>t)ZxmLq;-P5M_2JZ@t0}G_V!fcA~TiZ3<5&gS!Xg_ey^B9F7s9fILRhvii9HT%w8)bd*<_KYV25SY{SfT1jM6gL zVHqXmW{R)U!-cYOPpa%QE;>Yf1#RJ@h3so#;bXwUf0)_M`NgMWCO5#v;#JR)+aa@9 zt+iO|KPWorklCzO1+P^EZxKcC7BPp_+Q4fS!COQTyhU6HwFrN0^%q4b-Guci9L#gb zMXdg2tZze6%pn)E`Y&<1MMbbRH%cY2MK(%@!4}ylmC*oxcrB`7k=J3rY%D&K2Jnk& zQB4}ekD*1-7r#M+`1PmgIE%bVgBG_}(TNs$iw2{Zfl0h=7J)F)xB1uJq6U<1<&Vup zjeuNZhs*`O!F;UTj0R&U!7LsO!S7lGz6HE*k?pXpHusI9Ru7TIl)J+P@Z7IlS9wNdJB5r{aw4b}97J-$)uZIOKz`OqRCSp){_Pb>oS z^Pj;M+lYcCYoDPsga(U{+)#_a`1*xKzO=|!76Jd*hq^|wq4!DHL>m!fXb?XP6^*4q z{I*jx-Xh=7;E|{Z-on47!J{!V#UkI);IWvQ4m;YTPI!y{9wwsYNW*W|q@~vJ4uTfV z;X_RktTiiwwPy3!Q1deS0X*Fn!PD)0Hq`u(cIWe9i>=yDsJa|gK~Pr_1a)1)RK1C+ zAgHSdg1Rncs(!S{Pn5pRZ?B>o;J}Rzxs18rJLr)&L(we`xttZfhj5rbDT4WvE0~|} zz*=`g(OnL?lGWOUweE$Y`y6r=tF;GfJpe@yI%EN>wGV48gQDdQxti7b7;8NWMJpY0 z4XgDj)>;Kcs~xfsY6VX~soH7$t&D@gQ_VKjOg`;NXi6xt<+tfd^lq^cOt%8XSDxAvdstr{KZ2DE$o&LI+j^ z9oUWR;OThqeMv)yun?*U z7DC<34t7N3mo(3Z2fv1c-#FwJcB#M6U>7|81I-KKX@7#_KRe`Bc6>h#cE{tt(Yzcy z{yQB1!y${A@}79`Z<-guga5+8{~U4~JJ^RGEcVg7JbvclKtZ@T=#V8$^RG16ACFr! zI1r@>4Gu<$(mWe6`G6^K$n6aC8zn#TyP~*|2Jr=y%+-v~>RBntW_3J{oYxIOI+?HvIwbYVlcY{_zX8Bx*Zs3Vc~8 zKAyd+X^*j)(&7_yi%%%74g1XV@Ze$yc23$j8|UlO-m%#1XkwN+J_}!bQUp}h&u|5> z(mHVk4e<(~hb@L4_AYh>f58U#NTIn!{X&H4w zaVy?06rTZ*)(*J``h~n!{5C6Y2Sx22axd!_{!Lee%cT>FI-??ZvMuiFko%aTPN=8{ z6rJgirA*O(7CA`sx+2fMaG;+nL>;p`DFVsE+iq3J! zgPz9E;AQk;!;o=*35)PmP5w$?@oT3a_PXOWfj#mM=*2 z`tm-o7^W1+~DVZZSOSu1uf#Ynhn&E502o-vO2HbjV|@a>|0q28kJ;;(M?*^zp^e z$3L#C-8kVW4`A&Fq4q-#S*5F;n2jl3jtK7DF)Y8cpqn ziKpS>wOD%{)L!q9walr0N2h|ZqZr1Hb!?_6<-!{#+4Lz?uo-GU?U41l(PQZTpJEt(Ht5JDX7q~RLIvBP_S+8G$Q0B> z1@A%8`wn?hqo7ezv+hI%us>lj>`%CfDZrQJVi>84VWfIWry$9`AESa#p!TN@*~}C) zMFw9$(U%T+TBjh%zTcpNZ=v>g4%woySkktS#Xn+g80?B+u-nQ`*8xxWD-`|akY{wK zOY-_ZP{E&2`!9z)%M|oP1uzB{!x;FSPC>%`O7Lqz2|VYQz;pid8orGa_ALqX)0N~v zZOb7qu+xp_rz?p<5p~Fm@Pt!vHlH*vDS#r|AuqA1?G%q}l4$gjLeyCVwTm6{GUzPW zk2(*7qG}F#MRN&F6P-;-4ODPA)IP!?uWBx#Y2scksfo2=U@d`x^))!1jdQIf$3fBY z4tX6efz0O}Yf0@i=1mj+UQ!oT)dSo~4tayAIs%zDgrY_cc~i$c$@ooCK{Ke`+#zo< z1t+0`lcDGohiua*XqIr-lG9N^E2w>jL*CY0db5OYmbAs%FiMudDEW@AcH-VI>4ddA zL+vgOc~@6E;fN*Ou{I2xB`|Qlr>mXR=Do3YAE@2eA@8#b7k)GViq3M#c6Q;3_l?vT zRoOL{3_?wV0XD=TJD8>m(1hng(J+VXWTR{}tsR>uIZ!$37zKdy9I}h)n29>hha&jw z{eh+#nkV_x1XM5)YEN>=Zl>TuR4@gKraEMgPC=5bXP|!8pIjq zlIv*jLM*!hew+kvfJL|iZsG?cE}$hh^U2YYTWD}TR$ol*tLz2rWJ};=x7#*+`TiK* ze(Y~SWK1|P+fKbz)TEs}ied4$5tSqZ$HeFhB^#0le)SJH7wclK+D zdmV6ZIOKD7Kh-@HZx)-IAiWJWz{_0;yxe`kNaq_UQ9j|8Y)2*V5?BH+fnPEu1vFTJ zSH8#c*)+HjGap*M7!5v!nNKXAL+zXR`*6wU0RF-uUonrsT~$ip?XLvh{=Q}&u>~RD zTfRd0ts8VZSP+|!(l79C_imr4ozYz{wK>W81w;p$6+@UuB6h0_}*aj%zJ{7x&)EeMxJ9P$mj)9&JK zUYZ9*`40J3bE~#U-0P(=ZqiZ*Y8N`>JLVBMIbB*pgYO~1!)S09N@X;-2c;S`_#sM1 z&>+qSmmWofUt`(PH257#wP^5Xl#ZjpUr{=N25}y-v^EX?gJpGT$cNHNG!#au0S)1l zS!p90vazfQ4Hcu*jE2fkYC%IsqI5D1;nY#-sWfyvmYq&RwNW~QhU%l#hK8D;1T$s7 zqMc2FuQ+A^iSUI-G_sz_O7vG##Z;G&BdL(KIv>hL)f-m49QWy|^V7GHI4dJ(} z(gifM7O7lALwJjqUQ0t8vFv&pdKxA8fLx4*yorXM!LnOu=y{YD)6gp@Euo<|QM!YM z-bU#z8WJvk4-M_WvioT01C;Kkp}iD{1Hllpd!c zoTV>aO+yE;Y%L85w_i^~|6$n^G%VbHBMk?!Y!eOVptPBWBPea5;XIU{pAUORIJP2<)rSP`%6FYbq>UfKWtE2QbwO8_4s?v9%+ItT9 znN>Ri5ALA$lXwtbVM^f@=9fZ)Y)ug6 znH1m)fkdA3WBbvGJm<%Dq!W40k1e7RdCrgRN+Keqpu$a8*d z2QZQ6{Ma^6m}gR;A={)z667U6 zCP7~EV-n;gKfWBeAzQtR{FoGI$hIC4dCre5-4%JxkL^Pu@|+*riA3Z%KeiW%$a8*d zHxiNO{MbetBG37;JvT(2^JBYih&;C+TiA+uCIuR@WvC+0`LTU7M4t0wYfwd=^JAN6 zh&<=V_QDW(&W|n26nV~%EzuTv&W|n97J1H(Ez=fx&X28g#5|J%4cSs{k>~u_f~u_f^CuK{Mb4|k>~u_s%Mes{Md>?k>~u_azT;j{MgD}k>~u_0zS+$DbSED zQ5AX4k1fCxdCrfm8WnlYk1g#KdCre5brX5ckF7@&dCrfm0uy=8kF5g}dCrfm1QU7A zkFD~;Jd*+q*>EB9oF5x7M4t0wLx#w6er(VXdCrdw8zRs7v4KP6IX^aZh&<=V1`m667U6CP7~EV-n;gKPEw5@?#R@B|j!XUh-oSQlOC>Kez;W z$&X2pm;9IndC8COcGgIaA6$atk{^>GFZnSE@{%8uATRkb3G$L3A3qw&@q364vCeEeu6#}6(A8p-j4OOTiL zV-n;gKPEw5+K)+)m;9Ind1*f;L066B@*m;`yrk4cc1_G40@ksLp`1bNAiNsyQP zm;`yrk4cc1{FnrJ$&X2pm;9IndC8ASkeB?J1bNAiNr6Uk{NNJgB|j!XUh-oS667U6CP7~EV-n;gKPEw5@?#R@B|jzw8p-j4OOTiRm;`yrk4cc1{FnrJ$&X2p zm;9IndC8ASkeB?J1bNAiNsyQPm=tIv#}6(+Uh-oS667U6CP7~EV-n;g zKPEw5@?#R@B|j#`61&-m)vr0#u7D5MXWiuI7dDPwafr zMozVbG_o_Y3no6RUB`YIBXPp`4*^CFM1E(7Z=}(&(Q!<=iAL{1=@uGYi_&5meI2DG zG;bhEchJ1~DBVT#7NK+x&0C7neKb#C@27e1VcCN;?@N>(rjdhui->B=X|w@KD`>Pg z-@~HXN*W!3(&IFG5lX9RbQwx(Y4ll?*3;;_D8V|2Z&2Duqkp2biAMiL3Bpr+C~cuI zeZ=-Fs`d<}xhO$=N*+oO1Q$c;B}$7?f&i7eD7{8$1C-vN^lo|&(*hAH51{lmr4OU@ zE~U?4JqS^G4W%74uLO_oqIt)ow43HN$D?~`UK^A?qVgo zwNUz-=8Nn7m*%&?vV%0g6_?6@g;EYJ!1gH1r3HUrS(Ms%-m*Mu zqjk#)sC_IRjZwQPN`=%u9i?JwqeqmLQhN}VRipMul&VvE5=w_tdj?8JQhN?cHK{!p zrDLdlDfh6lW2t=|w|&|1)V?026RCXzN_D7xBTDtCy$GfH)V>X|4XJ%EmNlmK{U|l1 z_A-><<}R!?vV2PU&Ze zYP-ZKa>`(_?oAr?-OHzro;b48un8l_l~1YFZQ{hS(l9P(u{j$iFAsqoEu&2Au+tE1f4yD4)!Kz`7i=kvccmjHcIllVyx z{=&rajU%w+kemuz*})P*ayn02ku!MOTBL16+E%3PSPI{vVO2P<*O7GMX=jmk5ouSE zb`xoLk@gVjnWQK2m9+z`pY($B_fE<85%v0tw4X@(lK~QU7T^Y^#c74^7FRo0K00=Nq1qACZzDnUXJ0$&X6Pv+F)DB|kbT&(1f7oX_n$R`4As^5c{0 zF+CH+@rg<03_nTKzd)puMZGCW^%#C?Ql8+3UYJt< zB2j*^Nav;;pC`)ai}VtaUYgX-mjREqvZxi_?B7eI`?-1#oBE3tbcZ>8MfxB1a?-S`# zQGUNj9}ww-B7I1t4~uk}NSBNB5s|JC>7ycDDbmM8`nX6}iFCC{*NAkjNY{yUy+|uW z`h-X~B-xkw*G5tPq)0c3>v~G0n??GxIKD-sTSfUZB7Ih*&!y;pJ|+J`O8!NGdr71( zi}V$dzADn!MEbf&-w^4WB7IAw+XTP2lg`8Lmv=<@yQ1EEB7I+!Zx`tfk?s`7cZu`^ zk?t1h9+B=9={|w`P~<-n>Bl1dL>&KAq@RiM&qex$DF0HVUy1Z==m!p|F@|3Pty6Az5f;E z|B3XVdK|v>@bSv$6RBUM0g(oi>H~jYC@By6ec_aRPD%2VhJMQzNjV-B=cQ;D zU!F+wMR|cpZIQ-A3Tv&{xZo=kX^|)|7HNsEG(JxH4ug8t`1sw%SLUk@xHi5TzQcKX zgzreM=O`TCeKkdTv`CNf)#AsG75U>tdb~(a5b22`tu5-;5&60zUr*#u5@~&rHV|n; zkv0-(W05uy_@*LlCer5Ocne=k&hKQAo+8pyMS7Y@PZwz`k)9#a)BIz*)Bh;*pXbFRn_6X|e~ju7cck(P^elt|AL>1dIT5$X9N9V^mtA{{T% z3F5pHMLJ28Um()SBAp`AsUn>w>Q5K>86ur2(pe&%Ez&t6y-?sT68VcoI#;CgL^@y8 zyF{dyit@`udbudSLgcR$?RJ&OFA(X~BE3eW3q`$aMS7h`uNUbJBE3pNN*N; zZV~CNB3&%f+eErVq_>Oo4w2p|^xP%VyG44BNbeQteIi{d()&gFfY9@xNFNgE!y;WK z(&Zw3M5HT3`lv`(3i~}K@{bGst3-aaNY{vTtw`62biGI`MEZnCH;8njNS|bBa1qJ% zZSp-u{AKN-SGbS_3EQZ<-jlvp2PqtZ(oJ) zlkRnD*RAkseEHn ze%nj)6)L1+l9b~{s?eB}x8_qx6-iRXZlp?#N%;@+VyPmGB;8X6<4J`rIM;4 zNgeJ+3Zn50N%@cRVyR-qQZ*&1quodyV@%4MPpPD8Nm9qUkvh(pls8M2D3&^2k~+bS z)QRS#>Ue3s1q!LUl2ko6QYV>{@-)n-r0Ppj4ctgIG$!Tq^627Qs936zB-PlB6vT;} z-hG~$PbJkY zsa9^J&M+tC$x^EoOSP7y+PIN|g$|~U?#WUrsdkc7dpA-Y%t?8&)RT&(I!aQV+(>mc zC*{dfDyc4#R981r-ONdOveYKUQr#t~9&V)0G$-ZBQYxvQl2k7@Qm|&HN*(<<#ZrAF zslINc`c*Nh=M_@@C8+^!q|P!Yb+(sB7nRf?NouegsUha1hGtLdTuEw}8!6atrAmG3 z1*Q2$NKzx+NWs1@rldT*jJ~9h8YM}c=SB)P4lyO=sY`fSAvH#lI^T`d*eWLVib86f zBsJcR)C6-y*POzL%o)HF$Ix*Mq( z|5H*kC8=3%q-Iw!sW%i$&5@)obR%_8edFow-)TNTt zWp1Qkv9Iafccm9gsidxwq!zf5f_2BHq&)TLDyeHEsfBK&uKk~qx=xb1-i_1^=A;&R zX}(>GPu(O*-Rwr{7IRXb?!FHcQnyM{i`_`wW=_hJrBqT&B&ploNZnDzr1mP7x>J(6 z%Z=3C=A=9|-$x3mdnBoQ-ALVMPRdjBsic-lQun)&dZ3C)eWFjA`fT zsrf!rNG+43mb;O9q>4#>u8>+GNj>UDYNa_TPd@dvLh3O|>Tx$xtISDxYQCQpQmZAY zHEyI}-wacq@?#`q&7=ZPrH$VeUVH_d1}6Y6jECysb}0s!S+g~q&!(lCH0&n^}HLY7pj=l zLB&!pN>VSmk$SmmN%@IF>J>@qRX0+vnUi|M!{e|&qL6x1l6uRH)V3-n6;(*RElIuO zM(W)vCPfue?@3bcyOG*n#ia5SQadE6oo=LdRWYf2h13U<)NVIYuxFZSKjq2MmGC$J zUP)@78!6bntx8F$;cp*FQXjjK`lO0U#gyjzRFeA4jTG$oRi&0vqk_JWq`q_`1)Gmm zDJeAo=W9vo8#hw04_cLyQUh?llcc_PBV`^t?Dur{l`1~yeG*iwfnq<)d4 z_Pde#wTekqQ%LYzC( zPnN2YOe#R)qyjz{QUSj?DNlFb;R>mMBo%Zc6*49j$noM+rzxZ?Nh;Tk6m0cq=u-je zh158ORGuW2??$S?n3SiOJpaWCDO-|?xsh^=Nd=0$SV|>TEJ>BPkt#JORV{l`Ws+2N zH&QjsNgd&Z)Lff=VLZxxgJRIyY)NvgjasR8DshI;WS<;!T` zEJzF6Nd*RbA@!wVsUecoIc}te8k6#SvXn~dTuEw}8>!({OzIoOQX?d(k#3~Q z%}IF)XeKHt84(+Bi-={v!*1Aldh4gYRV*bVVgqgwvFs<|O-Xt3DV3Crhz+_F7SV~632HYZI1C@w~^<*iPl#GZCxJASUsyHH+e6LtaM#Ki(B4PuTh=}#{ zHuHl*N=C#6+#+HFRU8pZR8le`HsBT!8!(TE4b1g2%&4U1$QI z?@~$XGB;9}o0IYq5ld83S4dJ)E#c5?ldRm=_x@8zzN(XN!{&6>Yo28se2`<``kz^H7DgMa$kuG z3fwPAJ>W*_L32`Gp3!|OsfQ$~huugmGbiQcAuom0a!KkDH&QFiNqK5MzjF6IDoL$$ zBlVa$DK9;`FIOS;xFog8jnry$QeK`CQb?_lq}IBTT4zqmOFxxDYP}>?;YR8Sb5foh zU2VP%lGH{wQcs$b^0X3CCACSCddiK|W^+=W?!JiP=ub;hTii%(H74b4TOK9SEbxpZ z^{gAI=c<^L5@{BAUXpsjjns=(OiGC~3%n#rz3fKnl`1CXC_eS7B=wpbsn@HRRG~uZ z4N2-vH&Sm^F)1Y`FR)FLdfScEJ5@|diOCDRD@ncQM(X`4CZ)vW1-464JKRX^tYT71 zOkQA@B=vzCsoln;{9fXxd`e7SV2>oV*NxOZV^ZFFbR{M)@S!C2ksGOxjY)a4loFE{ z_(YQW)Q!|<#-zMiN{Pt}d@f0S;YR99b5dSDZ2L}BI?t~pDYxBp1K${v@-*v`Lh4&d z>N__}eQ!+4(*#EfsUIY%AKgg(WK7D_w;5j@rTKoAq<(QDwcnhS?O}c@h19Q-)NgL2 z4w#ej^on(|VyWLHsXyFE{b^3h(~E;jO2!Tc++v3Vm53eoGP&=CQ-xx`ft>rNVJX59YWydeEw3 zM{lE$%9W%dZlt2-q&&?fv{gt^Nh;5cRK7VWPqQu^6jB9}l9W* zdAj=sDVCB^)!5j*bpFGE2IvW&3A-b^Brm4 ze4ggfM=GR_lB8<7kviI(l&3D?JcZOTl2k1>QpZ*?sWA$v<0Pr$-AJ8aPRi5t;#7sy ziIP-pH&S(sNd@b9dGegDkUB|{s_#asfjOy0UP#SQNHvzEnz)f_TE(PhDx{i8QqA2+ zwJ;~;srhCpq*_W+C%ch4rHV<-R!E&HNuB0K>hvlmHAf-UN|HLmja2I@CUv1gO2(rH z-Qv-M=JDv>x&&o0Pq4kTR0lUpb*y4bDT{f6og}HwZltR-jAE>%bkkfhFXBQ?;N zl(*SomDJgi)F3xfgN;df>!&VLEF~lNgKm-gLG#FcZ=^0)NS!M!HO$RY!;LNFt@%_^ zBP6MjZlubMNqMu>6^f-sNmA#zks57I>U=Lxp7$!G#!6D-+(?Z#CpFOnDSs`6)Fesj z0yk2V%}Gu5Lh4wBl#E9Yy2Yah&EwI7v$7{OTUu(4o24!^x75YilbS0@&2u9)-<;H? zUPv9MG~Z>C)a7oZt}rGQ@U*u51clU)?G}NGYFdgL2>DpxeH~!Ak5q?B&a-UnM2?9S*wf zI~+9McR0A*i>2x+o#!Lcr&hT6)T8D;^_Uk@Cn=<4>~PR6b~tDrI~-i=g;afo)H-RY z^=_7`Ft?N^QjHW+Pe@W5+(>P#Vp5G2Qcp@!o7_k}RmG&5D5N$^Qct^)+ET@&nkuBW zN>b0bk$Tpg)bm~(y}3f_1xe~fH&QQ|lk(IhsH9$&q+W3&^{P3k*S%P(g<>fg5gT-i zhz*)Y#0KB?LQ0KGct=|5T{la;XKtzO*^}BKN$qqawd;RM>H|q?w;QQF|5H+XC8>RG zq&_q!^>OyiC*wSWZgHML^El7o7hXuIu`XXqOMT^Lsjtl~<;l@iQr}2Y-@1|d&YaW_ zUM!^s;QS~_{p3dKXLC}X`YDyvFOt-LH&VZvlRDtVQtgx;T}Cek-J%zR=Fy8mPj{b6 z>Mv=jzuheLkGZA(^J1y?ilq+5Nri|DsgTc@R49-&sZdao3b~OAo0GDV zA z>7kH1L6SPrjZ|%8QlYwDEOn+rs-7fuk{hY|=A;^WA=OhM)ku_)1IIjLq|NcB=k zHJ7AXxRGjUPU;jdqCp+(->JCKdGb-gmY_YKSCtjvJ|=#-u{SyjV(EP7oR{ zNsVwLHPW2aC@-W2E0#J>k{azsYK%Flv0g|GQAo+X7ej7)FNVzbUJQDALmsM-nkX$b z$<0z1m|JR!7fUItK|)g{scCMcrkj(R>4nrV#Zt2*so8F%=9rVZ$O|cDiAv~VNouYe zsd?t4F7ZNYgkq^nC8^8YNL_AD%G2lCkqW7+B&h{%q^>q6wa|;D$`w*Ff+OS>!4Wc# z;0WF5h14j8)FNrAo7^mQv$>^i^+HNnVH8>{N!{i~YKb|iJG_t@tyoI_a&*Y;m!m`G zzZ@O9*9$3S*;D8~X{n`dmb%~EQl93g&Q~n;fF$*x8>xrPNqL%H9IKFeSdv=iMrye^ zsTE$DPx-l^klg((zR=No~*GQZj-g!EYNqO27 z%de99LX!H@jnr4>q`d3`kV5KfN$MLnQs0`B^7MwRTIxGV>U%d*KNyn=dD&>quPnF^ z$(_1FZaZ~_%y;VY_BgDP`c+!$H#bWiFt?Pa=P8wxjCBdQ#kz#dV_iajd+8FCT>wJ= zNK5_eW~u*-E#>VgK_w+)UBYg$E@AUnm!PMo1cg-CAGcIE;9{w8(A-jdgl&7Zzm6Rn(<+_oIn3MAKl%SG|N>bE~RGv90PfrOdDH#uw02unI(fSx5L6h6MOG zSH6EkmGFt-+EB)%W5^l&cuu$$=>&+*tgau5Z78sbFI*>FSDc|fRAj0`wKs$t>h)8s zfcneYL1llq9w=!PZY-3XO#JX4u&P~WL%4-rO9|GHEW_a@z|F_Gg?x} zF7garlh4ov&d`;g;Y__*dh1V+-gx{3@x}wS(0F|^kRG7dnQj*D5Zo+%lSzYXg!}R{ zoE08O0%h%?N-q+E|G=wjA9;AS;D+!p{W)rrAgd%zpBo-A-)D6I1}k z{w8yRv-A#hk)Bw(1I=dkh&#}1uJYpWT+zIPMe|1Rnm2^6)T?d6IY;F6M#A%jnImC# z0%qn&_!5xV^+v*%f)sD*dHm$DAV6%WY(q60DlkvJN`GzF=t&q|+rsd*dI!2uuM|Bu z*@5C$X2~nF@XF#2lyn_%4U57zfqmJ%lHA2F)}Kx3;)%~!q8T67g_jtB6XS!gTKM)f z2J6CiJ_?l52eA|e;k&|j3vgTTU)EXLwbzC3eF=ubC&CXfk&y|MbzuJzUo>r0M1{6+ z4PW@d@IxAf%TVD8P`Ip;3Lg!x)F^x$6|Mn=k5^LR+VDEz{z>EM`tXv~T7#u|9ck|? z1wuGJuNQX~Y0n+HBK(B#zJ%)P>%(^%tA@TZezT%V-%;Au-DuRhzM5L_CNuESTJUBw z@G;@1MXM!li*@0xX5eGBXMWZUe4G~iyczg-E%-$<@CjP*%VyvcwcuCHz_qp3e%%aQ zM|Kl12b?VEqIR^xUm+z z&kWo|+s+@EftzZFtgTJX{bt}+TJUdX;4`$~-_5|SwI24TnUl29g8w!H zx7C9GH3PTPf)AR3+v~tNJ~MC!EjVBX?x+Qa%)p(r;2bk>XPuMe{r<$3Q^wxr_n}Pdi!H1iH z`)a{Qnt}Ui!8Ogm{k3=1F=pTa+A|+(20lv*KHdyGPzyfM41Bg0T*nMNNDHoK1|F;h z*Ea(X(SjSAfzQ!`8=HZLYMrF18Tef7nVXw|hiSnr&A`L8;8V=NBedYt%)leH;8tef zaxJ*E8F-Wy+|~?yo)+BR3_My3?q~)cqXl<11D~%2cQpf#)q=a5fyZgVXPSY>Yr(zD zz!S8$WFIr|L@l_V8F-SG_5d^R1zPYxGw@_Bc#s)*iWWS?3_Mi}9%=@jrnUAkGw^in znMas`XK2CYX5g7x@Oft7Sz7QIGw^IJc&r(Cjut%L41A%ssV16%FVdd*0yFT%TJRJz z@LVl;ni+VW7CgfYJYQ?DS!Uo%wBR{r;7hf%FERsPrUlP617EJCJ>Lv`h4##snt`v> zz8YO__G)yM_RLqBoq2&4yub{6wHADh8TcA4_*ygYLao8BH#69^TJVi#;On&Do6Nx1 zYr(gefp5@)7n^}^)Pk3offs4PcbI{1(t__Y1K+H5l6%aYn6&H3Q$H1+O;) z->U^bVFtcW3*Kl3UaAFeG6UbQ1#dP3KcIDzEoM&gpced$8TcVB_&GE1!&>kQX5eL7 z@JnXkUe0r6iWTDcpSNT~MDg%03eqpRK?e4LHR!ELLxEv{5 z`^fd-uZ>l*B^OFOvK7{={5A`fIeL}fXQ9&4tNbwwmAQJAKWCvbqF1>;3zbp5%HOh3 zN%bm!&q8IM{_gqHTxIGrk(IAk`F9p73-l`g%|fNES9vfCl`*Z#9A6eH9lgpx7Agz% zDnnVQEYhpY$wFnZ*41-z%~huLmnC|Y(JWM!>Q&}tq4F@j%7QFZR@1ADWudZ6ud*-; zmDTksi?dK!L$9(l3zdg!9}RM<8LLcxG&n-9vU(OOkJPI?JPVaa=~W(?h02uOK`XlQxdX~o;t4we4T6&eoXQA>~y~-1_PQ$C!p|YJ`<#}1CY_C^2 zCJU7v^eV?@p|Yc1<@hXAcG5f1L}QidPSjb?@&aR(=}y!|uX0KjD!b}cPRl}NH@(Uk zS*Yx;Z=PAkD$|>%hhF8JEL5JUzwnEURi?LiPrb^yS*Yx#zwr6SD${M*ThH=RW0mO_ z-bX*FbGfm~^e-uW^(?P6R+-MSpI+sHEL8T_tGp%)l>_uDugyZ`S^DO=-dJUN^9nWZ<>D+<4$-Szl7-51^eXSjLgi4s%Db{qd9L2o?=e=H zevc2+tGq7@mBaNa@6STz2))V&vrsuwukzt6RF>;iF3&>cD80%RS*ScuuX1G;Do5*G z{c&TJ>HXyxy~@>Ds61bj0t!MeJvC8zjXO8|Jf8SVT`ap4^p5+c>mFX-m z(yQE+h02TdDtBk0a;{$G-Yitk)2sY23zhTrDnHIbt2N+-Mquk&>pBsix|rk= zww-myHP$T^)@?l?S!3O`##*|@dN@#Ftyp8NDzaABt+1ZBvclSQU4^x!Zk;Es?S!nc zc2`&*R#=~JwZ5#dzNuGX{jk;gDRKII+$o$y$OO_#Y`f2PXbzJzte?rL{AfqH3w1~9 zda(<2N9zW*3pEdZ&GkdE4F#~nG;sb!?Dgx7^WPabuhVg!n8x`ZE$5zmFUdG(zLBuC zKaD$SrCY&c{b#g-hqTs#bBP(ajTY=T1Gm+JgJ$4%T5#A5+%Y#N-Me#h6HS%;YYr*< zb&}3naMTRkMGMX|19#Pe3(UaXwBVQ-xVsiyXa??~1s9uv&&-8=wNrLYUzc0W0E`=N z=fW1;q_l^O*u$wi#i5M06bXBpq>FZ$(e>|8PqpW0u0X4GBE$07w*nOwMrTr zFi5(LbG1sEnJZ}mmor?eB*PERC>^O_!jz2ADml4wOh#&zWcZz$OiaqPN=~mFlTlhF z8GhbI$Ar20d0HiH%$YDHqqR!fnJZzfGbXpaW~}UJpaj5Uwf9PAGw?X=nY)^S$7`>> zy8$@q9+{w}f2M&Fxb}%!2E7cxN%zJitquAZC;~Gtp5dp16gP%5Ptjic zK!YJkbn1S4;l_18@@kd0GZj z43q%<`C9NaGw>x^@C-BXrP}Ak*#=;7?K6S?OSETxzzlr57W|MI_zo?Y|JK@}ulY_b_z|-+-=zgVY6iYr3x3QD ze2=!NR+)kC)t-5c8TdXeIB8PYZKiRlmUe~NneW$vH<*DR(1M>d13#z*KV=4fNDF@2 z4E(Sbywwc6ObdS247^+me%=iHh!*^!8F+;j{IVJNQ7!mYGw@0+_;oYzV_NW=X5h!Q z;B98$Ra)>nX5iIY@Ox(9HCpg?Gw@n1c&8b7ofiCo8F;-GyvGb&p#|?V13#e!e`E&U zpnZ(|!~iTFBiZ!9MlFNS43q%)NiFybGw>$u$nljK_$e*;8#C}`E%-Y#@YC9B{=p2q zMGH>)?CUmKZq?HM#q7+_Xu-dlfuGfa514_U)4J&&2H+$&eO{~NugWQTL967S$|-qK zYm5I3l)w%1k`5dpX5g2#V80po6)iYu27Xlw4x52r(}FEC@atM|#0>m~7EH~+Z)(B$ zX5hE9VA~A5P1i|895e9SIv*WAnu{HYe)!VLVGmiEbJ;Lo+-Q_a9%Xlb8r z2L4hDKEn+Bl@{E_4E(kBn%kLyztNt#gBkc+Ex3~z_&Y7Qiy8QPEx4N*_y;YxhZ*=s zEx4x{_$Td9+uHz~^kDn5w!QlrD1o8&7cKq%X5jtWGoNJ!{#ASCv(3Q2X~Bcdzz4M8 zbIicMYr*H5f&b8bu8Wv_qQ>@)41*^7ORL1>Q+1M(zqOZP_{sVot=pP>vQBzJ{8!7s z6-W=lf#WMViN+tZ5D_fcoL((yR+!;$c#EU z5d6I4xi;xpK-CcFtTg-Eh4#S58rTX6Bl=_8Azg6I3s5VCL#+CL8KfSJgT=bIn^* zJ-9q`-IPlkp1Eea#l~i?oT7Gg=9;(m*&4a6B64R%ZSzV{_kPC_te+ZHtB7YKGC)q(I z`3DgEQ<6LwB}mdg3rL0u@jX|&BC@S}-HK@LhG?{IU~@FDA{u*`c;nb~lAReon!p)hYCn#0z3o^1{=ZI`OIan>o4PLuiP+B~Ca;RDeZT=H1 z@>Mj($h9siS3#E)B1NP$8t_f?UEy00g`dOWolZLvJP5r|0J5J zSQ3gC#t$4MIclLl$NC8>mvw}j0G}V`ao;-~a-}33trM*aw@Q%Ih}I*g!x6gp>cj;g$0huWTy4vYGVC=F%%$n0sZ*_zVtd3OAS! zJU9xYQUFIKb?6}EO3ne-twh1kVdxOAeKM0 zH7VN8PvzFOGTN>^l(u%2(RTfzw6(8{wi^$ntwUwB-E=5z9V^qOx1?xG^N>!J(YE+d z+B#R}N|&T)OY@K}mEm@Ging>X?OGXb_oZk{K;?G88 zW2R@LbHi=uLrf^{K3uEI+zYm%vPq3Ny%rb79A$u6skpsv)381e+m@a zPyjD5(Ggmkmuqak`A{}LPqF!CW1DX_w)y5t*?e>5Y(Cn%&6CemEjmWp{QP8_j{%#X z&uu<7Iu2Xc*AV=iy#VhAmz`K+V|1#Yz*_)hro($Mdzn&9y2YN5+2v*=JLeWV^9(+J zezYdnNuF z&fX*7Q2bqDw)eKf^WvRZEjmZG-G#|*HwW78Lf&>4$@^sjv>dxLPfl#<1PwP2_Hn9R z{mJOn$$KJ27jBGR?;~5IH$EA?opk_rvvy9Ks7d$ew} z^pI|})!2=;9?Fd#P~2#%xf^XYb|X+)88_OR!Hw?C>_+!WH+s;!8{v85ZuF3Jqlc5- z=pk^Uhk4sAGjyYsncN6AN6X|!$y5y7Xia6t@aMRBUEX-sN~io9`!?SSxGO5EW8W4n zO`5BVk>~9pnR|#CNjN?=vw`=C*1F_Q=6QQ|W(}K^nlW-i=Bfjgs`iM?HJ?^%4$EAV z%`B)2=`YX34w#D`$JVz_lK&c?hjQf`v8{oj`E|4y>|zYXsH4tM`|4c&idCimZy+5MBL7`Xr5=sxjeyBEGR z_+k3@LDmVv-$(HGiSo4YmVH{ru1M_*-?B4@9%-It-?IA{D{h^!X0)b!)qBgX2GvA( zR`d(FlJns2tF$ZHW_(544s}K9gVi?UE81ooUC}o6iV{1m@8?)=;deGkgM z|G`XetY25=DdOEjJw<#YU3xqE5m~w>`lD@u$@_&hZ${hg{+SzcMn&{z0PdIHr|i1QeVWkCk#lI#tlf8W-{QfvcDKcEdF9quXY`kXXbB*(LdbI zUN8Ea=tJs74?zd;{zfcGJPx)?m9G58Mq)Xb(xHF&9QCtt`8yGLfM@VK! zd`IOB{@0-lzQb*FOEY-#+3Q9BmInVP+2DVJ!T;d~|JTLfL5;z8R>t53c5>_}*=cO> zos}~9&dM45ze5>(r+sc_$4E1H^4aS}55^5nNwUEY5*rF&qKo>Z!QU5M%6+m+fzLZ> zlP$X{WAL0nvV-q3w)d_|*?U)#z3-Df%6%Dn6zV^ey?432-ly3+`Rw&*K-xQ)WN#?8 zX^`7HB<-Eng_rBP@ZDK-;k%8y@ZFW_!gnVb{Lo!E4Ij$jyIsOR(!22Fv)7|J(%@FI z!E?ai7B_gVi@|kW_@2r*cuE(($JoR7RLaBmRLgis@F*CZ za)al&7+lwd@2!l%Q@ZfI#s=S8DTD8=oWb)CW$?X~>cW%HUXKns zj@#R}oaFPjx$$c#gnH2$@OMPgi02Ery!pUa0S-DU`R&ga=5HXG*1mxLqiw7DXglXz zmL#7lY}d>9kU)Yz5u}& zB29f^Un^fI;X}Uh@y7rkhAAe0CV=Mx_TY1(^r6arOZ0^kXCl>TZQ(D~Xq{w#sSW;8 zho7mg^p^)nl>5u14E_>zyJ?aqH+@mNRYoiEcYkG40jj$!NLd8!rg~x24d0DOtNby zL}TRojF+SKLe%b+x#l5yAvn$Q%wVM(qHg`E+70n=P#;b5sU^~)tW9rr8O&PC*G<1NEtbi)Rp17ClUWaQkVE4&La_& z8gb!19N=^yd~Ht^SUHVJ43jmpHw3?Xcq`~WS`7$!qyQXiR_a(3YeuWUOtxaCp3+Rcgqd#EnW?ul(=;$s2&}n| zn@PG(-*lr`KW(M`)2+yY>cQ8}swaIdim~82Z;RP;Ga82~-WGEikrHO~!(?J9DFbh7 z33p9b>2(8Hka(H&G8Q9#5H2(Bg?{FB5He2ShoyvLCY&=zDdP@G`|BJu#x36j^p=5x z4&;{40S7&sTfQr?=pZNyk{09$IvC2>J-bfV8bf4jq&V%+baRVadsuq=q}|#MEIKf> zw&V6DnVr-Aq%)nPIPGxhv?JV{cBC}Zbm6q?Ri_=5ZWMQ?9c|>ag)wrap&bj|rfQ@e z|9|z`Ld9#xNUuF#dhJ;0wc~`>uGe|(_(L_v#L70u1xC$LWZoP_|L-?PkU*#+1r^J}58anmYXHtKoiTY}XQol&59{>*v zjD5W|bTK?BFG;A6e+&0jw@(2_7T?0@oys%?z90O5QXk~13a^gc8pfAaN*%_p;d10vE=6_XNwX7A@;b5B?8FXGoDkI^O^nuw zG9l>$K2BOMI44GBsC$-M*O$+QDP^esOf>s5k=LJfxe>rh(FAaPZlG0(25m!bpxqM< z+QyVk`rzmS=Lqo7E{_ipn4`I#$Yo*=2bAkk&6Q8tOpQhO2VPrlx%;)g23wDLO4(|z z^|#S${jIRp-$q*69;IXT)l9w!IXYIK=~x!su`JTuj=S%e2HWV^PP1d#bjKiSG9{a| z6p7lg0e9W8U3ABGk>+;)Qytr5b}WbP*dFLu4rytx*|Dy=C{|!Zv3^K8bC*4AzvHR%<9E+# zx+RqIw#n%eG^gJNPM;v0K56o%nv_B|I3&$$?WFf$mA~7>(tEIgnRtgIPc`WY!&p*vYd_pCz7*L2UmChdLmPxb6ulVzuAmVFC7J55^pE_%=2&4nDH@lVS1h{Oi9`U)07>h)`VVC3)8FC z{<*d=9Ysl_>%#Pc+1}5v&cW955m*Ro8Xh+Z>05B71yISCXd`;GT8B$tvVjW~F0&N` z$DWui&C#N8wW2Om7_HWbLWR*374$4E>;};llL|Ix#x<)w=+SxNa&ZuO34XW21IwEY+SXQ*ZLx`^}}jaZ!b6T(tIX@!GrX(%yKrRup!PkJjEFJnzk4 zq&?#NFzGqL-ajhHL>nX%qP6$8*&Zt%e#vUj6b}dZrz!Am;^CKh;FVawKNAgnoXH&< z_6ZhuOza)PJuw>iL>~C$Sinz;2Hwj9pArjrEn^Pf&*=B_XdjG)_EVx^ukygB#sYq7 zH1J^)JgZpz=@xi%AWX9>7KfxxquO&*EdC6`E2caQdHx`)n#V|E$4w|EPZ!wwIK`Pi zFZ|^Ld|kYj(*-+_US@uoE()b4xyZECZ~g)_zdA@h}>b!(szbhmJgH869T>Cx6Yj7g_8VC z)v%%O#q+N&7sLnZkv2$sqo&$L*66 z{Ka51MUmD^1^mTfGsPnhm6H4=;9I=>pj6af623v^IL{Dc)#%|xH2O;!BQI_cjJzaB zwh5+?=JMyI@{?!&2P1E0%p-4RtRt@sf8>?3*i0LZvtk~3vtk~3Gh-il6@(*?qb+6$ zQpT{_VwO07m~vUq5{^8gEfP=yj=1qxLJ3sJO(IIP5-swz#VmC=e`GV-VwTEJXwGW5 zS)%isjJB8=v$mL-OKqXpwS_0(DeTEKwZl{xe=%H%xEzy$# zdZ;>lgUMVeYUm-QD93x{uaO(a?;OgWaC7|nYnsl*Nm}+RxfMuhZM+W&yR5V-m?v0p z`shp+@;pIsr|$qnEnAsS{dL(U_-8cPkvmV|1jg=5e|^^;bmtB-e*+c~{%$Bc$mXd} za$!0hIP(ZQi2F!xWw2Uq#bb5DyLWm}ElJ4V$Z&f28&ggX_^iSQa8&u57-Hb*p^1T~ zG$jV`+LR{Ge1SjC-<&OpH}2i{419apl6==SD_--Xh?l=9vj;I>;IL+W;`0RxlQo*= z3!&w}kv1 zOs;pNx!wV|-jQ&X!DqCx9sBq&O(2tIB|!5#k;V+Jq@(B&-xJxu%+J+xuQoKe~$Q1hBf))RTbFzrbT z`c^fKE9fKvd@-vcW36meD+w$5>pN42$y)_0LRLd>Rcmv>vo^?9wJ^6Nc4hc`;{}Qq zI_iJKEp!yZvDp(}+tfTmA~*QN;RdKaz8w$DT|e*=DPg6lypa)O2V~evS>CI%lKT5_9agD4A`6Mblh{Tgpo((1{NNl8pSx~}sVTca%`ucI;z!2MSS2yrOFVjK?eZIOn`Tjc@r zHbs)RDrM#Eaf{?_aR=osaTnz6WQrRO(Ir!1;ZBZX$WKUx{NyNx`~`d)0*m4TEn%ta9KIzgOX1rS@Xf1Cg>NI^TQZ&t4TCBEDSvk@%Nu;d`B&-0 zv+$FigVjIs?#(ByA9?_T|4Gw)dWtrmo&@vhDPlg2GR$0Q1b9(#5Uy+DS^s!WmN)-I zw=8d3lC~{Ud7Z2pI#i}Np4~VpH&)4xXjaK&!zz($0Uz)|fZH%xP9?^LZLIDT+{fX= zIYW6y!cKu%LTnk`NMLN4oq{=r)loY|86%iw+)h!NT&F?5lMqi!jC|XWPwBRQiYA}Z zZKr%rG3E2wyO&R`T|+*nnezD@EuYgsKA$7n5MtrZkO846-@T zl%~}XrU%j_KMp}0lv~|(;>s2<5X=el5)cqf*GVK>6)e-3NhDho)j_;+rk%^0j$UCrkJ+LqDgOd{#7(v(y7J?O=*o}#=WC)1-yEuSzA3s3 z?_PAZb`8;8WQy)$T67nI=q@IryCg=@eU&G=uk%HhMkNN(U15r@M^}VLLEcuoDZ+@r z(6TE+#zzqm=rq=Dj)-naVsu(WB$WSTI zC$660F57pDQVD@0pPE?N*7gWisP<)hgkBcyQL6~hWJ~gobFj3Y^d^&GwQQC3guiJufaR z@VznfeXo%3tb_=5#hG>QZqd4znKSl^6b@M-*Oyz#ZDEBxS6%@*h!xKrMODJELT;}- zqCCc~klX%$wnE+wE95OVU_y^ta9Vz zday!12&-I0UJc(4krnc6Sot0%E95YIJAzlpp1(z$Z@BQ z={oMTU%v(bzB$Y|IM4ng2LU*Kk4yS~_7)*WwlO z`@HG;Be&^VTOsd;6*7%Z3{&>UhAAiA(M`ESph-V;K%nmf$ELv*6gL z^0(XBxn`f}ZWxChw~w;p4jFN~02kQ2b;Fg**pN_u-{!2Vk$OeZOCH4$tQ3 zV9cuhg197`J;oYx`vtj&*r{p1C`gK#4)%*gn>p*SUodU4OV<4%X%>I(bx7%cOG@Pq zhLp-3{O9ylXa}d1o-?KN{M}2b)~+F?7fdO=NK5GjkkX4peO-!CO0V&x^d?_QX;fm6 z(qBzf-UQXdtdEGiLagFAA`EsZ8wq%9-3&>u zaixG9axLPh5D`>hyUS1M>F|6V5!~283U7l0V?(8vI8q)kbFh0p(E_Dq z?KGQB_Ku1+1wr-#iB5S+jzOM;*L&fGD@UG=3YAd=h|BsqvBSx%6W0xEoqm##`h10nbp zCs%}TsqigP&I{kd@C`z8<;AANFXl;nnxSpwYG7Z1ww1QKY1=mivk=y{feb7Yy6Vj9 zD(b8_yeWu4afrj4f`CHoM3<$yQcJ0|$@>aek+c@@KF;C*LwN1>CagkWPdI=G;p+h5 z^JywX+HMe3+Rh_r{=1(j>ARy)pnzfj1PW3UC45%l15A{GLI&%kxAepp^W0eXmU=H& zzZ2FKf%AL4=%MTRSYS4mjtT4z-z{p?l#OB398;@un|E;Lm?&ANHf#n+ zW2Euyuo-_B!{!*_DjqiDVc3)-!=^tBoAUawF?}G?X&$HlgG}05>-w@GU}n+|(3!O1 zvkD*Zu(2{}ABW9OY+p8Uj81-BQ-5@f+OY&jB6L*@57Zi-WT5T|>HI5hxqs-}sP z+{92Eauc37Rlj@1p|x&^Qw>vb)T9+h4G^cAM4W1wisLgd8L>FEbrYwzqlr@;troom zsTYGpy)C+XQeZN^9kc7=ZP76i+(IEDx73NVw*|YP4lVU|G%>`}yJ6~SIV#CIA(y5d zC0=iv;)SiN`b502b=5!@uePRmwdILdLzC%3tLcOwP?Jo(jVb+quPe7%tLcQwk3z+i zWkPXIs3We?P;50dHLa#*v0F{|-TipgS~tdPb921jPmkB;FkbH` zPDT@T+6X(T4&rj}AGLW;GTdup za<8q0l%|-o=}3G+ydKlP#kVtU7R=!3?H~OGJY(qeMaJqH!>v6 z!S$4rC2+jLN8c$ce@OEBxNqGDMuB#&3xCYnmk$LeN62V0Q5-`yL)yMyEq4l|y| zx{haZ3WSu?Psj(xyF0t=r$s&zo%6&tlXfbCoPPjq@-#}K&M=UZ4Q^*pJ`!yjEP_>h z0b0aA5=7p!M?yam#T69I3QWl#2}}c|3$fsz;?7U(lH?=7-WL@PM2>C%F{UFgMGPPE zxgY5GNO(Uxg>@!_bx2whE7~FHb#761=LK+?$}P(77k?D(^mlKI-t^VJGPLm?zYS)~ z2#UVVE$YC07q_T)kvWDwvX7y_^LU^eW63P{F-fJNCzZovEilWq+$U;YXqGPbqNzCi zJQAD|oUmaixUj8^PB!3cDTRuf*>2WaSWr8&{kId3Rn5E~F zS^5}QLkoyCv>mLWg~S@V0^b(Fw?yf4__i3nCCJU-+Y@vCYL_2=*6!I73R(yb!bT2GjpT zLx|YxTJY89AhBN%DaCt8SV~udR4K5XULddvq{<^JiMJAj?d!hV1Y+u;NII_B~7H7ljiGRYPLt?$y0>%as4 zwI>qT7YQ7U1dh^3eIr=);6SIqTkv;0CP4kUy<;i&jp*nOEX#p2wUr}>n{e~nBqwSJ+bo+(S*(~?1S`ffdQm6R+Am9NheVP{5 zAJh)9XsRC^0%NV9v_6ut7&v2Fj{GP%E~3Z5nfDCE(|G+D<@g-5)KD$L*5F@aftN}y zxIM$|IxE&c3YKk->Gj8$*Hu5V(y|>aAl(R5k`$yAfTI-Ml|c%m4vou-I}-PnPl^95 z{(Sr;pOUaW;c&v6=K5-&loGRX=(!QY`ifpEwa7)R5mgTkH@L2%gi9>Ce!>4>eMP~M zK9KypbsD`^6Be@I5xci6UMb06%a6<7c#g^6dalT)l>_p3$~pN=d?We$_&4OU2}|T3 z5}%NNBzEzOV5VZb_L*d&`*e+He?P}m0wu|H5 zf^X;HTXNhs_;vxlCB^MXtOtUKkr zU|g~@_<6zJs2yfau2x{3Yv%YaSh`(h-3Gi8>I1SOi=7X%(I z9~0YjK`@u#?gLy*@1TdV2QRAm`TT@f!eAVY%|*f5$O}&IXw1AQuz*=+UW_tL+n9M# z;9qB%c~KOY&oJ|%XpSvf7L$_VW+a%vQc9Fw7lbj_l5jC3t>v?d@i{}$=eb3REU;V+ zNqYsw*>3S;93yWePAp6ZI7YJp9RYb>>scV^LYGJTAe2rFu-G{IWp1 zv0b|?&=u?~@pBaS0i`E`s)iG@$$Lf3$CU#YRenWq)|egrR|HGdU@9%?R|J{52_N;z z%7!=pG96M0yS*s=Z!+PEU{Yt9a7DO;rF(E$FsZXs_0ME{@McaL<|UPXYLjwdTp|}( zQXUy??oPkLQ2_^`l$ zlSy}m1oLv8A&dxK7ew1oE{^N$;tIslbt$g<7=rm?^D*3rwiJiFA2BJv8=^~rnYr$U z(5DH_L6bIB`HNs^v+Cj(!4zYRXIG{AizrNn!T%S5nrD^jjgT~(s}+g$b(2{*(Bt-| zT7+8_cO<4s@TNfZuuAHtAT*Ng)Gwm@qM5U}H-r|UsZwq@#-Qbj(fq>NT7!tYEkV?; zf{1?BJpEND=)}-=cij9cIwy>`=pBxmUj>=DTpc&R3Km|hFG8_|IHC(v$y)i-)*m`bh{}GUw%{@&(UM`C@!a`9l0?`F#97`BFkn`R9bX@Ha`m zoR}*AoLEo3lC)U9oODS3Iq9N&DY=4tHMz5V&0Ac)?tNdr;j0FJYvo^39+z*V%#&~W z>&d_P`^h)_>*QbQ&G=w`aJ7(a&R_v>wRn;tG%;8ZzQrd)XkxGse2Yu|7`_#TZ;8nV z;9C*+mf)QT--^PwWbXj@mI>dIynn*CV(`u9{Sm$uhi~9w3idQyH^H79*G;g5;kt?M z2wo=GjE}<$FQ@A!SjyFP!v@CvF4(%DwnJ^x&F_LkM>zhKSw_-I`**=@#VZGt_mvNg`9_+Ti+B^I z>;GiFA$nd5lKNeKNxluYnEm1TNxrRgl>el|Pl6Rek7|0k66jHnG6{4mzG*0483?)+ z-!v4bdv;>^xWktj=uk4o zOYNa#V?Ipq&skv*!Fn-`Nq{KIon|NmD2AY6bH%?M!kYBWI(d%#y1dF9Io^=#IzIBpyX7?unDyc;cloo&;%yCy~%pJC;BlD&f(psd1=8 zdKL^eOsn=;DRm5TK?fC$6h@cXg9sk4I+!cXfl=fNXZy{k9xsJqdxM)&dme_(ObiEt zb%-&nk(II-nAJT09^aE52`A1l(KM;%BZ^7qSjsD~jI<>W_V_3?YM5x$;GuE9i3TLD zBWP67&}ga4j7}&MgA@|FF2W#%!k~(YK@}bb511IRtO~YfF#zU~XmcB@{DPy-JXZNB z3}awb8@TVqldl=DXp=5XV~8*X+tE8(o`A?pfwxxmp~C4%KycJg?}+_oWlwrXxX7O7G|$2?|_jyp9BO*O5-Vj&xxqs}IBccC{BcZ$CNGNw5>26F;3HxDkN`;#s8}AMF=!W+j$u>){XIAi0FhU~1$0NZZ zyMn{)mA>npvNF zjK^r7TY$ww7-D9?5*A|N1x0afbZlGHf-KxnG`KYEm6u@PcVVe+82-K6l?sS4R4LcS$hcUDfmu zb7P4Iu%64sT1z~UB;}Fv87f99g){x+6Q~$ZA{nKnnggqyT4x`HxwvVQ#SYj=@?O zaT;r@nXIkGVXZ2g!)~)a?8cH|r^YdsafV>k6oZMMA`{rLn5V#uxYi zqNAGWL?!ppsV4IIq5>7L)yR%cz z(Fvbb_<%_q;t5L{BP@9YX|iGvmOP>Z{ybsH!x5HLyRf8^o}`XkjS~-aB`-%;5t&@hZlsAQ?LhQm)@$Q9% zCNWZRc1ZjmQY+KKf^$SxCM2$6l9=^Qs?}ohP9k+Qmz=z5A5lU;c_-DXb|I)rFsyE3 z=sxYMH3b@@t|0{f$h5CvZg94q$=Uj`Px}qb-rR&7`q+pWRyLwS9s{)r@gw1b3a)K+KF>eq%>i2 zW_j`vUSf*$k`mNbgd(k^0%~iWBEw8WXc*5BYGYEwCV}l^lED0e6puU!%+Ha)wsr|@ zOC+#^i6KV?kc)#iXiIbylvb-<@0)Fy*Q`$W>3$&rFc3UV1;9nR3;PCs)0VX;7{LC(ArA z(*A;-AMI$A9;0{_)-`d)VKDVkW_m0r$~Hfb-fk`^2t&eQIdDi#+o7~sxdnxpiyN>_ z@Q;i_K#J+;H(j~~iMF72u^dbAg47uJ%cSOCY9IR;=tIUpUsGy0c3VHEXqaPQpfLuR zK82)Z+_~c)T7qkxG=__oY7Um*s*!3g`Vw5d`&4rtn#4#oQ_ZP#syX=H-ALHyrO~(bU2R4 zl_f<5(U?q_x~Hh%*cuZd2qgvQ744T&d5T&rk&YNFk&f_KGQngCXY>_S`4fkeBXsK( z)ThnS_YBREr-2*f4nK9G!4df(ee{)LIntAs&CDnq;f}*h!AV^%D>DVb{VXdp)xO+e z&ayI76aYaVsqkcmq{)1s4z6cfT$f83T$fAnxIW3`I%gn4^GmtSJK0V(4+C+sIS{AN zY@ZBlpF#%WzYN0)()Vg1H4u12bFUrE_F{t5)85N={a?(YgcSWoGIgr@tRA_-k^f@q z!(2jm7l~T0piYy(Zh`+QsL#Qhk&g~OtMCC&*wfV+dh1=ll9r)p`LcA^ONvgccC$BL zl5{;qgQGr=xj<6j#mn#y+}zA)oh>PhQFL!l+aRc*&N4gu0^QkJ(DDnU^%qlK(>g1A zA^sGb)2Fh$-$Hj;-qS~vJrzXpDVHPOYhby;a@WkqV4Jxs2$pu>p|Wz0Lqkt;+02gN z3W9)b-+_qtCQBy8Q-P95^KLDPcej>0+awcg;}J65ib|%6(Z~erGj?%OQIM&P*fgvO zR8e%X21Ce-qMNhmL;RkKf)GMqQ5Qm1qzHM-P;$~Oo|2nm5)#KdgS^ReqY|=`&|(8Y zWyw-WFh{WRRY`QC2}71jg2{>;Y}DhI=~Nt^N`e?ae*;)P>PNY4mH2u6*cq;pI*vO! zm_rJH$UtsM%v3yJ!7KAq#CySo!<8%!%X1A5%e>1L)ww2zkLVnp9hJkCMS)^q*4a2* zSzvsz9Ih;|#+j5Zp2|XN9MqW`hbs$43zx%{1-Bxy9Ih;KRxp-HWx+Xf!eOA{4eWSx z;csP%zq3vL&gPBac_x2{>HMu5mA_ST$KNV3^S4UO{H+orf3aJ~CB=fLib&Zqyr}XY z@S+OEi@GK+>hgFoKV_59RH?4s!yot#d$l@g+%Q!ZVAH`cRTdCqVWDZEwg$@x8w&_u zMFCSb+%mDVn^MlYJA$2WC65MMk6Ta|>BcBh7t_Y*B4}kXX=#aJjLHw|{&YB&P8p+2 zzEV$p!5MzMlt@{__yFq*M1fTw^@Zy}$}_*z=_Vr{RN9)UFECntMX>{av9v~7Z#r5@ zY|46pqt%05_w-#Cp8AA?*fF*q{Hre$|LP)ejJ=}!SEJu$roL*LqnuSp{gCtmSE!uE zw}z6&_=@T>-5#x|zDC=l%Yf;x5yme!w2NmRT+9F>=IQRDU0C)v5O~78F7{{&os8Af zkeuk?CG!9qZG~ExPE`aI1ozm%X<73iyQAKHT)O$_botOiEpAEHMb%Jvq!DHyDhO6ir=9KGULIt&&hF<^Dt zU{%BvhpjbO6l!FHEOd`(iw9Y1E_IQ*nM$rK4#4XsP;yG5R1=gOt>+ZaU{lX^13kBf z=(+nq&#l$;oLtc0oLrE{xpgMzYUpN+Co1QL2y&s}QDa-q4H4M?Eaip>jyB@uh0XpQ zqVm@WcEC??HA!^Ke~2|0WQdzhC<`;bBzt%yU7u22w??{x2MIe)Dv(22{&Hk1i>xoq zt*i;;>@u~LHfwZofBNepPb#nw?9sr(`4V?DWO)l*{9ksouqqVm=XjKk}&Z}F?nUV9!IE2->2L})6HWf&b?G?--KJ5sGUWi!)J`=OY`kAN} zN}YHVPnzC=;;FXDF%9>&yKzN^U7kE67!IJ`o!ghska>;bIc#4lASzl!ZaKIvsy--& z)lx*-V=9Ol_~dv#$piML6(=5V{u{3DSOB`=j#NO3(~DTeAgGx722tY+G>hquG*U{S zexYmFzgxAa7~p4!sN4@S05QPoPS8IdcrT!4gZ`1F`ce`cr6>>6L0PGgx(mK3(jNG> z8@?q-E2X099{7fD=g{N0S+UMB#7x=`v{7IfwPM9=pR1VZ2QPgMBfci)D4R$!I&%1HISvU{cK-OBD#{~b|339F~}s9!14L0^1! z(k$)gi2BWL^=w%Ef&8*tJ)fQQxADtG^2;Ujmt=|jq9&2=KU?2DMvW_ReaUZEt>5Cz z-{MzD-Z=Pqt)ynDa7bC6#cx@~6Ut_(f2oO)kkq>Tp^)b#SuKAsiu}G2`S9=f zsFC)&AHOBzGeYF|=_r7I$A^Qo-ytL%{|={m$nTG#5dJ+CrRu+j@mq48G?YeuZ-UbB z@98LA|2+f0CD+N56$+p{kx=SOQh~jpv~DO4B_c2KBNc^F#;#DFR%@l=Yo)wvrS!E@ z>RKtdR!UhbCBwlAIL@;-gu0dA6)NyXx$ICOjkr5Qg(9J%;ZP=_BhV>3Ihp#UL^xE^ z`o%~6QYIWKYyIMQ1Shq_ucD5y~fXGqA4 z^5O|5)D7jOCK!Z}HUsX2qa|u$qP6@`pBCkC%NGuHx0)$zHIonJBhBN8PVdDvReVom zCzs$>8NyTzvRUu-N=)wR)YfDo|whV)b25%uUm%iX51k4?#0mpJvMgvYUH<8cT zkn}I631IDTiyGx(#d9hH#KLo`9nU8$JS*f5&u5t?0M8K?o|Py(tzl?&+ob0-`ho~^ z7{b{WI*l5p=SU0BDgY7UFMXqOLgRp;p^1^uq)2G;!O*`ur?sY*BESMdw7KxH5}Qv4Od=*utR}v_A{~<%C}5;P8SPj2(v; z>BOrP4lgo10vukkaKQOE-obx4q2(MLX46M)Ls953hiS{=#T>glyl&x858D3_|K)^M zb8wiO8+n+=!C{^qhcy-su!9x&82{yjHgIs59|Z?IZ4zCpUHD{9oRBk-BOD9t!m-gp z2*RxcFXF$P&{hsY3!?~!hLD-Wh(c%)qth%dEn*M_N!ey01ja$&GyInm+Q~s^F@1PC znxrh@aA}F1OW76r+_FoUu+n1jt9F^& zZ;`h(koFJ!mlHa~A@4FbnWN@uW&k*hu#of`qpN{Fhb{WFb##wW^UKvz6q~FbEmw zR^K)-V*>i7I|i^N+zV`Z5Ms4`PUs6x-!{^zJ6yCb?w@lx7m_w{G{h!`1%S?%7ODFH zDlieKO>#ota?siQA8Lp#3|b(~r!7)H>M}M&i@uPwm7zI6`_6*)SgxUMBbl@fDFkR| zENGAC8rpU{Zr@wb2Dl0p2)T=@&tkB$hlM3|9gQ7U3zDO|KD^#q7}HT;(o zy27Eb+H0PVU34N{ha$D{x% zKwKHWpaPUDqaZ41xH7<*YdRwmBg7MN8z^abA`bdy?eWc)!4Wz)Cj>bV_V|{O)O#k^ z_R$InUu6!B7D9z^qp1=qL^WC%73MdZ3QlU*MpKhr8r^Se6eNK1sc79ug&THFh~d8<|{V=0ATZdCKH28S9KLen4{n8KfS9vo%H&opT0F-P6voVst?>JmazgNK^BTqfpYHt|d?VTtD? zM%X#__Ho;2Nj03aVEj*s1;E>O0Ht&c0KilNfCy|6RD=j@8B~N4*rKSYA+X?AEKFbX zD4kHtfz85+<$%k)0*Q_ajkpz<y%oV{;3eI+7_5i_4qLPFbl~GBG7Nt-rcY>c3*lbPB;Yk^uY@C@1ynRSXayML&%I~1u6HTpvO&h;(w=m5QMrA45ltU1rfVca1 zk_^4Bw0Xkb_)|vXQD`&HUhx^DB9}J*vR8SIuHs6YS@tS(s47m{d}*T%o+OFzlt&Pn z0R)m`^7=~pdsSC6-V|_!KSh!* zgj01tE#BIlrz^nGy0&9_2Bgx0iGcx|t$1R>xoW5yL2E0jMxj+5Ro6I&HyY!p9l(%u zR&Yv<3Au!l6}S^-YF0RPhoyoR5cC1PY-U$8B>f<`G%BT7I5lFySY*PWw=6@_k5NGE zvOp|xQqfeasVgZmf^^hCH3;GMpc)k6YNDD3;b5GaBPc5{MNNtXz$As5wNNcmb1$kz z)vS$b8#V1D|4B3v(^0O{PlAg(Qt8t-P_mkkId520S!xjWknKWm=^R;GyW57CJCs-T z6$=P+nUi!r3Jl$iiiLcc4g+Xd2h|}od=u57Xjm83wP;8O7)uw3bKMLM3rug8e3gr2lqw6ozO72arhYtIi!1cQFPW9Ld9)bwFzoM zaC#p#p>Vnv-AnfXYgN*Nrl=_?{cqHiD%}hJ7_Q%$^T49Ov{pfxI{S>;Ng5CnP(7K72B7__* zQA<+pGt`nQ_W*i;#^Os-v=wSaihhk+QAJy$))q*bii*jvH8`90ws14Q4wy3SuO+t=#s2wSH2DPKgwMXr( zax^)vi1Y>SWM8&4|8N1@Nzpa2xRZwO6*{>_Qw8EZpsS4273_N5xr#Rp*XdO9_lDy- z4QPC?iF(IO6W5|J8&q)z)PYd;N7R9$Y)915owA~vQN4@8sXtk4-e9r06Y4}7IgdI~ zjdVtxtwso&yPz(l+(p!dD%TZtHOtXK!P0fXUA#oxr|=x>K+pLJw(FAkky2m*=`Dp(Sh9 zT~|l)DM4Qc4>aq=Ey%=!BlyKn6mONnn|pmDr=H*LEHsW&Yl&x!@WuXX^xS^yvo9vUv zqa0vF^A_0+cAvyZ>Be;DZV7BuQkrp8-V#iuYzJ=%?x@9ZQ~zYKxL43ay5w(yC69Fh zGjM{s%_8+LMi8}eLGAOojEmpxPNl!CaS_QiwA*%QcPwbTa}Dhe8?>-wLCevgrGWR^ zDae13_zri;Aa3S-BrzUn*&e6|*0Ny_>OpDQhtb34Tmwd%KNJ3#k@APgT}Ks_n6d!b&`#1rTa;sic}tKAeb zq4Y+*Nu4Cro2t_X^`YzZGOS(iYvFLR)%-z=+(5NQ&?5wt4?RMGdK5iMQw_@XMSV#* zKk7@Bdkj6MmBT(af?7Y+kCY3depI=~(c@M*YG}yXC2>N6sut>pEz}*7BFj-s0^b?N z5dr1-hgI>!ol?YJo{V7TfKm%yX2Rtki9<>Z#T3wL# z2)ubL(jJwsupzc8phYMLij#F~fz|y3IGyDl#PE_l1dmS!Hi*=%4nH89=TLt>1 ze{4N|)~9fBQqOW^06vwt_&8XWC|F?Ys*BnsJ)!Ah82AIxKq984&_GH|2cbcP1`Vdl4M9V!a&%9VMQa|-Bp-@~5{Tu{PzvHOG>kyhO!AZ&EYKQUf;jdhj_{&2#V=jd0=;eDNUxbC()Cn&sETqRG*(hPr3KmOCsXkr!s#7vo)`+HMbCw za1EY1gUwH}Sr!i0wba==?mDp%4@grJNHYrv>>PosNY672{s}6d$9f0yYYbB3x~OMp0ZH zjYex)guzL%H3Wo<-U`~PRY;2Hw5}HJy3_+79D3j4-1`6!U+Q^+o2Ob%V zA)VY)&=dl5B$`6O{1^Hc19P;F*%%8md{Uvmf!S0vl|UJXrczLzMb9!&V4v59S%yuv z$N<(cn}((ln3K>n3g&a@IR@rb9kXXG%)S835&;q%@CZK#8VEcGTK@7n)6sMSat4}C zft-P65RgU^b5rv3(ou&XrV-y6qr=am=Lw{l=y?j#Of-{0ZnmStaLtP~$S}y15;~@H z8BAxPSp@!kG>d}&0(!w6(|mSJ!Sd3{_#%3dKw5}iq#(^kvl&cZa$uUDW{ojMsi-kg z6pCDcB$_cII=Y0zOD*<%rHzbOK@}v%4F&3D3)D9bP%y=b{9SS(o3|;fpVY#8aHlnB zR2&Q)I4>7wB5Tax7x+%y%?$_8!mnxw;^3Dvz|@uaFDLvu%pfjNfpQUAB3y%j)r$fT zo?Mh^9!R~yqR&|u`l#QCpk>;e?%|adG+j>%z4mf3=7=1ivq}d5?#XiuI_?fdxwy?E z8D4DxxIh5FuwF~1RQrCNTtX0EhNJGn>v{F==NdOmjv{D*AJ>Nstnfx?0ff-$qJ*k_ zxQ#Hm+M%TALVCLuSCYThWG#Xc!c;z4urX_{1hbO1r6p|#&2;g(NYdUHNibA+J8c7z zI1N~w(#A4JBT817=J=_?xqib$4lj*y!tS<76S|b3EW7BpVi!bI=?z2kt|2 zs5x*hnrqFbq})6-kCZ!r=27M5qxohzM&8Q^97wE`m5Jtgr8k!4GJ?wrSRpGT2#O&* z;wZc_g5-j%!YiZlRU>WzsJj3`=o>hT526JW)fS?K8r58u>SaV@1EPMS4S%>@+44}i zX`(WMq^Yc~Eh9)CYV9WgOVTX6%`l;3HDSuyPBVtzvL@B5`jqDH3(|UAAN*_zJ-M7{ zFNN_wloM!Rom-30BEqc`Xc5J&#b~i+50XGa))Q1t5QObJKtx~(T0(%ngO*T0UqUaL z1BZ?`hYoe(PKC_wDU__wEeX4);dd=9dOa5FqP(3I?^ytTi3LCfy8-#W1>jc=0MCG| z$QZ?z0a=kTnZSUgPF~)E<#v7imlNikyp$`^>vqF{FUXznt*r93!?0y`YbVLsiDYvv8=&bOh+|g+^M8!=KRp@Axk#{0#?(d*}s=frHps zt!8KDw-z)1jzt=(+W{CyDX(UGrC0#eU}yp6vT=G60BC8ZKqW+Q0v?XJT$5Rq0085_ zBmjtu1%q15p>F_S#p!qxVgXQ_#HCRSG%HTW3n#-<&Vyoug)U4KOVLuICC;Ixl$LlI zz3i?f>Ik=b3B*^>D+J;N^a=&>RrIO_5n87cxXN{e@ffseQb!O+%1*;|1UX(o?SkU~ zZzsJT34=+(TrVWo6}axniiOdebp@Lgq#dj$Zqnm}I{6p=-3ovw*aYb?Qn?;ECB`wy z>A)!{nJ&=D(A$(XI($3r>xq&9GocjF$N+vLHgq26m&C-!it8^9QPLpqq;X=xK$@tT zG<=rPT(47POf%0i;#(SlsVo`mi}ovtD1bxUSt}$h;&+1SE+kZ5z%R<|oYfa>L*j`$ z%}0wn0M6zDhL8%xu;jrOU0NO%u3)#E5u*m8^&^Ih zSh)PXR689W5^w|H(z(^;2I?q&X&b&z@=I##kY#8Yo($3oqGi-%@EUr}nhcmei7qOm zO#eExU12l*Ig@fj+i~+WW4|0GWiJdQe6%R72o^TGU^EhHcpCjiBo2@_LIC!8wsd1Y z`4~bs674W)gkFx86GCUAub;& z(t266hH8B+T5I$aVt;^n#tcgHu!&%CM>Y$?Y2~b@RY%k7&^pp|1+)Atf5v%9cqj+ZnmeWo{6Ynobv;E+3Kwg|Z?WAR%nfu{6o8(ivXmCTiZ zHw}hP75tZzR-K-UtW0}yGt~>iZdjz#XhPr%D6qh7KpO}xYN8DkEjFT!ln~e>#WtZ$ zq)u(LiK??1ZKmr`kz&v;#?(Q~`vjJjPRu$wA9rSZGc@$YtAOUVbx4}AqYWbsx3RV9<;q#8Pf)+qg(;U_mQnLk=Ph(;CspJrEta z#um6D400T$-O5hGCKjFou5eCc zq6ONhO}Sd%4qLRZ5l*|`>PpF2XwlZTkCfKJ0#G^@0PXAmT3P_ga*5eqa7K#laC<@2 z9U*2bAZ8m{UGNDMLu*W?a@gKH3_hQg`cvbZ_$Iluj<({%1jT8Zbr4wGtlii_a6>R_#di?wOJGYI=*OTS zx@JP_oQ>Y1cq=w6tV2k8iAxDzHyaw$_(d5D44_Ws7iCs>9Ryb%vNG8rN~F=wa9Sr_ zEMd~A7|RgtWFMlPExE273xLkHqbzAgG!M*LRbv6r#cpRC8K(h2H3on-Aqlhm$z2&g zY?pA_gBC$*|ks0*LUoWRQH%QMR&o~Id)pK<@XS;hGDUm)|1Bf zA>uH1w}@HT4+&x!^*xy_XbZ6{d!sFsWw{k?H5LWzKKnyt6T`i2cHi+K!Ra1Wt9B1b z&vQwD*HnEh>8rkp*|vZVz9FU;K7TXaj;UVzIC(%zypOE$QI z;2ePKPiFBI+>>O3%QuyL02h{`+t4;L_y?nH)ZpKaw!07h-jLXzJ5brt4@D<&i{jRu z{--`7WySQY4>@7yK6;2_vIkGq_YvHV%c}Z50+X9nUwvXdm?-xVY^K{`W^$>=8dpH* z)!d@Ky=FjZZ?{v4n(c3#WXeKWL>h*oEJ_-7pdFet;9v?mhn@V0V4y)(2<+}iDFU*q zWy#T33-B<)H&a(yN84}`ENwZ#6fkRJP*iCuisuo5nkK3gcaQQdF)3HxY@T2oaGdQG^naU1%3aL>?E#k?C3_(}h~5 z3B*++R0*>_4A4w>Iz28h;%q`f#yBG+{bLrA{;>!NBrW9W5vo&EKj@)Bm9V-EVqyTL zs!?O4e{RI&ae+Hf*CbC_nxv(hnCwQoiI|K=yD2f*gZ6O5WI%K=NgHeS*U}^uHK>k9 zrB@_vELEJM(}38;#HmXL#w;cSV-ypfF1gEYYhohMCIfRLCIh02$v8_)T4`cp27*Is zH=Is7Z6a*7xTTX$gUpQDA-c4w7L+y|C^L9aUNE7&XhCVGL7}#h@Jh)vriL*75o_~k z2(zgKn@6)XkPt@Z?EnecRs5HeHlMS3G?d=%g)lPMH^GVkmKMwg#4y3)(N`J*Uzot1 zVSh zb+FF{WMzYfo)D-cjp4?otZltNLJ$N1>wRbrDiSU_Dhe`n6r<@pLbN}{>|{M5*r>ol z&K^D+bhAAnf~7MeF4$yenOF-MS%P@_bUxkdtvR7Da9w1>E}wAXmTy;3OL zBcllf)?jOSus245J%}%^j9`xxQ4k9@gyW`dn%)a55*cDarWNq=zB^)9j$ zuG=Yh{dDI(w2vUS3+0`dep zOo2RtjxZqKbATLcqhBPgl>zc7I!Zu(fR0ii-$ZXRAR+SJc5Zo`&5?t*K=h8kh2A0{ zPocLckjKz52ILnGkmGHKwDJCk4)QoUPC$Nzj#D7tMsG79Pdh+Pps~bP8%y-ed49rUeW;ji|PZ{69;>8h9 z7MSk4-UDfb%e1DaV4OuPN7o-TrxUEf%_DFN`b@WK0}|8az6AKRqk{2IVtBj z2>&ku*S|nt5Kw;f1qJF$^d;3~PI^f1L8{e*9)#;!7t$fBOYg#0=qmy*1ARro`x<>s z!NXnn27N=y$hE*@4F)(ukn5*W^NncDn0vK}*n)4GJyi&zNu)&_#P`U3k+yNDpY1kAF=`YW8S z-=G5b;CLSeBf4BxdO545vXS%(k@U)DgU{1va}0!Cn!wR?RueTetZ)H^=P~ zI+w2AOLdjbaFyO%w^_pJM!*xOr$Jnnf+?2Mg77L

0rN`KTHsn=hFS9S7L z=4$4kpM}tBMr;A0Q5HfQ0GZYJFDD&ex?&z@qEzM@+TJ!a0!0))LTi}-Ckvsqj9(5A z8gC)A36S{||K+4(kD?nw>u94jR|u_V;%_a4)-#b9fY2lhp)DX*E%9GYI%E=ZMQ8)9 zS8|2WMurj=LK~UU3vaD2>hKT`TKPW5cY%)qTfq_49&_-I^Pk2tD->Q;Rnr}}Q@bOW>=Z0S~gGpG7K z+Z+zE;#NJ2Q+>Zp14aChiP_orU37KIE1M3!1kEc;<#Rhs-!O<(wc6ed=0O@JrGp$7 zBdSf@sxw(1sOm>;12htB=T`j$-8$VP@EACH^d=3HOge$K6A9yg&yTCl|z_Ya*%*!-PiXCs$DB-cGx?Ojc4hwi| zaMA4e%>|_s4gpJZL+MWr%nVqRJ4koZ-c7Zd3(O3B4%pD5v9=Bif@LJ37Y|WEK_khSwzzt>*8m7f(Pdbe(=5xv# zx9arDm0n6@lyN~RgO(kPQbsv9n3ZXiXrfkgftiO^co?RykQ>a}Jec)eVCJ=xF0G0i z%qBEUigd_6`}qpERfpB6o9KD+*$e=Pd2*}Xic>v5O%O79-f*i9YgpIT3)ov%VYTK^ zIT>B(>NJnKyU??sjhfVt^_S&W8>SLd)W zJd4iag<-}_be38e{(yck9B*)f6{j+?wv0W+MKPDAF93=ag8dNPHKyNB`6K#~wDtn} zk!tNH^pn+^5juGeog+nOqjOZz^XR-$RKIEJ0=huT%|#ceau?A>s~nAd2|yDR7lO*YhVu|zB3d$#>1C*tMi;D-{&`3iQY{RQwV37GtC2?LV7MZyj8nT zL|xd_cGUe+r!LezKJjwB78|SI-Rr=BEp$tx}K_ns4KXj zHRBtr;e$rQI2{9NI0=9!k%mv>H>Tla_>#;t9M1U80(RH{mQhXz=7kDg0_=PI#sKrd z7as*Im=Ml5YYE&@0~o{#Wt;=@S##{HJ-*qpbP&(Auw`z{wR)2&05*j*c^SVkP5R-B z-`3<6tI4-WljLAX2E8|sal`VOgQBCHcxc<5oE1cZ;US z^=<_K^#JMCANY;wRuH}fwQgM{7bIm6a}Roy6S!okuAffkQuT2mL*@1V>z% zSWGC{P%yud%ekr3KWbh*OE_~kbe=>tQK5~6S|RCQT&O9=j1WVLLD{ zpawjnSyo4s`gb0?U+Ca>9YJ1Q2XzwMsZ%!=>bM9--I%FUH%96}BslaiNK>b7?x@4c zPF=w*K@RF9n$*EVJKm{jMQIkjaQQTx|IL$#$CZ8+yKxb-sgG*WJBD~UjT2!y90GY# z=vf=4n>?y9-B=!ePkp;10OxUYvwZSE0F=!LFcE4%?Q@9eiE@k(YqakKY*ZxItuq*Vcb9k>!K0`ACg|_>HN7VdS@JFbIhP@|h#8p2mV; zZW{Lj&{_e4Nn!lPV1jWgXkdaTE%RsqfX^c)jVTI^>HQTV3e9!06$0>uNYh2}8`CsK zrm)epNwy;JwFs$E48JioFpQ#B4I0@d0$NZDz9tS#A;yNtPbR?5B<+^KZ%n%wlVVo8 zdX3`nwK%C!3coQmFpLsb4I0yXW5e`b+96M9inCVOlR|l#3Ide9;FU_VXFh@&eCG=c zLrMUeM)8#qx|M{UmLyatgWnh`V7ipDsG!rWG<+>hYLvroObra9j8%ihhQIh{$3;`M z8&`0xNNga43dQuL8>TSMWkUK?7O;SY4On><@Ee06#<`q@pE~7V^Ya#SFceSzE&nR?!j+N4Gg1-RfER# zK9cU77g}#>g8S^_3+IL*G_4A-tCDuB<2R;VjLAJ(yV$*ALThf{KnkYl(5gX=Y6MzM z{Ki1T@Tyl+WwgOKqA7bX$j*8zO$ z5M1lyHwIUXeO&`riuVuDl7zWMAk~A?^$4Vf_>F;tVb|9nX=_TH5Q9k};%OuBuVa@a z98aM1UmKA}mOfhpaI0`N4uMPO$%WGVM1HJ8yN%jJ0M{HRZD`74+mO(z0nnoXp;cr2 z#?T5=uc1YCe3-z5)|TE%H=#9x8jT3Fd+{3s4a0A2LDNjRf#A7YK|B-oJT;zng2g(? zQ)5r{U|pVL*vH=2Xil{gtP#lRX|r?fXeD5Ft_gIY3F%xj{Kj++clTaL=Wv83tp<#s z%skC0483O)U?l+MEc|J#MOh13tlPU{-4rluO0aH$-x#cMKblcko4A^k=->+TKB#ve zf%yP_V_-IiFU={K#9|*vkpY}cLFdw^W3&tiCQ2P$<@kPR_I`p=Yy8Hbggf5CLJ5nU zT3Chscgu|JB(RWDikWS9qP49l7%c&QOVV~*{KmA63G{%~wnYaMW@mc-GGVrYdaVe| z_V|r~iF??Zfr;170NTj6o4V43-o=$#Z2&?W0=*-CW1!U~qg3;vNW7g!|W7Fi_U1`+`=+TMLsvCY|XoWe_+2Sd<5gyV*$J2Uh{vVUM z!u)Y&UL%i|;?BOM(FNM+LU4E(zcDyq4t8a5=w&wA!&Ralgc=VLXnpV-0}c1Fo5g*Q zDBXW#!hF~jW_PI9oxpq)zcDZ$f-etQFx@1om&nRtWTaOVD}uQlz|%{#in772Dwyr< zve!%G$F{*0q>Hz<#e2ExlO9019)zlo;Wvh=SP~wl`A%68y{4^<2MFc zFZj~Sf)>?^=<`oo5q)B|BKo)v)85d5-lTH_@Eg-PEarV2o%<)Oh(}_^`Vm*G9|6oB zAy^N>Zw%IWAU#T9?X)5ub%og%>h&cshu}8`CKjW|D42{D0fXJjWd{FnvnQfvR5JBM zUss9l2MF{d$PL49402d1AGeTmvnL)C%siAm@tCVU(I4RVCv880-hdzfUC$2hkC;a%(3{5fr)AT1OwAPqYQL~IRfg9ATY<{HwGrA^++Mi zL9Q^LgnCaBm`~$31}3KPQxr^hpZpZQPku0&nXIYXC3phPv*H{;d7g7X3Fnz^ZQ`EL z7m=d?rBMXk=kXhZE@s|n3thd&82CDd)R=|em>QThV~rZ7%Xb`n9Y<=sh~JnRnDFDx z8cZb8FhRT-yX8Af;PRv#F2l&kwy38qqbC3c69{&5@Ee02X5rHscGz)6rYy4deg=Mc zhE$q|-;rD3t9qOY^`;V- zOYs{6^I7=vtOZlYVj6s%Mryo*-Ohp*E~jb-?asWAh-%&=(na zAErgK!JZEuBhM4q%kdindnSCDX~EX<$75s`sj&jTF*RO*FE3a%SZw$%Zq^w-O0Y!1 z8w7-xc-*~6uvmrP7%XPPm)RB;dJQ}l=8zg|@EcQOE_|75)nM?BIUBxblsc4a4O3qH zQLci7M;&Z`gPpk!zcJ{|hcELD^vwOk1@LtNsj&gSF*O##mxV?RQ;_hmTtsSY!f#BC z#qedZRf8tM=qM{p!Vo;9mJrxm@EZgBCHV3Z4|YtJ{%HGNGHDYJxuvAdZTO98^JVz* zveBkVGd$#8AvLn_8&l&|`0}bz!=xD=a?41Ko%oHZ@fv)2&8k7uY>Xfhk&=Zmba21v zZ^1)xIcYP3-Ie9Zfw}{} z>@au$rh=B92l|N>#7&n8X$_kCS60%(NS<%wmP@JF;tuEeZcm;cJknYT0?8JFO{cyWNxIy1yYzwb zw(^DYwNHtw9M?FmsZUA!BRwTO=u^^%r%z6w>QnL-&095Zb)SMNpa!ThJm;a6XpK+F zw=Cb*d|5uFK-~hZ3bgep1-lmPUvQ95DKw_gj6$>Ed8e>iIP6mjw=UeXa36TSTzFIA ztv;nl)gt#6xzDE*9aMBe(TVU}T{Kd3k59=wpLsj;FP~DZUa{82+QIX?V%Lk^^eM%I z#fua#2G5nncNX6T&wm#`Q~U>?Qlfi_K_!O5b9afiOS}Wm(@xlN|h^B(WjJtvh=g1r^EB}(ickq>{H6fWm3ze!*gSqeP!P8DP6uECeM;rxm8(~-1i__D?~KBeY?n(x>A(5KX1 zPNKizFFZ%pd9Kd$@Z4YLy*mH)DRq^)X?63!vuoY{b%DNh zU#+{P?sj-ytLLc~?^EhEt=GBUgYbN@-fQ(%!1HpwJM}$2rGBmYE$X-ODNXA&ZQT^; z*|cxdr<#uODb1EP+t_T2PicOC^KQ+1!1LASTbcvB2aY`O@dKawlve4jO13KFQ#xdJ zxTix6pVDz=$5%SO2G6fMUha6!r*vx3se7k~;d!jnXPv(EDV>{i?%27DPwCR8ORp}E zz;jBM`CS(Il-^(U{<-&6pVIg9z8Crez5D&t?^eG*e98dtfcygr`IJEw1~nK2@-(E= zkjIAf_bH>sj(UF73qECZ#^_R`%fhqA=pmzr!*lECgQJi5lrf$$;V~KT>^!F5m;vxy zH)hY6eenEaY|2=W*RgHJ_8JTAk6kf#2dw9mvFFF$9t-j`uF|+he)HzeTQP4n zJon5yG4EY?emC#>Jdo%4HRd;;-x8iP=f5%^=(-?rLEZ%*cMD!#uxSB|kA+1RR#{jL zo~;%>ys$Ss&n*0H;ccI?Xz-%Ri=h2Q-!A%P(QiIw@yCnLFTUhcmb6~-$dbq4xpc|a zB`_Xe^1qb%QVDpTed+g?pdU-~Ev>Y)Dm-^DeRt^xK4p24<<*yiTrcmi{PE=j;kkYJ zTg%^u=l9EREeHO*KIHW&uRjaVbFcrk0_1o_z7>^LK>Zc-R;*pI0iH)!e7@o$+O&TCW3nUEg>8*!2_OnYI4d`V&57 z!|V;KHmvn28=GzHz7gba<4YU2Yy`R8RDM(AO-!#0nA z=a$V!HoxUlws^MW*^@;jU0gI?OnlLsXk@b`dtTh9fIeVyRPoK;Zt_| zcW3SfzU|()``~Vn_uZ#=-`su6r|c=Vr`Ddj@I12Tvprw>l$<;{<#Q^*vqetN9FW_b z@i}vH=EL*ToJ%=Ze9GSBy@mD`h3D+OtM&rD_8!`MYVYShWnb@oPwg86&w2aS?gP5- zJG$@leZa^4MfO+UUkjcK_OIU$^1uJB{a@|}J+=SV0sjH$*MY$YCLaK~JFx7)jsw8o z10Njt;Q;XWjV^BtdIR+D8`Ix-^^N5|uVj`@ z=9V>!B-o3XzhDMnl9LZj;2(FSB*KTpP}*HHz~rCSjQo{^0P;TqG0_(fKz+&`De<2L zYDl8be@E&m<^Lz~rE&hp0aJLZhoyr5G~Nd8%@Z+U21|wi8x1gxc-Bcp|67e14Poi| zuQoz)MOLI@|IPl;efqCA6o-4FewLVYmB>R6sl6Mhoy4=%ZAy;^GX%|*NqzpU>)>-rEyBY|4)180T@NKM&WyhWRuNi zlTFzT3B80ay;teIcaS0i(tGc{_YTr~m)@kKq9`_Oh@!ryf*lnRMCF~6uwj_Y@>onr zLhgHV?#wrL|Czn_{Qu1E&ThmgQ6MRvzkUpo>;Pa6L%`w$F!3Lk%0;L za)D3xQUAGLY9yNj;)^{Nt$+c1h0LNg6aV2bQLYM!Qpsi9^cG822jzQr{5W{AZYv|o zB&Si+k6f}lF#V-NEm1DHjhlY#lI6k06}s4$Ps>GxEwuIHPInki-U^)ry74qAU1qHanXdj0UH$b*l2 zMR?8qsm5hdKPAqBekM}v6S%(+HB71Vpr4Br{Uq)$P_m(DoRX(RKP@T#iQGRm;u+C2 zrB981dY*_UbAL5!{v^zgevY1)CuB&aHKOH{GFAHNdZM0`Vaghd)=$!u>8I_9dt$sB z@$OATxQ{6IV;J8yqRo>wf%-{&BA=Y!-H8m0;7cb=Bo_EV<=N`Z<2$pQt-^?^fYVqSKQ; zwfgBz6;IavW@YRdmjybLcs@1EvVNvh%@cNCD96rrU^AYPWfsvjwM@8v(o@xw_HbdX ztrXo;)7v zZ)){{-V3RE5cGa{PxMdCe$aa&)enT;7vGD4sofWPZ>Yh*_n+Jslk&V6tjrPneK7ZT zyVQW({em2d$UeW|6*2Go*A_#SPwsth(Crm9D7r6Gy5DcUYnk$5xU$SW%jnNRYG8D> z;lRYR&PZjQ`>mt5j~X0*HCX@0UhzxUWaY2_?=QW_)POyN$4p9D zEiqMD?cuDZx0@QYhqN09&BAv$@so#8+*}b@Ddw%tiekDl-oqPDZ$33}UYL&qr+(MGyH4(Yv8Ik#s;rtYR@K{84Qc|}m4oX3!Y_YcBj)QsJ{iOd%C!mSTD^1C zz$Tz`Ik5V#CDarvly4K(w|eiY!A)TA8vJYV5v!Dc6W+gi532!A1P>d0EZd7U%EyW0 zW4)KvASaTSImm_=ay7*|W$DDTwBFWgpcB#7Cf0sVY*5xtTx;v?tp+=h?ajey$aPVz(JpNpH?4>^cXu07B$O9UR7gbg~{;bev zhMIsR$P7+^`-O1ahx=)+i`^<4k|rDU8KEX2i86wd5PQ?WK7Kb(^A&qlJ|tZ}=yO6% zL=xr%C*uAW6}X~ef<%e^DnXJqLG($YCL@WHgrr?}3=4Ea1fy&F2otOIDRFj#)bCZ*4cvV?Ve56t|<)^4VP1S@x0cpw! z^}X-!{^EPn`15WTRkl7E*{aW2HK|WX#&S|k|5|q?aY-falasjm<1SKyg)^LSf zU3{ie_sL3Kefp}&eZtZ=_8c7h?Ltw2m(X{=rkhE8t`hmlOJsdAs|kJrlbI9j$IQhq zvc@HJU?u*Lm?$B>R5|@*=CnSq)g(Wud5!0VPjcP&_xeVN|Ehd`a`V}p)74qLgB;>( zHQ7)0WZyU8qLa9yCj7~t@cSn{tN2z;dg_>T_r%{3ernP=7^&r78o$71{A(GppHY6V z@;&uTy*}sF#HXU1=fwNm&HLDQSfwU@RH>i3Qs15aF|X)k5%IG!KkvF8yENZq^4H-+0w8eC?n_+BsCep{aj<- zCAfPtMji3Hstc*RF3=Y4+Mvvk%4-A7aDRRHL)8aWAM`as8A8>Fm=_rOI?-R;QguSr z3HK$Y`+7o|Le+}6HzV}*V!F7k>V>Ko?t0bbqsT26P zk2~g6#BXeCegU^*u4Q^it2#ZY)6>Hm(Oq$rY1FCpp--*xtIpHXrmBvrIuBcQ*w0;g zlyOw$dFaYR_-u~Wr#A7GSQ=$$Ze!M!lq30r~{}TcmFp8=E^Wtk5oPSH)#>~NtkbI=~QM?X8Jc~ z;$z+K94RxY*KJs$FJv=uw%w zeko(A`jv3?3u77Y#UpCi-7+>(W>95Jm9a-HS)Lx}E@jGGs+1*YDWl==r?B1ch25=U zy<{d;#Z(pZRE_=(cULlHFjdJCypqwF_@>>pnA=06WfoP$R1y0(#p*$ibr&*aGF8YD zrI68l`16f%FD<*vY^t8AdiJ22^l!JhYnn2es%eQ<(`ZuNMOw5fYO1LH+rst#9`7z} z%518zC2C=#5%m{sw`5LL-&B43fAuLLw!3SbGMuV$iC*K3buL=wR&`F*xrC@=4->;( z>y+tKt$QrBj;8dv`)1tT&leWTysG4>lJ_v>Dxvzg%bqfxDtnKu?9sfs_v@ZgMNbvI zgeqtc8^>Mvl=)QQd#r_z2Gzfx*IgD+^-tBmhpk%)+0R`Al>t=^eC#!lM%G;f7E(n} z6~TloZr;Rl7eZx1RS1)$5YnvoUlbNm^-$GAZ)#XV_jT7qWkgjIldLAv)VN5D{dMk& zvY0B0swgIOq4PGLyD%ytrcaCsmzHjJo$IG2OLNnNrotWKk=P^>URgqw1xqmyc5066-PUnyHMbYG$&jnKXOc zJC)^RIaM@O(M+rY_^5GNIAi`gn9L+AD1$14KB|!t^Ko$|6%}PgWm09*#5CK#iG9zg z@5su^sLH7S#!QL**n4K(BC9I1DzhfG;r?y>dxotpt1H7Q!~R=CJ&woUGwmcdxm6;#60TX(EafTLyWpia{ zW$1)9SW?8hXX=Wwr82cLbyAoxG4^@S*wtlgWo%{a#4uS>#f&qztSQ?lb1QQvl@Swb zzi|e~t(fhU!Ii-i%VlUZ`oCuUYR~QnDTKP!#(3ym)(`|mGK{k z>5|Jn?wP-#?5WJJ%%5D0`M8ebUIJ8?y;TCJ1bAG=OHTXICqZA81S$!VlQ|#fvFH<_ zze)s^2#?cz$!%ZyWEiNDK_x?SGw9{@l{-l@FYXhDN(}Y47?RcB zVo2(OkcKA>|7$Vljk$89I&UOReM)K@sQr7M_j|9xbIH*vK|BwXGEwRs8}|j4N)UB{ zr7o~cJP>oC6&Mk7p;cUtRp*h^TPxLh^1*ZOK9{H@F{vZUJP$Tcsu>@?aL4%suigFk z@oW-sJLcRnUyfJj7G;rClLn7aBJaJRuP!I5WKqeYlI6i3xR)@|a2Aw8hK@1?$+uFf}) zR(n*!dB}RB5+!veigDic=bdOdQ=NB|EsPdX>ksyX``n|FN1c1r>m4sW z;B)tW|GT&R50$gkDd@rFMwMeVK4u8fL#fXKD(w?y z&fVuCl|<@Xq+Sv|q6Z8wjpoVu>Xei)Wzp5@PPhJ^7?YAI!~$d zRC1{EPr@;{&s8d^5}-~!35HP5;olcm{rT#7`GPuM{re$Rwp3&2_JIB!^%W|)lrh}K zP;J6^!F^Gvl1p6_sy8qa*aNzE+*hfSSHcu5Rru97>OJ5-fvE&b;NqnpWVM`nlkWa^ zIM%51Sn9QcDg%i-_xg7`)~Q5G+`(36PTk|{zHn5DrY;=SJ0FSY0o}VG8`McHbr)TA z(oOvNcc0i)vL#|sQ$|jFBd3Hh*1tP4RBlq|H)V^IVB3^_jP7%sN;q|nQ!m~gg9r5I zxoEjXo#)hfE~TGCQp)kW&vh#49z&f=DW*`znYabm@Z&h^tY-C%zxt=RTEukFoA4V<*0`Q^FVz+iFS?{AeN%tE(VI% z(0(WeYd*rNSoZ4;@d5WaBgXpt7wru(&i83y^=&N1`%Xu@QcUo@ ziuSgcXelDBmTF>>We(bvVzT9JwC{^4ei?<;FQ1s|*9L6|G0kr++FfG0-*zk63IA6INSVvBcH_ZD+C6wixYlvCMW3?PubJK#Y4}Zm~SD zIofVwMc_fSuZWd_H_+Y|tL(*v)m~GqwogU7Sgf&Q-0kPZTKjEb4GI$Lg36+;FV+W5 zL%Tw32>K4~O|daJx3C817n_26p&cPM2k$_;M{EiHQCJ;9Y<1K^+d^z}Ag*JU*zUN9 z_KMgMl2%wlGKifaINp%%;>D1SXb+2BA=ia9)KBaVt%SCz*b|EJ3*9C5hGP6ezY+Vw zvIuKfQL#U)H`;OHK-imTKM@DRZNeJv5QoCyr|`Doa5(%EzFiy%zk>F6an#vO9CLmy ztj@2*36~UBSGYLof(Kny#A(-Dw5!A!*E{0nh(Pg5L=9n$s4LD!OhUUN3>7)1Ro&ZjFTtm!I?x6-vj+fKZfZY$bD;zByiX}X`p`{{EE zYx-j1!}LqVN9o@bAE&<~E@mhtKFrWiT*|Ote462^_$*^OaXDjCaVaC_J!3!dMaFm0 zekH!lR7LzJ(>(FtOy3J@rhmj&nGrklG4XZgpM*7w6yIdQbI4LfT*+EpShKbgSF_GQ zyGneU^&PbT72jpsE3DaG72juHCVq%+D1MAyC9KhV#7{Xe4>^7iKj%CytU2Em*K*zw z)?A_Dms}^&UJ$?L`9N6nTou3Ng>Ula7T5E3L_1jgo_7PZ_r*Bf8_m3{E_c_ zar3D^#UJ_Mk^B|Kt^6a<&K7^>KZo`+ak~KKxcY$AowV;pqry$0$U^;Q9;AFJR zq$q^BF4R=Y!r@XY{3hC4!dfIyrYTYtZ42pJWQ?>FnTF*R(yu7;x#$sTEwN7ql*}ru zC38w!$(Cq)$iR{-(QcPPr6$YZQlAKGsn4aOH1eW!4jED!b5pvf3@yC|?LHY+`YPHR zGQ3PpVJ%ZnI?IehJ4d?8An(gul@Vpr3v1atGHuzhGP3OZGOAo&nXcR@VJ$aarZ4vr z+P7qe@)lt&A1O1IZ-VxDnW_9HwEJb|@)(=)-^(l&atLdMQZj3W0ca=7Y!&vSeNASs zfSh~UDx)j<%N!Mpp>2ouJDIamEt#XzK(yzDwbB%u&#A@@S)eBTRx_V0ShEM(VX{!oZD@DN!Zoj< z{YMt5RX|v46_rJ6bwE2%7OS-m?EzW5)>ml%kR@tI3v2C?vSjVfXot#Dweh@a@0X=( zAC{%-9F}G3{3grR`9qeen?;tbS6r5>|EVlr{|i~JflZceP+XR7&|a2r)LWKrg!yeW zLsn>X1nqhGbd%h|+N7+k*aY#K9FdipV*Z*Ila-sUK)Xv;Y5J$IHuINNo0URaURG=N z9ooNT_2zknwRw42qj@E?O=ZpI$k*oUWv%AO=jOl4+AUBsTU3;FTA(Jh7$@ttKn-tk zM%HUNTv%JqlJ#32MfgG1-Tek1~p0IZQNOpMsn0&6w5!s>36=Ci2r|j7E z3)!jLXR>4W0AcN(K|bHZS9a;?BcJby-0oRLcI}l!-Wxvey<7I^ zjrr>RhwRy>gs}FhDtq-ABzyN6CVTgNSN7?bPxkKD9PL5bx8E(`Q zf8dX@-=GNDXHZ2sV9+bF|DbEK_h5fv9c-6_23JH|Uk)C;Rt_2byBs>Ch8#BJ1vz}k zPjbZ2igM)81;RRXsT?&F9v%9H96c;tSchemV}=csV~1f}hn<(>hNHd?50>MHBWH)t zmJ^1bLHnVcI0AKYL}fW?#3HmW$jKu$s+J-8kga zxOH;x3(E`$W{ei5cY1iRI8Xkb5SsK)X%uo%pHTHz}RmKdF^GFlnhgH0fh`baI3| zHo2KRJ$b7QXQyVDuT32yU!QtVo||TqZ%k_^-<-Bmo}c!s ze0zGJ7Cybb=A6Dtb4~wEi%QkzwmVNdwTJ+rdT8??swOk7pYPlESIV`xWC>C1=roq7_?OT3DCX)rv2D3+<;`iDkRAk}tffm0G@7E4^aBR%Yb|t?a5? zTFF&UYvoqW(8{lRSF5<@Q?1h4Nm}J~1+^;c=V?_pl+~(j_(rR~v8YyK<2bG6rovjS zP5;qqZ!W0S+wzQ7f6G>_!Pd!I!)@iXM%(6UjkjmlnrvUKHQjz)Yqq12)_liCt;LQ% zwN@|Y&|1H^ReR>en_8P)b+mT7*J|x|U(=r5b6)GPcf9u8-jB47`vz#8_FdCD@2{dg zzkjXPW&aJW>w#KYw*xD+?gxI=dK`o&4tCRe9)wp9uF-lQTBr3nyin_V_$96Xkzj4m z(H7d^V>7fN$2)4nPUX`^oSLeQJoSw>>U3Xi^l6MiR1F~<^Wmh7^yI4WvkDL{sb&qYlv`HA186xFfhljbwwAMuSXpNLFz zR%D5|BFc-jK2^Z3f~E7x5B4oslrtFYJFpDlx4^y!OYfWj_5)ZZXD_fH!7`>T2KEzJ zmb96`eg?}NSrP0SShmQ#V84K6job+KD_C^o9I)TOvPbO!yAGB!YB|{NU^&v)2fG25 zJAEmzKfrQj=ni%hEN_OUV7I{XWSjx^C)iUN2Z7xN%a@Tna8UF_R8!UgOXTkmf zE0n1=*d4HfnO+qBX$4r3OiOXx60C6MYG4{zvCIX*(ts7sG8)VWtVEWsV7_3*vm6Gq zfR)O!4$Kd%WY)jI{K3j(y#i(hE1j(YSO8eLY^A|$U}dxY4i*SjA=~F*cChlMCYgaHU*i&F_3-$oZ5B6-q zW?%)t+85;b6$E>(Ajhu|Scih2gB1qrRPc4MB48a0`GFM$d%oZ=V8y^X7cK-=9IR{M zbYLaGx)l8wtRz_XqNl-1fpse;z)FMlEczW-8L%G38iADs>s_oYSUIp>#nXV52kTqx zN3aTDeTrWOdm5~N@z=mAg7qu$9#|!?fhCTDRR$YSYBN|Bu)(F~fmH z)UuVpnuAR#yB4ek*z~e9z*>S$E2n|A0-IU(2e8&)Gs@Kgdj@QFx#D1Lz-Cop9<>FV zTZMVl4s1@9Azj<{EdNr_4V2i5rd2|L_ zTAk11d9Wq5KLYCl_CoDbU|qqM)yWOk4Qxf7FtF}m%NrF3>jAc^QD(57U@IH10_z2~ zrtws;-e9X6{{q$rY+d6^V12>XHfaOa4{Sq|>R|oB);GBXHUMl>lUKk7f^BTt3~UhC zmZndG4F=oXtOM8(ux-uifDHxP+H4WnFt8oX#()h6+ur^f*a)x}+kXN!5^QHj=H)1` z-5r^iqrrA{z6~}8Y;WhU!N!8^>6#I29N7M@{$S(5_H{=cPdfqZV0YBg?zM1ai&J3XW;*gUXThBOA75BBnqqhJfb&JNiKwh-*qp*U}) zT?F>}5S+KtE(UvTD93LJ*c(GReoMj54Ltz14D9^S)nG4xy*ZS1d^y^_P4z?NW((pxKTfjaU&OF}=_Stae`8KdmM`Q=v4)*zoAg~=^ zmq&C2+X?pNh+_jgFN6It zpSkr4*o_4|SG)>#YXQ#{XTfeR;Q9PDu-glGK7SqT&xJ+7&Vl{CFeBI-V1F%a5B4V5 zorSf)&V&84@J|t#_AOgJDHmQAdDFfv%1g0m0@yoXX%=+>dlyVw^at2`V7`kkfn5Oe zS^NXo`(S>H-vRpo%(A2t*oR=&B{ji50`p(82kc`o+mc0K7r_FSMuU9t6;x_2e5f&BwkXu}||J75Jj28h5&0aj$gPa8+c<9AK5VP6rDCtF)~RSSVQ4Z56@7 zz^ZK94HgboecM7XCs?)ZRlr9pfCC z4y?|Oeqiar>hEXWs|Ggo;74H9!G;_{Es3lFHvAB3Nn}m1VTaCu)dCxN zXcJg%un~uDg4F>VedtrLx?rOYmjSB>Hui8Pu=-$Q4qpOm05<;c39yD>j{FSP0&Mz`3t%n5roEH}tQFYI zmwdrmgUxt}zJCU6_Dl4A8?ad~T?A_jHut4tVC}%>932AI9&G;6XTY8Xn|GAC+5v3g zQReD%U<;0-=0tV`TYMBXC$baRqGKh&I)g1emLBYRuqDT~gLMIW;n*Cou3*cKT?Xq0 zw&K`nureCo8vwTHL?f_)U>i@Y1{(yn<-`QA!C;$DvX%@1+jf$*WGL9y zljFdKf$cci8EiP%_EU|)Mu5F|ssz|bu$`xNfsF#&eQE*NXs}(US+B-`?LEbMH5P2o zY5HIs*#6V>!FaHJrZpm%QgZCsN{ZIR!5n5DLd}>!iq{093 z>0O?@`3^b0Jrp^67uGa>t|u%)LVNLj43RmuSHLHD1pgNha0yG?9uV*;-WkxMYGT=* z1|1yObcb}1Mi{~9JGVbW4haIidW3(YUxBE%m&k)y5s|_pqb+o_91qZbw@^?`W-YM+ZFYXr1RB zt&7{y8=iNx-ngSf9(EMxy7+Sh*WI0?%bs_%(YT|d9(MGB=V$am+>UDV=H~U$T7au zk8`XiLmeI??=wb@E$t5-Ii1JI2aJ(pYxzS*&g?PrA!Fp&;{DK(t9y)m#2EQQuUr?} zz+>d2#>gLe7rCX!$j6P5KlUzi2al0Y8Y5ryE^<$gkxv^VU-B;UAdit>Hb(x`yU3$G zMt;>8`7`e#Pw^P}HDlz`BjQoQ!a_noGhmO3(W8|NVk-fWqcJA~T`I<4Zch}F(y&fa~YK(l^J3k-w82P#} z@)_?UpYj;_hB2~->t|1y@~X$kH;s`!Tt9n?{IfLC3>@o6R#>i*Ai~Oa> z$p084zvf-!?>t4062{1{dl&g;_WveUcBSv*D#GDdcJ7dfBD$PQ!V2=5{n^B6hQ z7&)zXk;{9G9Bzyp>0RW?9wWPqk)yneT*G7Jw8qHkyo+4dW8^4f*#@+R8O6{y$caB-ecsF#>hRrIp%< z4Q(B?Hf#^TKU;qh7*$t@@O|RCd__ok-2Z~`_dn(Ts{d>Lult|#e-r;+yUT9IXSRv9 z$?kqw!eedoZHsJ+}s(r=DQ zzvU+VR-5$OVbX86Nx!#D`n_k;?@N<@Uz_y1X43Dvp`RtVZ}0&88yGXc7X;VG7}h5k z)~6WOXBgJ!7}gi)*8S!2;0?hW-JZY_d;+%HgdH?t$4uCn;Fsg#y?i&`ITQAd3H#85 z{cOVij=x_=K>U7=C=-^)gq1dl*W844H9pq=R7i)Aj_&7+rI;KI>0!bKg$$1CH~4P9 zX(ntz$ildO3-9(@X2MpPu=OTviwWClvfsTX?2yT0Pnz_5)ui8BCjBm$^t))%@3INI zV#0nhVYlMvS;*gpJVPA~^@-mv)Ze6^-PjM04Krbp@sA}mqe;JP24)E@5?Va8NLUn5AljCh{(yzHmzh_MPwKwV4$)sOblYV_n`VBDYH`JuxNRxi!O!`eS z={Mb^-|YDP!qUd?7na_nUon$@rA+!&FzHv>*iRl0s}sMDgf%f?txZ@56V}y)^)X?C zOxQ>hHr|BIGGR-M%>Pu_>iGE_wk!U%NZ5W8_Ob~xsSjZvo9yE=6ZTu!b$8vwQq1*E z*iGYn;F$l4-!ELm?-%Z4($Azmga?`Q3pI&XG=5HpkBaZ1@Np)Oonq2&hDpD9@y8{6 zk;(BbGkNSvlYVPW`fW7nx7DQIPLqCnjQ!;C@FT`^D%dF#cGiTQH(?h{*hLfexzW$q z@7E^mdlUAnNxWMo>`r{<^o<|S=`dj#jm-a)Gkg4;aTYaU<>GtISt-7UoYjo;fqm35 z>DR!dUo(?^v^IHcJCnx_i0@P9P?LD0OxSo6HpPU^G#(3{+kBILOHBH$Fkx#=*d`Me z@AVY+yW6DS0VA``cOEers}sh4i1)Gyd)>$o@4QL8_e}bIXwvVJNx#oc`h8{6@2W|^ zAC3L+JbpDY9P%oTF~HJHm~^0+9&<8ZC! z%4F;Zmfgr~^If@29$UbqUlEgjB~AL3HR)H;*bm22&4kr9VGT@JQzOIkXlb(FwkG{L znDpyn(yxa}zrH5@2AcFUc@M#5@*aX~yz%uIp2uX@6!-N4mSSGFxn6KBk7LVYuOVEk zP5PaPUvph&P1su|?1BlqXu__J#c8Y7Y$yjSS5gnhmeQDuvbxtryxjw0Y<=q3uIEg?0_?6FMMtXz0k$aiNn! zr-#lCOBi1LE)j{MZ-shj|-m?J|lcy_@eM-;VZ+}hHnht8oo1pPxz7W zQ{iXB&xcmp7TTJCFkePubfw%KRSPP z-f-S_-f{W3tS-AN#N~2DxiY!3yK=b-xQe(+y2`pLx~jQqyBfHfx>~y0x;nVJxO%wy zx(2$2xkkChBX3?nPMmO^b-m@f;JWC#;`%lN8w`WOv1xqDquf$c{Qzh*+xLSv+xDiU)8*+6JS8?}}w70bNko;nYwD-pb zM;~l(;X^9v=*xbtz*Um>sY!cIF5$j18JG`U!f?l=415Y*0-apNt(h_~H&+XC6?Z+# zz(QPY6j)3K)~0l%w4uzP41xrF9B@(cyG%0RM!+AEUpA7qf_P_^H_Xd`J6ys|`O>x- zL3wkxw5`J7@+&;jwgKU7Be?^70%2GtyYTC+5`xXRs;$|jkU(3P4S2v`6BLdjZaRcr}lJ>pa zfUjCe`&n-Ij2mz(k+i?h4ftw=w12`4KX3!?1d_H|2yef_t@u8Jw13O3H@Fpd^GN$2 zT>X=)xCKWB`Em)j%*dc{F5wmz8I%rPf--Uy_oc|7ELgSG#^DBKC&L{jGKljL#0hZX zHV_$9jH@NNihDO?Pz5gGUJDsijT>rm6*ox8paxuRj8)#%AZ>MUq(Pmzidzw6P)}?P zZe_bHgZfaGQ?^ikh6G1bic%^S4dZzj1FjxdHbS$Y73;gQMZXjR4Xi(a#ZtE)KfRg^%#1BZMp?Su`0we3!QR z2<6Da4f(mD7&qXvbLl9AB}aL#;sbB#Xv8IaKrJ2hxS=&y@u{+ObmS5~1(uHXJhTRk z$ANGR<_3J!Djh?(I-INcd{jC{aCJ0S@u8-4jN$5dJl2IzBBf)3W1@6SaZHuAh72{G ztFvi6eC8(|bGU@h=%ix_9>LG2q+$rpufuvɺNXvLo<{wDBo~ z{LWn-;c97wx3$O4ZO>r?zr-PJUAVf38%~qqs|?ac1KaQoHEBD+trxff-$anMH@N}d zD3i8#xS8tXQ-Q8z0HIC2V(cbsy%=b z#?|8JVy{hUf_>PVV#!v6tIa6QDJ>{tC{r*byg5|b$Fi2-i*nLF5j(dp;Rf8>Ded#P z0bgvBc2+&RgZn*&t-MEC2EN84eS@pGu~`Pb#V$TP7CVncvhz1Q+?5Qd@a>Qfp}T$X%7HstX&qBN#7p){p5W2oj_YC&m9X+>#G zd4|#kA}UZSa;?iKs5Xw->Fv@Vs2zD9CrBRg8lrfaC+%S$Zo~sim6S+Ex zOOrWFQ@AvhGL15wGJ_jtQf5(RQ|3_SQszrELdqh_V#*TAQpz&Q3zX&Tyn?cl zvWl{rvWBvjvW~Kzoi|W6QZ`XGQ?^jHQnpdHLo9hYzdQJ3c9Olw0K2%fo3e+pm$Hwt zpK^ebhMvR^3JZCVtA{9uA)*qOj&P|8r7~9|x%3CEyq^X?^;nKU5(Uh^= zI*QVstBtudhVlkS<1FP(%4|w^ZupW*T`B+JYF{q(p!DPFDK1^4d`g*1R*O=PQioC( zVkw6#vsAQH5|+YT!j+(~bhq>n;wA_CPs(Wqc$G4X51UNcOgTxmlrn>>TPQmyTPfQp zJ1H-)%RnyeqP$4iNLj%Rt0`+Jd&rh^X*ZWva%mrzHc{46Hc(bkwo~>}rn28M$`nd< zF#nnUvnam`QOFhj>GLfs}DbH~1TT$Aub8AWy$~;O_%6!Tk z%3?g$ve&XtSPobY3cnvHKT>|8{Oos4`2E7AUn##)u2X)e+~C$fxO9_Cx485tIn z%HNcKD0d+K0{#3YMWdu4^YO=zp;;(?6n~195t zQh-vFQjk)JQkYVNQjAiZQi4*FQi@WVp~_IoabO-6-8DJt#dXy(qmYeb~7#r5~j~WdLO$We{aB zWe8;`pT{sR4d-J=aA_oE6lFAJ3}q~39A!LZ0%am)5=5LsE=VV`LOLknlrXYjE(KBS zTn(gza5WT5mU))>kbpOYDC0j_h^yEj-l1Hk{7(6f@;&7z%Ex$^_=IwUt3ObFq+BBV zjPf&AU*ghllna#iDX&vLr+h*An(|+EzCtxN?*zV z%3#Vc%1FvM%0!6f;?fk#bjmEsT*@NKQp$45D#}{Q28fo9OPeX%C_5>;Df=h~DMu*B zC?_drAX-K)y-InV@+ReN%6pU#DEJv+k>(QRGUZE%mW@kaQLa$Fqx?v@M){3$gK~@V z7v&B_%K`DxD83Ybij5LP3892jA}Hx8nIKwjE@h=eQ*u%AQVLRvQA$$EP|8y(LbUu` z;=K7(r_`dq4PZq(Q0z(IOP=OWyFv>{EI0}bOskb!dRr3bRMrqk%St~8uEjy%T7nep^MhnXf%S>Sz%FF2~l$z{}_vVD9 ngXKA4X<=z8EGsN4rDY8sAr|n|v5>NeQWFwYA9w1e@fG5K@7NbP