From 74207eab875c8ede37fd30fb0dc835d8f086da81 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Tue, 13 Oct 2020 15:48:32 +0500 Subject: [PATCH] Aligner Updated --- el2_ifu_aln_ctl.fir | 1421 ++++++++--------- el2_ifu_aln_ctl.v | 526 +++--- src/main/scala/ifu/el2_ifu_aln_ctl.scala | 4 +- .../classes/ifu/el2_ifu_aln_ctl$$anon$1.class | Bin 6822 -> 6822 bytes .../classes/ifu/el2_ifu_aln_ctl.class | Bin 192167 -> 192117 bytes 5 files changed, 975 insertions(+), 976 deletions(-) diff --git a/el2_ifu_aln_ctl.fir b/el2_ifu_aln_ctl.fir index 569ad067..e7d25a59 100644 --- a/el2_ifu_aln_ctl.fir +++ b/el2_ifu_aln_ctl.fir @@ -1996,7 +1996,7 @@ circuit el2_ifu_aln_ctl : module el2_ifu_aln_ctl : input clock : Clock input reset : UInt<1> - output io : {flip scan_mode : UInt<1>, flip active_clk : Clock, flip ifu_async_error_start : UInt<1>, flip iccm_rd_ecc_double_err : UInt<1>, flip ic_access_fault_f : UInt<1>, flip ic_access_fault_type_f : UInt<2>, flip ifu_bp_fghr_f : UInt<8>, flip ifu_bp_btb_target_f : UInt<32>, flip ifu_bp_poffset_f : UInt<12>, flip ifu_bp_hist0_f : UInt<2>, flip ifu_bp_hist1_f : UInt<2>, flip ifu_bp_pc4_f : UInt<2>, flip ifu_bp_way_f : UInt<2>, flip ifu_bp_valid_f : UInt<2>, flip ifu_bp_ret_f : UInt<2>, flip exu_flush_final : UInt<1>, flip dec_i0_decode_d : UInt<1>, flip ifu_fetch_data_f : UInt<32>, flip ifu_fetch_val : UInt<2>, flip ifu_fetch_pc : UInt<31>, ifu_i0_valid : UInt<1>, ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, ifu_fb_consume1 : UInt<1>, ifu_fb_consume2 : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_pmu_instr_aligned : UInt<1>, ifu_i0_cinst : UInt<16>, i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}} + output io : {flip scan_mode : UInt<1>, flip active_clk : Clock, flip ifu_async_error_start : UInt<1>, flip iccm_rd_ecc_double_err : UInt<1>, flip ic_access_fault_f : UInt<1>, flip ic_access_fault_type_f : UInt<2>, flip ifu_bp_fghr_f : UInt<8>, flip ifu_bp_btb_target_f : UInt<31>, flip ifu_bp_poffset_f : UInt<12>, flip ifu_bp_hist0_f : UInt<2>, flip ifu_bp_hist1_f : UInt<2>, flip ifu_bp_pc4_f : UInt<2>, flip ifu_bp_way_f : UInt<2>, flip ifu_bp_valid_f : UInt<2>, flip ifu_bp_ret_f : UInt<2>, flip exu_flush_final : UInt<1>, flip dec_i0_decode_d : UInt<1>, flip ifu_fetch_data_f : UInt<32>, flip ifu_fetch_val : UInt<2>, flip ifu_fetch_pc : UInt<31>, ifu_i0_valid : UInt<1>, ifu_i0_icaf : UInt<1>, ifu_i0_icaf_type : UInt<2>, ifu_i0_icaf_f1 : UInt<1>, ifu_i0_dbecc : UInt<1>, ifu_i0_instr : UInt<32>, ifu_i0_pc : UInt<31>, ifu_i0_pc4 : UInt<1>, ifu_fb_consume1 : UInt<1>, ifu_fb_consume2 : UInt<1>, ifu_i0_bp_index : UInt<8>, ifu_i0_bp_fghr : UInt<8>, ifu_i0_bp_btag : UInt<5>, ifu_pmu_instr_aligned : UInt<1>, ifu_i0_cinst : UInt<16>, i0_brp : {valid : UInt<1>, toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}} io.ifu_i0_valid <= UInt<1>("h00") @[el2_ifu_aln_ctl.scala 47:19] io.ifu_i0_icaf <= UInt<1>("h00") @[el2_ifu_aln_ctl.scala 48:18] @@ -2403,756 +2403,755 @@ circuit el2_ifu_aln_ctl : node q0sel = cat(q0ptr, _T_202) @[Cat.scala 29:58] node _T_203 = eq(q1ptr, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 196:26] node q1sel = cat(q1ptr, _T_203) @[Cat.scala 29:58] - node _T_204 = bits(io.ifu_bp_btb_target_f, 31, 1) @[el2_ifu_aln_ctl.scala 199:27] - node _T_205 = cat(_T_204, io.ifu_bp_poffset_f) @[Cat.scala 29:58] - node _T_206 = cat(_T_205, io.ifu_bp_fghr_f) @[Cat.scala 29:58] - node _T_207 = cat(io.iccm_rd_ecc_double_err, io.ic_access_fault_f) @[Cat.scala 29:58] - node _T_208 = cat(_T_207, io.ic_access_fault_type_f) @[Cat.scala 29:58] - node _T_209 = cat(_T_208, _T_206) @[Cat.scala 29:58] - misc_data_in <= _T_209 @[el2_ifu_aln_ctl.scala 198:16] - node _T_210 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 201:31] - node _T_211 = bits(_T_210, 0, 0) @[el2_ifu_aln_ctl.scala 201:41] - node _T_212 = cat(misc1, misc0) @[Cat.scala 29:58] - node _T_213 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 202:9] - node _T_214 = bits(_T_213, 0, 0) @[el2_ifu_aln_ctl.scala 202:19] - node _T_215 = cat(misc2, misc1) @[Cat.scala 29:58] - node _T_216 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 203:9] - node _T_217 = bits(_T_216, 0, 0) @[el2_ifu_aln_ctl.scala 203:19] - node _T_218 = cat(misc0, misc2) @[Cat.scala 29:58] - node _T_219 = mux(_T_211, _T_212, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_220 = mux(_T_214, _T_215, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_221 = mux(_T_217, _T_218, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_222 = or(_T_219, _T_220) @[Mux.scala 27:72] - node _T_223 = or(_T_222, _T_221) @[Mux.scala 27:72] + node _T_204 = cat(io.ifu_bp_btb_target_f, io.ifu_bp_poffset_f) @[Cat.scala 29:58] + node _T_205 = cat(_T_204, io.ifu_bp_fghr_f) @[Cat.scala 29:58] + node _T_206 = cat(io.iccm_rd_ecc_double_err, io.ic_access_fault_f) @[Cat.scala 29:58] + node _T_207 = cat(_T_206, io.ic_access_fault_type_f) @[Cat.scala 29:58] + node _T_208 = cat(_T_207, _T_205) @[Cat.scala 29:58] + misc_data_in <= _T_208 @[el2_ifu_aln_ctl.scala 198:16] + node _T_209 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 201:31] + node _T_210 = bits(_T_209, 0, 0) @[el2_ifu_aln_ctl.scala 201:41] + node _T_211 = cat(misc1, misc0) @[Cat.scala 29:58] + node _T_212 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 202:9] + node _T_213 = bits(_T_212, 0, 0) @[el2_ifu_aln_ctl.scala 202:19] + node _T_214 = cat(misc2, misc1) @[Cat.scala 29:58] + node _T_215 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 203:9] + node _T_216 = bits(_T_215, 0, 0) @[el2_ifu_aln_ctl.scala 203:19] + node _T_217 = cat(misc0, misc2) @[Cat.scala 29:58] + node _T_218 = mux(_T_210, _T_211, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_219 = mux(_T_213, _T_214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_220 = mux(_T_216, _T_217, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_221 = or(_T_218, _T_219) @[Mux.scala 27:72] + node _T_222 = or(_T_221, _T_220) @[Mux.scala 27:72] wire misceff : UInt<108> @[Mux.scala 27:72] - misceff <= _T_223 @[Mux.scala 27:72] + misceff <= _T_222 @[Mux.scala 27:72] node misc1eff = bits(misceff, 107, 55) @[el2_ifu_aln_ctl.scala 205:25] node misc0eff = bits(misceff, 54, 0) @[el2_ifu_aln_ctl.scala 206:25] node f1dbecc = bits(misc1eff, 52, 52) @[el2_ifu_aln_ctl.scala 209:25] - node _T_224 = bits(misc1eff, 51, 51) @[el2_ifu_aln_ctl.scala 210:21] - f1icaf <= _T_224 @[el2_ifu_aln_ctl.scala 210:10] + node _T_223 = bits(misc1eff, 51, 51) @[el2_ifu_aln_ctl.scala 210:21] + f1icaf <= _T_223 @[el2_ifu_aln_ctl.scala 210:10] node f1ictype = bits(misc1eff, 50, 49) @[el2_ifu_aln_ctl.scala 211:26] node f1prett = bits(misc1eff, 48, 18) @[el2_ifu_aln_ctl.scala 212:25] node f1poffset = bits(misc1eff, 19, 8) @[el2_ifu_aln_ctl.scala 213:27] node f1fghr = bits(misc1eff, 7, 0) @[el2_ifu_aln_ctl.scala 214:24] node f0dbecc = bits(misc0eff, 54, 54) @[el2_ifu_aln_ctl.scala 216:25] - node _T_225 = bits(misc0eff, 53, 53) @[el2_ifu_aln_ctl.scala 217:21] - f0icaf <= _T_225 @[el2_ifu_aln_ctl.scala 217:10] + node _T_224 = bits(misc0eff, 53, 53) @[el2_ifu_aln_ctl.scala 217:21] + f0icaf <= _T_224 @[el2_ifu_aln_ctl.scala 217:10] node f0ictype = bits(misc0eff, 52, 51) @[el2_ifu_aln_ctl.scala 218:26] node f0prett = bits(misc0eff, 50, 18) @[el2_ifu_aln_ctl.scala 219:25] node f0poffset = bits(misc0eff, 17, 5) @[el2_ifu_aln_ctl.scala 220:27] node f0fghr = bits(misc0eff, 4, 0) @[el2_ifu_aln_ctl.scala 221:24] - node _T_226 = bits(io.ifu_bp_hist1_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:37] - node _T_227 = bits(io.ifu_bp_hist0_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:58] - node _T_228 = bits(io.ifu_bp_pc4_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:77] - node _T_229 = bits(io.ifu_bp_way_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:96] - node _T_230 = bits(io.ifu_bp_valid_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:117] - node _T_231 = bits(io.ifu_bp_ret_f, 1, 1) @[el2_ifu_aln_ctl.scala 224:20] - node _T_232 = bits(io.ifu_bp_hist1_f, 0, 0) @[el2_ifu_aln_ctl.scala 224:42] - node _T_233 = bits(io.ifu_bp_hist0_f, 0, 0) @[el2_ifu_aln_ctl.scala 224:63] - node _T_234 = bits(io.ifu_bp_pc4_f, 0, 0) @[el2_ifu_aln_ctl.scala 224:82] - node _T_235 = bits(io.ifu_bp_way_f, 0, 0) @[el2_ifu_aln_ctl.scala 224:101] - node _T_236 = bits(io.ifu_bp_valid_f, 0, 0) @[el2_ifu_aln_ctl.scala 225:22] - node _T_237 = bits(io.ifu_bp_ret_f, 0, 0) @[el2_ifu_aln_ctl.scala 225:41] - node _T_238 = cat(_T_235, _T_236) @[Cat.scala 29:58] - node _T_239 = cat(_T_238, _T_237) @[Cat.scala 29:58] - node _T_240 = cat(_T_232, _T_233) @[Cat.scala 29:58] - node _T_241 = cat(_T_240, _T_234) @[Cat.scala 29:58] - node _T_242 = cat(_T_241, _T_239) @[Cat.scala 29:58] - node _T_243 = cat(_T_229, _T_230) @[Cat.scala 29:58] - node _T_244 = cat(_T_243, _T_231) @[Cat.scala 29:58] - node _T_245 = cat(_T_226, _T_227) @[Cat.scala 29:58] - node _T_246 = cat(_T_245, _T_228) @[Cat.scala 29:58] - node _T_247 = cat(_T_246, _T_244) @[Cat.scala 29:58] - node _T_248 = cat(_T_247, _T_242) @[Cat.scala 29:58] - brdata_in <= _T_248 @[el2_ifu_aln_ctl.scala 223:13] - node _T_249 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 227:33] - node _T_250 = bits(_T_249, 0, 0) @[el2_ifu_aln_ctl.scala 227:37] - node _T_251 = cat(brdata1, brdata0) @[Cat.scala 29:58] - node _T_252 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 228:9] - node _T_253 = bits(_T_252, 0, 0) @[el2_ifu_aln_ctl.scala 228:13] - node _T_254 = cat(brdata2, brdata1) @[Cat.scala 29:58] - node _T_255 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 229:9] - node _T_256 = bits(_T_255, 0, 0) @[el2_ifu_aln_ctl.scala 229:13] - node _T_257 = cat(brdata0, brdata2) @[Cat.scala 29:58] - node _T_258 = mux(_T_250, _T_251, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_259 = mux(_T_253, _T_254, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_260 = mux(_T_256, _T_257, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_261 = or(_T_258, _T_259) @[Mux.scala 27:72] - node _T_262 = or(_T_261, _T_260) @[Mux.scala 27:72] + node _T_225 = bits(io.ifu_bp_hist1_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:37] + node _T_226 = bits(io.ifu_bp_hist0_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:58] + node _T_227 = bits(io.ifu_bp_pc4_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:77] + node _T_228 = bits(io.ifu_bp_way_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:96] + node _T_229 = bits(io.ifu_bp_valid_f, 1, 1) @[el2_ifu_aln_ctl.scala 223:117] + node _T_230 = bits(io.ifu_bp_ret_f, 1, 1) @[el2_ifu_aln_ctl.scala 224:20] + node _T_231 = bits(io.ifu_bp_hist1_f, 0, 0) @[el2_ifu_aln_ctl.scala 224:42] + node _T_232 = bits(io.ifu_bp_hist0_f, 0, 0) @[el2_ifu_aln_ctl.scala 224:63] + node _T_233 = bits(io.ifu_bp_pc4_f, 0, 0) @[el2_ifu_aln_ctl.scala 224:82] + node _T_234 = bits(io.ifu_bp_way_f, 0, 0) @[el2_ifu_aln_ctl.scala 224:101] + node _T_235 = bits(io.ifu_bp_valid_f, 0, 0) @[el2_ifu_aln_ctl.scala 225:22] + node _T_236 = bits(io.ifu_bp_ret_f, 0, 0) @[el2_ifu_aln_ctl.scala 225:41] + node _T_237 = cat(_T_234, _T_235) @[Cat.scala 29:58] + node _T_238 = cat(_T_237, _T_236) @[Cat.scala 29:58] + node _T_239 = cat(_T_231, _T_232) @[Cat.scala 29:58] + node _T_240 = cat(_T_239, _T_233) @[Cat.scala 29:58] + node _T_241 = cat(_T_240, _T_238) @[Cat.scala 29:58] + node _T_242 = cat(_T_228, _T_229) @[Cat.scala 29:58] + node _T_243 = cat(_T_242, _T_230) @[Cat.scala 29:58] + node _T_244 = cat(_T_225, _T_226) @[Cat.scala 29:58] + node _T_245 = cat(_T_244, _T_227) @[Cat.scala 29:58] + node _T_246 = cat(_T_245, _T_243) @[Cat.scala 29:58] + node _T_247 = cat(_T_246, _T_241) @[Cat.scala 29:58] + brdata_in <= _T_247 @[el2_ifu_aln_ctl.scala 223:13] + node _T_248 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 227:33] + node _T_249 = bits(_T_248, 0, 0) @[el2_ifu_aln_ctl.scala 227:37] + node _T_250 = cat(brdata1, brdata0) @[Cat.scala 29:58] + node _T_251 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 228:9] + node _T_252 = bits(_T_251, 0, 0) @[el2_ifu_aln_ctl.scala 228:13] + node _T_253 = cat(brdata2, brdata1) @[Cat.scala 29:58] + node _T_254 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 229:9] + node _T_255 = bits(_T_254, 0, 0) @[el2_ifu_aln_ctl.scala 229:13] + node _T_256 = cat(brdata0, brdata2) @[Cat.scala 29:58] + node _T_257 = mux(_T_249, _T_250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_258 = mux(_T_252, _T_253, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_259 = mux(_T_255, _T_256, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_260 = or(_T_257, _T_258) @[Mux.scala 27:72] + node _T_261 = or(_T_260, _T_259) @[Mux.scala 27:72] wire brdataeff : UInt<24> @[Mux.scala 27:72] - brdataeff <= _T_262 @[Mux.scala 27:72] + brdataeff <= _T_261 @[Mux.scala 27:72] node brdata0eff = bits(brdataeff, 11, 0) @[el2_ifu_aln_ctl.scala 231:43] node brdata1eff = bits(brdataeff, 23, 12) @[el2_ifu_aln_ctl.scala 231:61] - node _T_263 = bits(q0sel, 0, 0) @[el2_ifu_aln_ctl.scala 233:37] - node _T_264 = bits(_T_263, 0, 0) @[el2_ifu_aln_ctl.scala 233:41] - node _T_265 = bits(q0sel, 1, 1) @[el2_ifu_aln_ctl.scala 233:68] - node _T_266 = bits(_T_265, 0, 0) @[el2_ifu_aln_ctl.scala 233:72] - node _T_267 = bits(brdata0eff, 11, 6) @[el2_ifu_aln_ctl.scala 233:92] - node _T_268 = mux(_T_264, brdata0eff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_269 = mux(_T_266, _T_267, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_270 = or(_T_268, _T_269) @[Mux.scala 27:72] + node _T_262 = bits(q0sel, 0, 0) @[el2_ifu_aln_ctl.scala 233:37] + node _T_263 = bits(_T_262, 0, 0) @[el2_ifu_aln_ctl.scala 233:41] + node _T_264 = bits(q0sel, 1, 1) @[el2_ifu_aln_ctl.scala 233:68] + node _T_265 = bits(_T_264, 0, 0) @[el2_ifu_aln_ctl.scala 233:72] + node _T_266 = bits(brdata0eff, 11, 6) @[el2_ifu_aln_ctl.scala 233:92] + node _T_267 = mux(_T_263, brdata0eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_268 = mux(_T_265, _T_266, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_269 = or(_T_267, _T_268) @[Mux.scala 27:72] wire brdata0final : UInt<12> @[Mux.scala 27:72] - brdata0final <= _T_270 @[Mux.scala 27:72] - node _T_271 = bits(q1sel, 0, 0) @[el2_ifu_aln_ctl.scala 234:37] - node _T_272 = bits(_T_271, 0, 0) @[el2_ifu_aln_ctl.scala 234:41] - node _T_273 = bits(q1sel, 1, 1) @[el2_ifu_aln_ctl.scala 234:68] - node _T_274 = bits(_T_273, 0, 0) @[el2_ifu_aln_ctl.scala 234:72] - node _T_275 = bits(brdata1eff, 11, 6) @[el2_ifu_aln_ctl.scala 234:92] - node _T_276 = mux(_T_272, brdata1eff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_277 = mux(_T_274, _T_275, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_278 = or(_T_276, _T_277) @[Mux.scala 27:72] + brdata0final <= _T_269 @[Mux.scala 27:72] + node _T_270 = bits(q1sel, 0, 0) @[el2_ifu_aln_ctl.scala 234:37] + node _T_271 = bits(_T_270, 0, 0) @[el2_ifu_aln_ctl.scala 234:41] + node _T_272 = bits(q1sel, 1, 1) @[el2_ifu_aln_ctl.scala 234:68] + node _T_273 = bits(_T_272, 0, 0) @[el2_ifu_aln_ctl.scala 234:72] + node _T_274 = bits(brdata1eff, 11, 6) @[el2_ifu_aln_ctl.scala 234:92] + node _T_275 = mux(_T_271, brdata1eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_276 = mux(_T_273, _T_274, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_277 = or(_T_275, _T_276) @[Mux.scala 27:72] wire brdata1final : UInt<12> @[Mux.scala 27:72] - brdata1final <= _T_278 @[Mux.scala 27:72] - node _T_279 = bits(brdata0final, 6, 6) @[el2_ifu_aln_ctl.scala 236:31] - node _T_280 = bits(brdata0final, 0, 0) @[el2_ifu_aln_ctl.scala 236:47] - node f0ret = cat(_T_279, _T_280) @[Cat.scala 29:58] - node _T_281 = bits(brdata0final, 7, 7) @[el2_ifu_aln_ctl.scala 237:33] - node _T_282 = bits(brdata0final, 1, 1) @[el2_ifu_aln_ctl.scala 237:49] - node f0brend = cat(_T_281, _T_282) @[Cat.scala 29:58] - node _T_283 = bits(brdata0final, 8, 8) @[el2_ifu_aln_ctl.scala 238:31] - node _T_284 = bits(brdata0final, 2, 2) @[el2_ifu_aln_ctl.scala 238:47] - node f0way = cat(_T_283, _T_284) @[Cat.scala 29:58] - node _T_285 = bits(brdata0final, 9, 9) @[el2_ifu_aln_ctl.scala 239:31] - node _T_286 = bits(brdata0final, 3, 3) @[el2_ifu_aln_ctl.scala 239:47] - node f0pc4 = cat(_T_285, _T_286) @[Cat.scala 29:58] - node _T_287 = bits(brdata0final, 10, 10) @[el2_ifu_aln_ctl.scala 240:33] - node _T_288 = bits(brdata0final, 4, 4) @[el2_ifu_aln_ctl.scala 240:50] - node f0hist0 = cat(_T_287, _T_288) @[Cat.scala 29:58] - node _T_289 = bits(brdata0final, 11, 11) @[el2_ifu_aln_ctl.scala 241:33] - node _T_290 = bits(brdata0final, 5, 5) @[el2_ifu_aln_ctl.scala 241:50] - node f0hist1 = cat(_T_289, _T_290) @[Cat.scala 29:58] - node _T_291 = bits(brdata1final, 6, 6) @[el2_ifu_aln_ctl.scala 243:31] - node _T_292 = bits(brdata1final, 0, 0) @[el2_ifu_aln_ctl.scala 243:47] - node f1ret = cat(_T_291, _T_292) @[Cat.scala 29:58] - node _T_293 = bits(brdata1final, 7, 7) @[el2_ifu_aln_ctl.scala 244:33] - node _T_294 = bits(brdata1final, 1, 1) @[el2_ifu_aln_ctl.scala 244:49] - node f1brend = cat(_T_293, _T_294) @[Cat.scala 29:58] - node _T_295 = bits(brdata1final, 8, 8) @[el2_ifu_aln_ctl.scala 245:31] - node _T_296 = bits(brdata1final, 2, 2) @[el2_ifu_aln_ctl.scala 245:47] - node f1way = cat(_T_295, _T_296) @[Cat.scala 29:58] - node _T_297 = bits(brdata1final, 9, 9) @[el2_ifu_aln_ctl.scala 246:31] - node _T_298 = bits(brdata1final, 3, 3) @[el2_ifu_aln_ctl.scala 246:47] - node f1pc4 = cat(_T_297, _T_298) @[Cat.scala 29:58] - node _T_299 = bits(brdata1final, 10, 10) @[el2_ifu_aln_ctl.scala 247:33] - node _T_300 = bits(brdata1final, 4, 4) @[el2_ifu_aln_ctl.scala 247:50] - node f1hist0 = cat(_T_299, _T_300) @[Cat.scala 29:58] - node _T_301 = bits(brdata1final, 11, 11) @[el2_ifu_aln_ctl.scala 248:33] - node _T_302 = bits(brdata1final, 5, 5) @[el2_ifu_aln_ctl.scala 248:50] - node f1hist1 = cat(_T_301, _T_302) @[Cat.scala 29:58] - node _T_303 = bits(f2val, 0, 0) @[el2_ifu_aln_ctl.scala 251:20] - f2_valid <= _T_303 @[el2_ifu_aln_ctl.scala 251:12] - node _T_304 = bits(sf1val, 0, 0) @[el2_ifu_aln_ctl.scala 252:22] - sf1_valid <= _T_304 @[el2_ifu_aln_ctl.scala 252:13] - node _T_305 = bits(sf0val, 0, 0) @[el2_ifu_aln_ctl.scala 253:22] - sf0_valid <= _T_305 @[el2_ifu_aln_ctl.scala 253:13] - node _T_306 = bits(sf0val, 0, 0) @[el2_ifu_aln_ctl.scala 255:28] - node _T_307 = eq(_T_306, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 255:21] - node _T_308 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 255:39] - node consume_fb0 = and(_T_307, _T_308) @[el2_ifu_aln_ctl.scala 255:32] - node _T_309 = bits(sf1val, 0, 0) @[el2_ifu_aln_ctl.scala 256:28] - node _T_310 = eq(_T_309, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 256:21] - node _T_311 = bits(f1val, 0, 0) @[el2_ifu_aln_ctl.scala 256:39] - node consume_fb1 = and(_T_310, _T_311) @[el2_ifu_aln_ctl.scala 256:32] - node _T_312 = eq(consume_fb1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 258:39] - node _T_313 = and(consume_fb0, _T_312) @[el2_ifu_aln_ctl.scala 258:37] - node _T_314 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 258:54] - node _T_315 = and(_T_313, _T_314) @[el2_ifu_aln_ctl.scala 258:52] - io.ifu_fb_consume1 <= _T_315 @[el2_ifu_aln_ctl.scala 258:22] - node _T_316 = and(consume_fb0, consume_fb1) @[el2_ifu_aln_ctl.scala 259:37] - node _T_317 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 259:54] - node _T_318 = and(_T_316, _T_317) @[el2_ifu_aln_ctl.scala 259:52] - io.ifu_fb_consume2 <= _T_318 @[el2_ifu_aln_ctl.scala 259:22] - node _T_319 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_aln_ctl.scala 261:30] - ifvalid <= _T_319 @[el2_ifu_aln_ctl.scala 261:11] - node _T_320 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 263:18] - node _T_321 = and(_T_320, sf1_valid) @[el2_ifu_aln_ctl.scala 263:29] - shift_f1_f0 <= _T_321 @[el2_ifu_aln_ctl.scala 263:15] - node _T_322 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 264:18] - node _T_323 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 264:31] - node _T_324 = and(_T_322, _T_323) @[el2_ifu_aln_ctl.scala 264:29] - node _T_325 = and(_T_324, f2_valid) @[el2_ifu_aln_ctl.scala 264:42] - shift_f2_f0 <= _T_325 @[el2_ifu_aln_ctl.scala 264:15] - node _T_326 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 265:18] - node _T_327 = and(_T_326, sf1_valid) @[el2_ifu_aln_ctl.scala 265:29] - node _T_328 = and(_T_327, f2_valid) @[el2_ifu_aln_ctl.scala 265:42] - shift_f2_f1 <= _T_328 @[el2_ifu_aln_ctl.scala 265:15] - node _T_329 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 267:26] - node _T_330 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 267:39] - node _T_331 = and(_T_329, _T_330) @[el2_ifu_aln_ctl.scala 267:37] - node _T_332 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 267:52] - node _T_333 = and(_T_331, _T_332) @[el2_ifu_aln_ctl.scala 267:50] - node _T_334 = and(_T_333, ifvalid) @[el2_ifu_aln_ctl.scala 267:62] - fetch_to_f0 <= _T_334 @[el2_ifu_aln_ctl.scala 267:22] - node _T_335 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 268:26] - node _T_336 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 268:39] - node _T_337 = and(_T_335, _T_336) @[el2_ifu_aln_ctl.scala 268:37] - node _T_338 = and(_T_337, f2_valid) @[el2_ifu_aln_ctl.scala 268:50] - node _T_339 = and(_T_338, ifvalid) @[el2_ifu_aln_ctl.scala 268:62] - node _T_340 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 269:26] - node _T_341 = and(_T_340, sf1_valid) @[el2_ifu_aln_ctl.scala 269:37] - node _T_342 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 269:52] - node _T_343 = and(_T_341, _T_342) @[el2_ifu_aln_ctl.scala 269:50] - node _T_344 = and(_T_343, ifvalid) @[el2_ifu_aln_ctl.scala 269:62] - node _T_345 = or(_T_339, _T_344) @[el2_ifu_aln_ctl.scala 268:74] - node _T_346 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 270:39] - node _T_347 = and(sf0_valid, _T_346) @[el2_ifu_aln_ctl.scala 270:37] - node _T_348 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 270:52] - node _T_349 = and(_T_347, _T_348) @[el2_ifu_aln_ctl.scala 270:50] - node _T_350 = and(_T_349, ifvalid) @[el2_ifu_aln_ctl.scala 270:62] - node _T_351 = or(_T_345, _T_350) @[el2_ifu_aln_ctl.scala 269:74] - fetch_to_f1 <= _T_351 @[el2_ifu_aln_ctl.scala 268:22] - node _T_352 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 272:26] - node _T_353 = and(_T_352, sf1_valid) @[el2_ifu_aln_ctl.scala 272:37] - node _T_354 = and(_T_353, f2_valid) @[el2_ifu_aln_ctl.scala 272:50] - node _T_355 = and(_T_354, ifvalid) @[el2_ifu_aln_ctl.scala 272:62] - node _T_356 = and(sf0_valid, sf1_valid) @[el2_ifu_aln_ctl.scala 273:37] - node _T_357 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 273:52] - node _T_358 = and(_T_356, _T_357) @[el2_ifu_aln_ctl.scala 273:50] - node _T_359 = and(_T_358, ifvalid) @[el2_ifu_aln_ctl.scala 273:62] - node _T_360 = or(_T_355, _T_359) @[el2_ifu_aln_ctl.scala 272:74] - fetch_to_f2 <= _T_360 @[el2_ifu_aln_ctl.scala 272:22] - node _T_361 = add(f0pc, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 275:25] - node f0pc_plus1 = tail(_T_361, 1) @[el2_ifu_aln_ctl.scala 275:25] - node _T_362 = add(f1pc, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 277:25] - node f1pc_plus1 = tail(_T_362, 1) @[el2_ifu_aln_ctl.scala 277:25] - node _T_363 = bits(f1_shift_2B, 0, 0) @[Bitwise.scala 72:15] - node _T_364 = mux(_T_363, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] - node _T_365 = and(_T_364, f1pc_plus1) @[el2_ifu_aln_ctl.scala 279:38] - node _T_366 = eq(f1_shift_2B, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 279:64] - node _T_367 = bits(_T_366, 0, 0) @[Bitwise.scala 72:15] - node _T_368 = mux(_T_367, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] - node _T_369 = and(_T_368, f1pc) @[el2_ifu_aln_ctl.scala 279:78] - node sf1pc = or(_T_365, _T_369) @[el2_ifu_aln_ctl.scala 279:52] - node _T_370 = bits(fetch_to_f1, 0, 0) @[el2_ifu_aln_ctl.scala 281:36] - node _T_371 = bits(shift_f2_f1, 0, 0) @[el2_ifu_aln_ctl.scala 282:17] - node _T_372 = eq(fetch_to_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 283:6] - node _T_373 = eq(shift_f2_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 283:21] - node _T_374 = and(_T_372, _T_373) @[el2_ifu_aln_ctl.scala 283:19] - node _T_375 = bits(_T_374, 0, 0) @[el2_ifu_aln_ctl.scala 283:35] - node _T_376 = mux(_T_370, io.ifu_fetch_pc, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_377 = mux(_T_371, f2pc, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_378 = mux(_T_375, sf1pc, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_379 = or(_T_376, _T_377) @[Mux.scala 27:72] - node _T_380 = or(_T_379, _T_378) @[Mux.scala 27:72] - wire _T_381 : UInt @[Mux.scala 27:72] - _T_381 <= _T_380 @[Mux.scala 27:72] - f1pc_in <= _T_381 @[el2_ifu_aln_ctl.scala 281:11] - node _T_382 = bits(fetch_to_f0, 0, 0) @[el2_ifu_aln_ctl.scala 285:36] - node _T_383 = bits(shift_f2_f0, 0, 0) @[el2_ifu_aln_ctl.scala 286:36] - node _T_384 = bits(shift_f1_f0, 0, 0) @[el2_ifu_aln_ctl.scala 287:36] - node _T_385 = eq(fetch_to_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 288:24] - node _T_386 = eq(shift_f2_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 288:39] - node _T_387 = and(_T_385, _T_386) @[el2_ifu_aln_ctl.scala 288:37] - node _T_388 = eq(shift_f1_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 288:54] - node _T_389 = and(_T_387, _T_388) @[el2_ifu_aln_ctl.scala 288:52] - node _T_390 = bits(_T_389, 0, 0) @[el2_ifu_aln_ctl.scala 288:68] - node _T_391 = mux(_T_382, io.ifu_fetch_pc, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_392 = mux(_T_383, f2pc, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_393 = mux(_T_384, sf1pc, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_394 = mux(_T_390, f0pc_plus1, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_395 = or(_T_391, _T_392) @[Mux.scala 27:72] + brdata1final <= _T_277 @[Mux.scala 27:72] + node _T_278 = bits(brdata0final, 6, 6) @[el2_ifu_aln_ctl.scala 236:31] + node _T_279 = bits(brdata0final, 0, 0) @[el2_ifu_aln_ctl.scala 236:47] + node f0ret = cat(_T_278, _T_279) @[Cat.scala 29:58] + node _T_280 = bits(brdata0final, 7, 7) @[el2_ifu_aln_ctl.scala 237:33] + node _T_281 = bits(brdata0final, 1, 1) @[el2_ifu_aln_ctl.scala 237:49] + node f0brend = cat(_T_280, _T_281) @[Cat.scala 29:58] + node _T_282 = bits(brdata0final, 8, 8) @[el2_ifu_aln_ctl.scala 238:31] + node _T_283 = bits(brdata0final, 2, 2) @[el2_ifu_aln_ctl.scala 238:47] + node f0way = cat(_T_282, _T_283) @[Cat.scala 29:58] + node _T_284 = bits(brdata0final, 9, 9) @[el2_ifu_aln_ctl.scala 239:31] + node _T_285 = bits(brdata0final, 3, 3) @[el2_ifu_aln_ctl.scala 239:47] + node f0pc4 = cat(_T_284, _T_285) @[Cat.scala 29:58] + node _T_286 = bits(brdata0final, 10, 10) @[el2_ifu_aln_ctl.scala 240:33] + node _T_287 = bits(brdata0final, 4, 4) @[el2_ifu_aln_ctl.scala 240:50] + node f0hist0 = cat(_T_286, _T_287) @[Cat.scala 29:58] + node _T_288 = bits(brdata0final, 11, 11) @[el2_ifu_aln_ctl.scala 241:33] + node _T_289 = bits(brdata0final, 5, 5) @[el2_ifu_aln_ctl.scala 241:50] + node f0hist1 = cat(_T_288, _T_289) @[Cat.scala 29:58] + node _T_290 = bits(brdata1final, 6, 6) @[el2_ifu_aln_ctl.scala 243:31] + node _T_291 = bits(brdata1final, 0, 0) @[el2_ifu_aln_ctl.scala 243:47] + node f1ret = cat(_T_290, _T_291) @[Cat.scala 29:58] + node _T_292 = bits(brdata1final, 7, 7) @[el2_ifu_aln_ctl.scala 244:33] + node _T_293 = bits(brdata1final, 1, 1) @[el2_ifu_aln_ctl.scala 244:49] + node f1brend = cat(_T_292, _T_293) @[Cat.scala 29:58] + node _T_294 = bits(brdata1final, 8, 8) @[el2_ifu_aln_ctl.scala 245:31] + node _T_295 = bits(brdata1final, 2, 2) @[el2_ifu_aln_ctl.scala 245:47] + node f1way = cat(_T_294, _T_295) @[Cat.scala 29:58] + node _T_296 = bits(brdata1final, 9, 9) @[el2_ifu_aln_ctl.scala 246:31] + node _T_297 = bits(brdata1final, 3, 3) @[el2_ifu_aln_ctl.scala 246:47] + node f1pc4 = cat(_T_296, _T_297) @[Cat.scala 29:58] + node _T_298 = bits(brdata1final, 10, 10) @[el2_ifu_aln_ctl.scala 247:33] + node _T_299 = bits(brdata1final, 4, 4) @[el2_ifu_aln_ctl.scala 247:50] + node f1hist0 = cat(_T_298, _T_299) @[Cat.scala 29:58] + node _T_300 = bits(brdata1final, 11, 11) @[el2_ifu_aln_ctl.scala 248:33] + node _T_301 = bits(brdata1final, 5, 5) @[el2_ifu_aln_ctl.scala 248:50] + node f1hist1 = cat(_T_300, _T_301) @[Cat.scala 29:58] + node _T_302 = bits(f2val, 0, 0) @[el2_ifu_aln_ctl.scala 251:20] + f2_valid <= _T_302 @[el2_ifu_aln_ctl.scala 251:12] + node _T_303 = bits(sf1val, 0, 0) @[el2_ifu_aln_ctl.scala 252:22] + sf1_valid <= _T_303 @[el2_ifu_aln_ctl.scala 252:13] + node _T_304 = bits(sf0val, 0, 0) @[el2_ifu_aln_ctl.scala 253:22] + sf0_valid <= _T_304 @[el2_ifu_aln_ctl.scala 253:13] + node _T_305 = bits(sf0val, 0, 0) @[el2_ifu_aln_ctl.scala 255:28] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 255:21] + node _T_307 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 255:39] + node consume_fb0 = and(_T_306, _T_307) @[el2_ifu_aln_ctl.scala 255:32] + node _T_308 = bits(sf1val, 0, 0) @[el2_ifu_aln_ctl.scala 256:28] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 256:21] + node _T_310 = bits(f1val, 0, 0) @[el2_ifu_aln_ctl.scala 256:39] + node consume_fb1 = and(_T_309, _T_310) @[el2_ifu_aln_ctl.scala 256:32] + node _T_311 = eq(consume_fb1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 258:39] + node _T_312 = and(consume_fb0, _T_311) @[el2_ifu_aln_ctl.scala 258:37] + node _T_313 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 258:54] + node _T_314 = and(_T_312, _T_313) @[el2_ifu_aln_ctl.scala 258:52] + io.ifu_fb_consume1 <= _T_314 @[el2_ifu_aln_ctl.scala 258:22] + node _T_315 = and(consume_fb0, consume_fb1) @[el2_ifu_aln_ctl.scala 259:37] + node _T_316 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 259:54] + node _T_317 = and(_T_315, _T_316) @[el2_ifu_aln_ctl.scala 259:52] + io.ifu_fb_consume2 <= _T_317 @[el2_ifu_aln_ctl.scala 259:22] + node _T_318 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_aln_ctl.scala 261:30] + ifvalid <= _T_318 @[el2_ifu_aln_ctl.scala 261:11] + node _T_319 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 263:18] + node _T_320 = and(_T_319, sf1_valid) @[el2_ifu_aln_ctl.scala 263:29] + shift_f1_f0 <= _T_320 @[el2_ifu_aln_ctl.scala 263:15] + node _T_321 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 264:18] + node _T_322 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 264:31] + node _T_323 = and(_T_321, _T_322) @[el2_ifu_aln_ctl.scala 264:29] + node _T_324 = and(_T_323, f2_valid) @[el2_ifu_aln_ctl.scala 264:42] + shift_f2_f0 <= _T_324 @[el2_ifu_aln_ctl.scala 264:15] + node _T_325 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 265:18] + node _T_326 = and(_T_325, sf1_valid) @[el2_ifu_aln_ctl.scala 265:29] + node _T_327 = and(_T_326, f2_valid) @[el2_ifu_aln_ctl.scala 265:42] + shift_f2_f1 <= _T_327 @[el2_ifu_aln_ctl.scala 265:15] + node _T_328 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 267:26] + node _T_329 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 267:39] + node _T_330 = and(_T_328, _T_329) @[el2_ifu_aln_ctl.scala 267:37] + node _T_331 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 267:52] + node _T_332 = and(_T_330, _T_331) @[el2_ifu_aln_ctl.scala 267:50] + node _T_333 = and(_T_332, ifvalid) @[el2_ifu_aln_ctl.scala 267:62] + fetch_to_f0 <= _T_333 @[el2_ifu_aln_ctl.scala 267:22] + node _T_334 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 268:26] + node _T_335 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 268:39] + node _T_336 = and(_T_334, _T_335) @[el2_ifu_aln_ctl.scala 268:37] + node _T_337 = and(_T_336, f2_valid) @[el2_ifu_aln_ctl.scala 268:50] + node _T_338 = and(_T_337, ifvalid) @[el2_ifu_aln_ctl.scala 268:62] + node _T_339 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 269:26] + node _T_340 = and(_T_339, sf1_valid) @[el2_ifu_aln_ctl.scala 269:37] + node _T_341 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 269:52] + node _T_342 = and(_T_340, _T_341) @[el2_ifu_aln_ctl.scala 269:50] + node _T_343 = and(_T_342, ifvalid) @[el2_ifu_aln_ctl.scala 269:62] + node _T_344 = or(_T_338, _T_343) @[el2_ifu_aln_ctl.scala 268:74] + node _T_345 = eq(sf1_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 270:39] + node _T_346 = and(sf0_valid, _T_345) @[el2_ifu_aln_ctl.scala 270:37] + node _T_347 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 270:52] + node _T_348 = and(_T_346, _T_347) @[el2_ifu_aln_ctl.scala 270:50] + node _T_349 = and(_T_348, ifvalid) @[el2_ifu_aln_ctl.scala 270:62] + node _T_350 = or(_T_344, _T_349) @[el2_ifu_aln_ctl.scala 269:74] + fetch_to_f1 <= _T_350 @[el2_ifu_aln_ctl.scala 268:22] + node _T_351 = eq(sf0_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 272:26] + node _T_352 = and(_T_351, sf1_valid) @[el2_ifu_aln_ctl.scala 272:37] + node _T_353 = and(_T_352, f2_valid) @[el2_ifu_aln_ctl.scala 272:50] + node _T_354 = and(_T_353, ifvalid) @[el2_ifu_aln_ctl.scala 272:62] + node _T_355 = and(sf0_valid, sf1_valid) @[el2_ifu_aln_ctl.scala 273:37] + node _T_356 = eq(f2_valid, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 273:52] + node _T_357 = and(_T_355, _T_356) @[el2_ifu_aln_ctl.scala 273:50] + node _T_358 = and(_T_357, ifvalid) @[el2_ifu_aln_ctl.scala 273:62] + node _T_359 = or(_T_354, _T_358) @[el2_ifu_aln_ctl.scala 272:74] + fetch_to_f2 <= _T_359 @[el2_ifu_aln_ctl.scala 272:22] + node _T_360 = add(f0pc, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 275:25] + node f0pc_plus1 = tail(_T_360, 1) @[el2_ifu_aln_ctl.scala 275:25] + node _T_361 = add(f1pc, UInt<1>("h01")) @[el2_ifu_aln_ctl.scala 277:25] + node f1pc_plus1 = tail(_T_361, 1) @[el2_ifu_aln_ctl.scala 277:25] + node _T_362 = bits(f1_shift_2B, 0, 0) @[Bitwise.scala 72:15] + node _T_363 = mux(_T_362, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_364 = and(_T_363, f1pc_plus1) @[el2_ifu_aln_ctl.scala 279:38] + node _T_365 = eq(f1_shift_2B, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 279:64] + node _T_366 = bits(_T_365, 0, 0) @[Bitwise.scala 72:15] + node _T_367 = mux(_T_366, UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] + node _T_368 = and(_T_367, f1pc) @[el2_ifu_aln_ctl.scala 279:78] + node sf1pc = or(_T_364, _T_368) @[el2_ifu_aln_ctl.scala 279:52] + node _T_369 = bits(fetch_to_f1, 0, 0) @[el2_ifu_aln_ctl.scala 281:36] + node _T_370 = bits(shift_f2_f1, 0, 0) @[el2_ifu_aln_ctl.scala 282:17] + node _T_371 = eq(fetch_to_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 283:6] + node _T_372 = eq(shift_f2_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 283:21] + node _T_373 = and(_T_371, _T_372) @[el2_ifu_aln_ctl.scala 283:19] + node _T_374 = bits(_T_373, 0, 0) @[el2_ifu_aln_ctl.scala 283:35] + node _T_375 = mux(_T_369, io.ifu_fetch_pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_376 = mux(_T_370, f2pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_377 = mux(_T_374, sf1pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_378 = or(_T_375, _T_376) @[Mux.scala 27:72] + node _T_379 = or(_T_378, _T_377) @[Mux.scala 27:72] + wire _T_380 : UInt @[Mux.scala 27:72] + _T_380 <= _T_379 @[Mux.scala 27:72] + f1pc_in <= _T_380 @[el2_ifu_aln_ctl.scala 281:11] + node _T_381 = bits(fetch_to_f0, 0, 0) @[el2_ifu_aln_ctl.scala 285:36] + node _T_382 = bits(shift_f2_f0, 0, 0) @[el2_ifu_aln_ctl.scala 286:36] + node _T_383 = bits(shift_f1_f0, 0, 0) @[el2_ifu_aln_ctl.scala 287:36] + node _T_384 = eq(fetch_to_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 288:24] + node _T_385 = eq(shift_f2_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 288:39] + node _T_386 = and(_T_384, _T_385) @[el2_ifu_aln_ctl.scala 288:37] + node _T_387 = eq(shift_f1_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 288:54] + node _T_388 = and(_T_386, _T_387) @[el2_ifu_aln_ctl.scala 288:52] + node _T_389 = bits(_T_388, 0, 0) @[el2_ifu_aln_ctl.scala 288:68] + node _T_390 = mux(_T_381, io.ifu_fetch_pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_391 = mux(_T_382, f2pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_392 = mux(_T_383, sf1pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_393 = mux(_T_389, f0pc_plus1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_394 = or(_T_390, _T_391) @[Mux.scala 27:72] + node _T_395 = or(_T_394, _T_392) @[Mux.scala 27:72] node _T_396 = or(_T_395, _T_393) @[Mux.scala 27:72] - node _T_397 = or(_T_396, _T_394) @[Mux.scala 27:72] - wire _T_398 : UInt @[Mux.scala 27:72] - _T_398 <= _T_397 @[Mux.scala 27:72] - f0pc_in <= _T_398 @[el2_ifu_aln_ctl.scala 285:11] - node _T_399 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 290:40] - node _T_400 = and(fetch_to_f2, _T_399) @[el2_ifu_aln_ctl.scala 290:38] - node _T_401 = bits(_T_400, 0, 0) @[el2_ifu_aln_ctl.scala 290:61] - node _T_402 = eq(fetch_to_f2, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 291:25] - node _T_403 = eq(shift_f2_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 291:40] - node _T_404 = and(_T_402, _T_403) @[el2_ifu_aln_ctl.scala 291:38] - node _T_405 = eq(shift_f2_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 291:55] - node _T_406 = and(_T_404, _T_405) @[el2_ifu_aln_ctl.scala 291:53] - node _T_407 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 291:70] - node _T_408 = and(_T_406, _T_407) @[el2_ifu_aln_ctl.scala 291:68] - node _T_409 = bits(_T_408, 0, 0) @[el2_ifu_aln_ctl.scala 291:91] - node _T_410 = mux(_T_401, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_411 = mux(_T_409, f2val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_412 = or(_T_410, _T_411) @[Mux.scala 27:72] - wire _T_413 : UInt @[Mux.scala 27:72] - _T_413 <= _T_412 @[Mux.scala 27:72] - f2val_in <= _T_413 @[el2_ifu_aln_ctl.scala 290:12] - node _T_414 = bits(f1_shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 293:35] - node _T_415 = bits(f1val, 1, 1) @[el2_ifu_aln_ctl.scala 293:48] - node _T_416 = bits(f1_shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 293:66] - node _T_417 = eq(_T_416, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 293:53] - node _T_418 = mux(_T_414, _T_415, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_419 = mux(_T_417, f1val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_420 = or(_T_418, _T_419) @[Mux.scala 27:72] - wire _T_421 : UInt @[Mux.scala 27:72] - _T_421 <= _T_420 @[Mux.scala 27:72] - sf1val <= _T_421 @[el2_ifu_aln_ctl.scala 293:10] - node _T_422 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 295:71] - node _T_423 = and(fetch_to_f1, _T_422) @[el2_ifu_aln_ctl.scala 295:39] - node _T_424 = bits(_T_423, 0, 0) @[el2_ifu_aln_ctl.scala 295:92] - node _T_425 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 296:71] - node _T_426 = and(shift_f2_f1, _T_425) @[el2_ifu_aln_ctl.scala 296:54] - node _T_427 = bits(_T_426, 0, 0) @[el2_ifu_aln_ctl.scala 296:92] - node _T_428 = eq(fetch_to_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 297:26] - node _T_429 = eq(shift_f2_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 297:41] - node _T_430 = and(_T_428, _T_429) @[el2_ifu_aln_ctl.scala 297:39] - node _T_431 = eq(shift_f1_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 297:56] - node _T_432 = and(_T_430, _T_431) @[el2_ifu_aln_ctl.scala 297:54] - node _T_433 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 297:71] - node _T_434 = and(_T_432, _T_433) @[el2_ifu_aln_ctl.scala 297:69] - node _T_435 = bits(_T_434, 0, 0) @[el2_ifu_aln_ctl.scala 297:92] - node _T_436 = mux(_T_424, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_437 = mux(_T_427, f2val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_438 = mux(_T_435, sf1val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_439 = or(_T_436, _T_437) @[Mux.scala 27:72] - node _T_440 = or(_T_439, _T_438) @[Mux.scala 27:72] - wire _T_441 : UInt @[Mux.scala 27:72] - _T_441 <= _T_440 @[Mux.scala 27:72] - f1val_in <= _T_441 @[el2_ifu_aln_ctl.scala 295:12] - node _T_442 = bits(shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 299:32] - node _T_443 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 299:54] - node _T_444 = cat(UInt<1>("h00"), _T_443) @[Cat.scala 29:58] - node _T_445 = eq(shift_2B, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 300:18] - node _T_446 = eq(shift_4B, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 300:30] - node _T_447 = and(_T_445, _T_446) @[el2_ifu_aln_ctl.scala 300:28] - node _T_448 = bits(_T_447, 0, 0) @[el2_ifu_aln_ctl.scala 300:41] - node _T_449 = mux(_T_442, _T_444, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_450 = mux(_T_448, f0val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_451 = or(_T_449, _T_450) @[Mux.scala 27:72] - wire _T_452 : UInt @[Mux.scala 27:72] - _T_452 <= _T_451 @[Mux.scala 27:72] - sf0val <= _T_452 @[el2_ifu_aln_ctl.scala 299:10] - node _T_453 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 302:71] - node _T_454 = and(fetch_to_f0, _T_453) @[el2_ifu_aln_ctl.scala 302:38] - node _T_455 = bits(_T_454, 0, 0) @[el2_ifu_aln_ctl.scala 302:92] - node _T_456 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 303:71] - node _T_457 = and(shift_f2_f0, _T_456) @[el2_ifu_aln_ctl.scala 303:54] - node _T_458 = bits(_T_457, 0, 0) @[el2_ifu_aln_ctl.scala 303:92] - node _T_459 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 304:71] - node _T_460 = and(shift_f1_f0, _T_459) @[el2_ifu_aln_ctl.scala 304:69] - node _T_461 = bits(_T_460, 0, 0) @[el2_ifu_aln_ctl.scala 304:92] - node _T_462 = eq(fetch_to_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 305:26] - node _T_463 = eq(shift_f2_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 305:41] - node _T_464 = and(_T_462, _T_463) @[el2_ifu_aln_ctl.scala 305:39] - node _T_465 = eq(shift_f1_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 305:56] - node _T_466 = and(_T_464, _T_465) @[el2_ifu_aln_ctl.scala 305:54] - node _T_467 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 305:71] - node _T_468 = and(_T_466, _T_467) @[el2_ifu_aln_ctl.scala 305:69] - node _T_469 = bits(_T_468, 0, 0) @[el2_ifu_aln_ctl.scala 305:92] - node _T_470 = mux(_T_455, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_471 = mux(_T_458, f2val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_472 = mux(_T_461, sf1val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_473 = mux(_T_469, sf0val, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_474 = or(_T_470, _T_471) @[Mux.scala 27:72] + wire _T_397 : UInt @[Mux.scala 27:72] + _T_397 <= _T_396 @[Mux.scala 27:72] + f0pc_in <= _T_397 @[el2_ifu_aln_ctl.scala 285:11] + node _T_398 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 290:40] + node _T_399 = and(fetch_to_f2, _T_398) @[el2_ifu_aln_ctl.scala 290:38] + node _T_400 = bits(_T_399, 0, 0) @[el2_ifu_aln_ctl.scala 290:61] + node _T_401 = eq(fetch_to_f2, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 291:25] + node _T_402 = eq(shift_f2_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 291:40] + node _T_403 = and(_T_401, _T_402) @[el2_ifu_aln_ctl.scala 291:38] + node _T_404 = eq(shift_f2_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 291:55] + node _T_405 = and(_T_403, _T_404) @[el2_ifu_aln_ctl.scala 291:53] + node _T_406 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 291:70] + node _T_407 = and(_T_405, _T_406) @[el2_ifu_aln_ctl.scala 291:68] + node _T_408 = bits(_T_407, 0, 0) @[el2_ifu_aln_ctl.scala 291:91] + node _T_409 = mux(_T_400, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_410 = mux(_T_408, f2val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_411 = or(_T_409, _T_410) @[Mux.scala 27:72] + wire _T_412 : UInt @[Mux.scala 27:72] + _T_412 <= _T_411 @[Mux.scala 27:72] + f2val_in <= _T_412 @[el2_ifu_aln_ctl.scala 290:12] + node _T_413 = bits(f1_shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 293:35] + node _T_414 = bits(f1val, 1, 1) @[el2_ifu_aln_ctl.scala 293:48] + node _T_415 = bits(f1_shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 293:66] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 293:53] + node _T_417 = mux(_T_413, _T_414, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_418 = mux(_T_416, f1val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_419 = or(_T_417, _T_418) @[Mux.scala 27:72] + wire _T_420 : UInt @[Mux.scala 27:72] + _T_420 <= _T_419 @[Mux.scala 27:72] + sf1val <= _T_420 @[el2_ifu_aln_ctl.scala 293:10] + node _T_421 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 295:71] + node _T_422 = and(fetch_to_f1, _T_421) @[el2_ifu_aln_ctl.scala 295:39] + node _T_423 = bits(_T_422, 0, 0) @[el2_ifu_aln_ctl.scala 295:92] + node _T_424 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 296:71] + node _T_425 = and(shift_f2_f1, _T_424) @[el2_ifu_aln_ctl.scala 296:54] + node _T_426 = bits(_T_425, 0, 0) @[el2_ifu_aln_ctl.scala 296:92] + node _T_427 = eq(fetch_to_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 297:26] + node _T_428 = eq(shift_f2_f1, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 297:41] + node _T_429 = and(_T_427, _T_428) @[el2_ifu_aln_ctl.scala 297:39] + node _T_430 = eq(shift_f1_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 297:56] + node _T_431 = and(_T_429, _T_430) @[el2_ifu_aln_ctl.scala 297:54] + node _T_432 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 297:71] + node _T_433 = and(_T_431, _T_432) @[el2_ifu_aln_ctl.scala 297:69] + node _T_434 = bits(_T_433, 0, 0) @[el2_ifu_aln_ctl.scala 297:92] + node _T_435 = mux(_T_423, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_436 = mux(_T_426, f2val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_437 = mux(_T_434, sf1val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_438 = or(_T_435, _T_436) @[Mux.scala 27:72] + node _T_439 = or(_T_438, _T_437) @[Mux.scala 27:72] + wire _T_440 : UInt @[Mux.scala 27:72] + _T_440 <= _T_439 @[Mux.scala 27:72] + f1val_in <= _T_440 @[el2_ifu_aln_ctl.scala 295:12] + node _T_441 = bits(shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 299:32] + node _T_442 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 299:54] + node _T_443 = cat(UInt<1>("h00"), _T_442) @[Cat.scala 29:58] + node _T_444 = eq(shift_2B, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 300:18] + node _T_445 = eq(shift_4B, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 300:30] + node _T_446 = and(_T_444, _T_445) @[el2_ifu_aln_ctl.scala 300:28] + node _T_447 = bits(_T_446, 0, 0) @[el2_ifu_aln_ctl.scala 300:41] + node _T_448 = mux(_T_441, _T_443, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_449 = mux(_T_447, f0val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_450 = or(_T_448, _T_449) @[Mux.scala 27:72] + wire _T_451 : UInt @[Mux.scala 27:72] + _T_451 <= _T_450 @[Mux.scala 27:72] + sf0val <= _T_451 @[el2_ifu_aln_ctl.scala 299:10] + node _T_452 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 302:71] + node _T_453 = and(fetch_to_f0, _T_452) @[el2_ifu_aln_ctl.scala 302:38] + node _T_454 = bits(_T_453, 0, 0) @[el2_ifu_aln_ctl.scala 302:92] + node _T_455 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 303:71] + node _T_456 = and(shift_f2_f0, _T_455) @[el2_ifu_aln_ctl.scala 303:54] + node _T_457 = bits(_T_456, 0, 0) @[el2_ifu_aln_ctl.scala 303:92] + node _T_458 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 304:71] + node _T_459 = and(shift_f1_f0, _T_458) @[el2_ifu_aln_ctl.scala 304:69] + node _T_460 = bits(_T_459, 0, 0) @[el2_ifu_aln_ctl.scala 304:92] + node _T_461 = eq(fetch_to_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 305:26] + node _T_462 = eq(shift_f2_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 305:41] + node _T_463 = and(_T_461, _T_462) @[el2_ifu_aln_ctl.scala 305:39] + node _T_464 = eq(shift_f1_f0, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 305:56] + node _T_465 = and(_T_463, _T_464) @[el2_ifu_aln_ctl.scala 305:54] + node _T_466 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 305:71] + node _T_467 = and(_T_465, _T_466) @[el2_ifu_aln_ctl.scala 305:69] + node _T_468 = bits(_T_467, 0, 0) @[el2_ifu_aln_ctl.scala 305:92] + node _T_469 = mux(_T_454, io.ifu_fetch_val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_470 = mux(_T_457, f2val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_471 = mux(_T_460, sf1val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_472 = mux(_T_468, sf0val, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_473 = or(_T_469, _T_470) @[Mux.scala 27:72] + node _T_474 = or(_T_473, _T_471) @[Mux.scala 27:72] node _T_475 = or(_T_474, _T_472) @[Mux.scala 27:72] - node _T_476 = or(_T_475, _T_473) @[Mux.scala 27:72] - wire _T_477 : UInt @[Mux.scala 27:72] - _T_477 <= _T_476 @[Mux.scala 27:72] - f0val_in <= _T_477 @[el2_ifu_aln_ctl.scala 302:12] - node _T_478 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 307:28] - node _T_479 = bits(_T_478, 0, 0) @[el2_ifu_aln_ctl.scala 307:32] - node _T_480 = cat(q1, q0) @[Cat.scala 29:58] - node _T_481 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 308:9] - node _T_482 = bits(_T_481, 0, 0) @[el2_ifu_aln_ctl.scala 308:13] - node _T_483 = cat(q2, q1) @[Cat.scala 29:58] - node _T_484 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 309:9] - node _T_485 = bits(_T_484, 0, 0) @[el2_ifu_aln_ctl.scala 309:13] - node _T_486 = cat(q0, q2) @[Cat.scala 29:58] - node _T_487 = mux(_T_479, _T_480, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_488 = mux(_T_482, _T_483, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_489 = mux(_T_485, _T_486, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_490 = or(_T_487, _T_488) @[Mux.scala 27:72] - node _T_491 = or(_T_490, _T_489) @[Mux.scala 27:72] + wire _T_476 : UInt @[Mux.scala 27:72] + _T_476 <= _T_475 @[Mux.scala 27:72] + f0val_in <= _T_476 @[el2_ifu_aln_ctl.scala 302:12] + node _T_477 = bits(qren, 0, 0) @[el2_ifu_aln_ctl.scala 307:28] + node _T_478 = bits(_T_477, 0, 0) @[el2_ifu_aln_ctl.scala 307:32] + node _T_479 = cat(q1, q0) @[Cat.scala 29:58] + node _T_480 = bits(qren, 1, 1) @[el2_ifu_aln_ctl.scala 308:9] + node _T_481 = bits(_T_480, 0, 0) @[el2_ifu_aln_ctl.scala 308:13] + node _T_482 = cat(q2, q1) @[Cat.scala 29:58] + node _T_483 = bits(qren, 2, 2) @[el2_ifu_aln_ctl.scala 309:9] + node _T_484 = bits(_T_483, 0, 0) @[el2_ifu_aln_ctl.scala 309:13] + node _T_485 = cat(q0, q2) @[Cat.scala 29:58] + node _T_486 = mux(_T_478, _T_479, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_487 = mux(_T_481, _T_482, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_488 = mux(_T_484, _T_485, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_489 = or(_T_486, _T_487) @[Mux.scala 27:72] + node _T_490 = or(_T_489, _T_488) @[Mux.scala 27:72] wire qeff : UInt<64> @[Mux.scala 27:72] - qeff <= _T_491 @[Mux.scala 27:72] + qeff <= _T_490 @[Mux.scala 27:72] node q1eff = bits(qeff, 63, 32) @[el2_ifu_aln_ctl.scala 310:29] node q0eff = bits(qeff, 31, 0) @[el2_ifu_aln_ctl.scala 310:42] - node _T_492 = bits(q0sel, 0, 0) @[el2_ifu_aln_ctl.scala 312:29] - node _T_493 = bits(_T_492, 0, 0) @[el2_ifu_aln_ctl.scala 312:33] - node _T_494 = bits(q0sel, 1, 1) @[el2_ifu_aln_ctl.scala 312:53] - node _T_495 = bits(_T_494, 0, 0) @[el2_ifu_aln_ctl.scala 312:57] - node _T_496 = bits(q0eff, 31, 16) @[el2_ifu_aln_ctl.scala 312:70] - node _T_497 = mux(_T_493, q0eff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_498 = mux(_T_495, _T_496, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_499 = or(_T_497, _T_498) @[Mux.scala 27:72] - wire _T_500 : UInt<32> @[Mux.scala 27:72] - _T_500 <= _T_499 @[Mux.scala 27:72] - q0final <= _T_500 @[el2_ifu_aln_ctl.scala 312:11] - node _T_501 = bits(q1sel, 0, 0) @[el2_ifu_aln_ctl.scala 314:29] - node _T_502 = bits(_T_501, 0, 0) @[el2_ifu_aln_ctl.scala 314:33] - node _T_503 = bits(q1eff, 15, 0) @[el2_ifu_aln_ctl.scala 314:46] - node _T_504 = bits(q1sel, 1, 1) @[el2_ifu_aln_ctl.scala 314:59] - node _T_505 = bits(_T_504, 0, 0) @[el2_ifu_aln_ctl.scala 314:63] - node _T_506 = bits(q1eff, 31, 16) @[el2_ifu_aln_ctl.scala 314:76] - node _T_507 = mux(_T_502, _T_503, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_508 = mux(_T_505, _T_506, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_509 = or(_T_507, _T_508) @[Mux.scala 27:72] - wire _T_510 : UInt<16> @[Mux.scala 27:72] - _T_510 <= _T_509 @[Mux.scala 27:72] - q1final <= _T_510 @[el2_ifu_aln_ctl.scala 314:11] - node _T_511 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 316:34] - node _T_512 = bits(_T_511, 0, 0) @[el2_ifu_aln_ctl.scala 316:38] - node _T_513 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 316:64] - node _T_514 = not(_T_513) @[el2_ifu_aln_ctl.scala 316:58] - node _T_515 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 316:75] - node _T_516 = and(_T_514, _T_515) @[el2_ifu_aln_ctl.scala 316:68] - node _T_517 = bits(_T_516, 0, 0) @[el2_ifu_aln_ctl.scala 316:80] - node _T_518 = bits(q1final, 15, 0) @[el2_ifu_aln_ctl.scala 316:101] - node _T_519 = bits(q0final, 15, 0) @[el2_ifu_aln_ctl.scala 316:115] - node _T_520 = cat(_T_518, _T_519) @[Cat.scala 29:58] - node _T_521 = mux(_T_512, q0final, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_522 = mux(_T_517, _T_520, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_523 = or(_T_521, _T_522) @[Mux.scala 27:72] + node _T_491 = bits(q0sel, 0, 0) @[el2_ifu_aln_ctl.scala 312:29] + node _T_492 = bits(_T_491, 0, 0) @[el2_ifu_aln_ctl.scala 312:33] + node _T_493 = bits(q0sel, 1, 1) @[el2_ifu_aln_ctl.scala 312:53] + node _T_494 = bits(_T_493, 0, 0) @[el2_ifu_aln_ctl.scala 312:57] + node _T_495 = bits(q0eff, 31, 16) @[el2_ifu_aln_ctl.scala 312:70] + node _T_496 = mux(_T_492, q0eff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_497 = mux(_T_494, _T_495, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_498 = or(_T_496, _T_497) @[Mux.scala 27:72] + wire _T_499 : UInt<32> @[Mux.scala 27:72] + _T_499 <= _T_498 @[Mux.scala 27:72] + q0final <= _T_499 @[el2_ifu_aln_ctl.scala 312:11] + node _T_500 = bits(q1sel, 0, 0) @[el2_ifu_aln_ctl.scala 314:29] + node _T_501 = bits(_T_500, 0, 0) @[el2_ifu_aln_ctl.scala 314:33] + node _T_502 = bits(q1eff, 15, 0) @[el2_ifu_aln_ctl.scala 314:46] + node _T_503 = bits(q1sel, 1, 1) @[el2_ifu_aln_ctl.scala 314:59] + node _T_504 = bits(_T_503, 0, 0) @[el2_ifu_aln_ctl.scala 314:63] + node _T_505 = bits(q1eff, 31, 16) @[el2_ifu_aln_ctl.scala 314:76] + node _T_506 = mux(_T_501, _T_502, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_507 = mux(_T_504, _T_505, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_508 = or(_T_506, _T_507) @[Mux.scala 27:72] + wire _T_509 : UInt<16> @[Mux.scala 27:72] + _T_509 <= _T_508 @[Mux.scala 27:72] + q1final <= _T_509 @[el2_ifu_aln_ctl.scala 314:11] + node _T_510 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 316:34] + node _T_511 = bits(_T_510, 0, 0) @[el2_ifu_aln_ctl.scala 316:38] + node _T_512 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 316:64] + node _T_513 = not(_T_512) @[el2_ifu_aln_ctl.scala 316:58] + node _T_514 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 316:75] + node _T_515 = and(_T_513, _T_514) @[el2_ifu_aln_ctl.scala 316:68] + node _T_516 = bits(_T_515, 0, 0) @[el2_ifu_aln_ctl.scala 316:80] + node _T_517 = bits(q1final, 15, 0) @[el2_ifu_aln_ctl.scala 316:101] + node _T_518 = bits(q0final, 15, 0) @[el2_ifu_aln_ctl.scala 316:115] + node _T_519 = cat(_T_517, _T_518) @[Cat.scala 29:58] + node _T_520 = mux(_T_511, q0final, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_521 = mux(_T_516, _T_519, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_522 = or(_T_520, _T_521) @[Mux.scala 27:72] wire aligndata : UInt<32> @[Mux.scala 27:72] - aligndata <= _T_523 @[Mux.scala 27:72] - node _T_524 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 318:30] - node _T_525 = bits(_T_524, 0, 0) @[el2_ifu_aln_ctl.scala 318:34] - node _T_526 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 318:54] - node _T_527 = eq(_T_526, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 318:48] - node _T_528 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 318:65] - node _T_529 = and(_T_527, _T_528) @[el2_ifu_aln_ctl.scala 318:58] - node _T_530 = bits(f1val, 0, 0) @[el2_ifu_aln_ctl.scala 318:82] - node _T_531 = cat(_T_530, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_532 = mux(_T_525, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_533 = mux(_T_529, _T_531, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_534 = or(_T_532, _T_533) @[Mux.scala 27:72] - wire _T_535 : UInt<2> @[Mux.scala 27:72] - _T_535 <= _T_534 @[Mux.scala 27:72] - alignval <= _T_535 @[el2_ifu_aln_ctl.scala 318:12] - node _T_536 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 320:34] - node _T_537 = bits(_T_536, 0, 0) @[el2_ifu_aln_ctl.scala 320:38] - node _T_538 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 320:63] - node _T_539 = not(_T_538) @[el2_ifu_aln_ctl.scala 320:57] - node _T_540 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 320:74] - node _T_541 = and(_T_539, _T_540) @[el2_ifu_aln_ctl.scala 320:67] - node _T_542 = bits(_T_541, 0, 0) @[el2_ifu_aln_ctl.scala 320:79] - node _T_543 = cat(f1icaf, f0icaf) @[Cat.scala 29:58] - node _T_544 = mux(_T_537, f0icaf, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_545 = mux(_T_542, _T_543, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_546 = or(_T_544, _T_545) @[Mux.scala 27:72] + aligndata <= _T_522 @[Mux.scala 27:72] + node _T_523 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 318:30] + node _T_524 = bits(_T_523, 0, 0) @[el2_ifu_aln_ctl.scala 318:34] + node _T_525 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 318:54] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 318:48] + node _T_527 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 318:65] + node _T_528 = and(_T_526, _T_527) @[el2_ifu_aln_ctl.scala 318:58] + node _T_529 = bits(f1val, 0, 0) @[el2_ifu_aln_ctl.scala 318:82] + node _T_530 = cat(_T_529, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_531 = mux(_T_524, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_532 = mux(_T_528, _T_530, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_533 = or(_T_531, _T_532) @[Mux.scala 27:72] + wire _T_534 : UInt<2> @[Mux.scala 27:72] + _T_534 <= _T_533 @[Mux.scala 27:72] + alignval <= _T_534 @[el2_ifu_aln_ctl.scala 318:12] + node _T_535 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 320:34] + node _T_536 = bits(_T_535, 0, 0) @[el2_ifu_aln_ctl.scala 320:38] + node _T_537 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 320:63] + node _T_538 = not(_T_537) @[el2_ifu_aln_ctl.scala 320:57] + node _T_539 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 320:74] + node _T_540 = and(_T_538, _T_539) @[el2_ifu_aln_ctl.scala 320:67] + node _T_541 = bits(_T_540, 0, 0) @[el2_ifu_aln_ctl.scala 320:79] + node _T_542 = cat(f1icaf, f0icaf) @[Cat.scala 29:58] + node _T_543 = mux(_T_536, f0icaf, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_544 = mux(_T_541, _T_542, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_545 = or(_T_543, _T_544) @[Mux.scala 27:72] wire alignicaf : UInt<2> @[Mux.scala 27:72] - alignicaf <= _T_546 @[Mux.scala 27:72] - node _T_547 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 322:35] - node _T_548 = bits(_T_547, 0, 0) @[el2_ifu_aln_ctl.scala 322:39] - node _T_549 = bits(f0dbecc, 0, 0) @[Bitwise.scala 72:15] - node _T_550 = mux(_T_549, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_551 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 322:73] - node _T_552 = eq(_T_551, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 322:67] - node _T_553 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 322:84] - node _T_554 = and(_T_552, _T_553) @[el2_ifu_aln_ctl.scala 322:77] - node _T_555 = bits(_T_554, 0, 0) @[el2_ifu_aln_ctl.scala 322:89] - node _T_556 = cat(f1dbecc, f0dbecc) @[Cat.scala 29:58] - node _T_557 = mux(_T_548, _T_550, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_558 = mux(_T_555, _T_556, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_559 = or(_T_557, _T_558) @[Mux.scala 27:72] + alignicaf <= _T_545 @[Mux.scala 27:72] + node _T_546 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 322:35] + node _T_547 = bits(_T_546, 0, 0) @[el2_ifu_aln_ctl.scala 322:39] + node _T_548 = bits(f0dbecc, 0, 0) @[Bitwise.scala 72:15] + node _T_549 = mux(_T_548, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_550 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 322:73] + node _T_551 = eq(_T_550, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 322:67] + node _T_552 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 322:84] + node _T_553 = and(_T_551, _T_552) @[el2_ifu_aln_ctl.scala 322:77] + node _T_554 = bits(_T_553, 0, 0) @[el2_ifu_aln_ctl.scala 322:89] + node _T_555 = cat(f1dbecc, f0dbecc) @[Cat.scala 29:58] + node _T_556 = mux(_T_547, _T_549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_557 = mux(_T_554, _T_555, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_558 = or(_T_556, _T_557) @[Mux.scala 27:72] wire aligndbecc : UInt<2> @[Mux.scala 27:72] - aligndbecc <= _T_559 @[Mux.scala 27:72] - node _T_560 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 324:35] - node _T_561 = bits(_T_560, 0, 0) @[el2_ifu_aln_ctl.scala 324:45] - node _T_562 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 324:65] - node _T_563 = eq(_T_562, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 324:59] - node _T_564 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 324:76] - node _T_565 = and(_T_563, _T_564) @[el2_ifu_aln_ctl.scala 324:69] - node _T_566 = bits(_T_565, 0, 0) @[el2_ifu_aln_ctl.scala 324:81] - node _T_567 = bits(f1brend, 0, 0) @[el2_ifu_aln_ctl.scala 324:100] - node _T_568 = bits(f0brend, 0, 0) @[el2_ifu_aln_ctl.scala 324:111] - node _T_569 = cat(_T_567, _T_568) @[Cat.scala 29:58] - node _T_570 = mux(_T_561, f0brend, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_571 = mux(_T_566, _T_569, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_572 = or(_T_570, _T_571) @[Mux.scala 27:72] + aligndbecc <= _T_558 @[Mux.scala 27:72] + node _T_559 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 324:35] + node _T_560 = bits(_T_559, 0, 0) @[el2_ifu_aln_ctl.scala 324:45] + node _T_561 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 324:65] + node _T_562 = eq(_T_561, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 324:59] + node _T_563 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 324:76] + node _T_564 = and(_T_562, _T_563) @[el2_ifu_aln_ctl.scala 324:69] + node _T_565 = bits(_T_564, 0, 0) @[el2_ifu_aln_ctl.scala 324:81] + node _T_566 = bits(f1brend, 0, 0) @[el2_ifu_aln_ctl.scala 324:100] + node _T_567 = bits(f0brend, 0, 0) @[el2_ifu_aln_ctl.scala 324:111] + node _T_568 = cat(_T_566, _T_567) @[Cat.scala 29:58] + node _T_569 = mux(_T_560, f0brend, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_570 = mux(_T_565, _T_568, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_571 = or(_T_569, _T_570) @[Mux.scala 27:72] wire alignbrend : UInt<2> @[Mux.scala 27:72] - alignbrend <= _T_572 @[Mux.scala 27:72] - node _T_573 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 326:33] - node _T_574 = bits(_T_573, 0, 0) @[el2_ifu_aln_ctl.scala 326:43] - node _T_575 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 326:61] - node _T_576 = eq(_T_575, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 326:55] - node _T_577 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 326:72] - node _T_578 = and(_T_576, _T_577) @[el2_ifu_aln_ctl.scala 326:65] - node _T_579 = bits(_T_578, 0, 0) @[el2_ifu_aln_ctl.scala 326:77] - node _T_580 = bits(f1pc4, 0, 0) @[el2_ifu_aln_ctl.scala 326:94] - node _T_581 = bits(f0pc4, 0, 0) @[el2_ifu_aln_ctl.scala 326:103] - node _T_582 = cat(_T_580, _T_581) @[Cat.scala 29:58] - node _T_583 = mux(_T_574, f0pc4, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_584 = mux(_T_579, _T_582, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_585 = or(_T_583, _T_584) @[Mux.scala 27:72] + alignbrend <= _T_571 @[Mux.scala 27:72] + node _T_572 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 326:33] + node _T_573 = bits(_T_572, 0, 0) @[el2_ifu_aln_ctl.scala 326:43] + node _T_574 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 326:61] + node _T_575 = eq(_T_574, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 326:55] + node _T_576 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 326:72] + node _T_577 = and(_T_575, _T_576) @[el2_ifu_aln_ctl.scala 326:65] + node _T_578 = bits(_T_577, 0, 0) @[el2_ifu_aln_ctl.scala 326:77] + node _T_579 = bits(f1pc4, 0, 0) @[el2_ifu_aln_ctl.scala 326:94] + node _T_580 = bits(f0pc4, 0, 0) @[el2_ifu_aln_ctl.scala 326:103] + node _T_581 = cat(_T_579, _T_580) @[Cat.scala 29:58] + node _T_582 = mux(_T_573, f0pc4, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_583 = mux(_T_578, _T_581, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_584 = or(_T_582, _T_583) @[Mux.scala 27:72] wire alignpc4 : UInt<2> @[Mux.scala 27:72] - alignpc4 <= _T_585 @[Mux.scala 27:72] - node _T_586 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 328:33] - node _T_587 = bits(_T_586, 0, 0) @[el2_ifu_aln_ctl.scala 328:43] - node _T_588 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 328:61] - node _T_589 = eq(_T_588, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 328:55] - node _T_590 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 328:72] - node _T_591 = and(_T_589, _T_590) @[el2_ifu_aln_ctl.scala 328:65] - node _T_592 = bits(_T_591, 0, 0) @[el2_ifu_aln_ctl.scala 328:77] - node _T_593 = bits(f1ret, 0, 0) @[el2_ifu_aln_ctl.scala 328:94] - node _T_594 = bits(f0ret, 0, 0) @[el2_ifu_aln_ctl.scala 328:103] - node _T_595 = cat(_T_593, _T_594) @[Cat.scala 29:58] - node _T_596 = mux(_T_587, f0ret, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_597 = mux(_T_592, _T_595, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_598 = or(_T_596, _T_597) @[Mux.scala 27:72] + alignpc4 <= _T_584 @[Mux.scala 27:72] + node _T_585 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 328:33] + node _T_586 = bits(_T_585, 0, 0) @[el2_ifu_aln_ctl.scala 328:43] + node _T_587 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 328:61] + node _T_588 = eq(_T_587, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 328:55] + node _T_589 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 328:72] + node _T_590 = and(_T_588, _T_589) @[el2_ifu_aln_ctl.scala 328:65] + node _T_591 = bits(_T_590, 0, 0) @[el2_ifu_aln_ctl.scala 328:77] + node _T_592 = bits(f1ret, 0, 0) @[el2_ifu_aln_ctl.scala 328:94] + node _T_593 = bits(f0ret, 0, 0) @[el2_ifu_aln_ctl.scala 328:103] + node _T_594 = cat(_T_592, _T_593) @[Cat.scala 29:58] + node _T_595 = mux(_T_586, f0ret, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_596 = mux(_T_591, _T_594, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_597 = or(_T_595, _T_596) @[Mux.scala 27:72] wire alignret : UInt<2> @[Mux.scala 27:72] - alignret <= _T_598 @[Mux.scala 27:72] - node _T_599 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 330:33] - node _T_600 = bits(_T_599, 0, 0) @[el2_ifu_aln_ctl.scala 330:43] - node _T_601 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 330:61] - node _T_602 = eq(_T_601, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 330:55] - node _T_603 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 330:72] - node _T_604 = and(_T_602, _T_603) @[el2_ifu_aln_ctl.scala 330:65] - node _T_605 = bits(_T_604, 0, 0) @[el2_ifu_aln_ctl.scala 330:77] - node _T_606 = bits(f1way, 0, 0) @[el2_ifu_aln_ctl.scala 330:94] - node _T_607 = bits(f0way, 0, 0) @[el2_ifu_aln_ctl.scala 330:103] - node _T_608 = cat(_T_606, _T_607) @[Cat.scala 29:58] - node _T_609 = mux(_T_600, f0way, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_610 = mux(_T_605, _T_608, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_611 = or(_T_609, _T_610) @[Mux.scala 27:72] + alignret <= _T_597 @[Mux.scala 27:72] + node _T_598 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 330:33] + node _T_599 = bits(_T_598, 0, 0) @[el2_ifu_aln_ctl.scala 330:43] + node _T_600 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 330:61] + node _T_601 = eq(_T_600, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 330:55] + node _T_602 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 330:72] + node _T_603 = and(_T_601, _T_602) @[el2_ifu_aln_ctl.scala 330:65] + node _T_604 = bits(_T_603, 0, 0) @[el2_ifu_aln_ctl.scala 330:77] + node _T_605 = bits(f1way, 0, 0) @[el2_ifu_aln_ctl.scala 330:94] + node _T_606 = bits(f0way, 0, 0) @[el2_ifu_aln_ctl.scala 330:103] + node _T_607 = cat(_T_605, _T_606) @[Cat.scala 29:58] + node _T_608 = mux(_T_599, f0way, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_609 = mux(_T_604, _T_607, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_610 = or(_T_608, _T_609) @[Mux.scala 27:72] wire alignway : UInt<2> @[Mux.scala 27:72] - alignway <= _T_611 @[Mux.scala 27:72] - node _T_612 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 332:35] - node _T_613 = bits(_T_612, 0, 0) @[el2_ifu_aln_ctl.scala 332:45] - node _T_614 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 332:65] - node _T_615 = eq(_T_614, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 332:59] - node _T_616 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 332:76] - node _T_617 = and(_T_615, _T_616) @[el2_ifu_aln_ctl.scala 332:69] - node _T_618 = bits(_T_617, 0, 0) @[el2_ifu_aln_ctl.scala 332:81] - node _T_619 = bits(f1hist1, 0, 0) @[el2_ifu_aln_ctl.scala 332:100] - node _T_620 = bits(f0hist1, 0, 0) @[el2_ifu_aln_ctl.scala 332:111] - node _T_621 = cat(_T_619, _T_620) @[Cat.scala 29:58] - node _T_622 = mux(_T_613, f0hist1, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_623 = mux(_T_618, _T_621, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_624 = or(_T_622, _T_623) @[Mux.scala 27:72] + alignway <= _T_610 @[Mux.scala 27:72] + node _T_611 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 332:35] + node _T_612 = bits(_T_611, 0, 0) @[el2_ifu_aln_ctl.scala 332:45] + node _T_613 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 332:65] + node _T_614 = eq(_T_613, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 332:59] + node _T_615 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 332:76] + node _T_616 = and(_T_614, _T_615) @[el2_ifu_aln_ctl.scala 332:69] + node _T_617 = bits(_T_616, 0, 0) @[el2_ifu_aln_ctl.scala 332:81] + node _T_618 = bits(f1hist1, 0, 0) @[el2_ifu_aln_ctl.scala 332:100] + node _T_619 = bits(f0hist1, 0, 0) @[el2_ifu_aln_ctl.scala 332:111] + node _T_620 = cat(_T_618, _T_619) @[Cat.scala 29:58] + node _T_621 = mux(_T_612, f0hist1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_622 = mux(_T_617, _T_620, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_623 = or(_T_621, _T_622) @[Mux.scala 27:72] wire alignhist1 : UInt<2> @[Mux.scala 27:72] - alignhist1 <= _T_624 @[Mux.scala 27:72] - node _T_625 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 334:35] - node _T_626 = bits(_T_625, 0, 0) @[el2_ifu_aln_ctl.scala 334:45] - node _T_627 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 334:65] - node _T_628 = eq(_T_627, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 334:59] - node _T_629 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 334:76] - node _T_630 = and(_T_628, _T_629) @[el2_ifu_aln_ctl.scala 334:69] - node _T_631 = bits(_T_630, 0, 0) @[el2_ifu_aln_ctl.scala 334:81] - node _T_632 = bits(f1hist0, 0, 0) @[el2_ifu_aln_ctl.scala 334:100] - node _T_633 = bits(f0hist0, 0, 0) @[el2_ifu_aln_ctl.scala 334:111] - node _T_634 = cat(_T_632, _T_633) @[Cat.scala 29:58] - node _T_635 = mux(_T_626, f0hist0, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_636 = mux(_T_631, _T_634, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_637 = or(_T_635, _T_636) @[Mux.scala 27:72] + alignhist1 <= _T_623 @[Mux.scala 27:72] + node _T_624 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 334:35] + node _T_625 = bits(_T_624, 0, 0) @[el2_ifu_aln_ctl.scala 334:45] + node _T_626 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 334:65] + node _T_627 = eq(_T_626, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 334:59] + node _T_628 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 334:76] + node _T_629 = and(_T_627, _T_628) @[el2_ifu_aln_ctl.scala 334:69] + node _T_630 = bits(_T_629, 0, 0) @[el2_ifu_aln_ctl.scala 334:81] + node _T_631 = bits(f1hist0, 0, 0) @[el2_ifu_aln_ctl.scala 334:100] + node _T_632 = bits(f0hist0, 0, 0) @[el2_ifu_aln_ctl.scala 334:111] + node _T_633 = cat(_T_631, _T_632) @[Cat.scala 29:58] + node _T_634 = mux(_T_625, f0hist0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_635 = mux(_T_630, _T_633, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_636 = or(_T_634, _T_635) @[Mux.scala 27:72] wire alignhist0 : UInt<2> @[Mux.scala 27:72] - alignhist0 <= _T_637 @[Mux.scala 27:72] - node _T_638 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 336:27] - node _T_639 = eq(_T_638, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 336:21] - node _T_640 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 336:38] - node alignfromf1 = and(_T_639, _T_640) @[el2_ifu_aln_ctl.scala 336:31] - node _T_641 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 338:33] - node _T_642 = bits(_T_641, 0, 0) @[el2_ifu_aln_ctl.scala 338:43] - node _T_643 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 338:67] - node _T_644 = eq(_T_643, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 338:61] - node _T_645 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 338:78] - node _T_646 = and(_T_644, _T_645) @[el2_ifu_aln_ctl.scala 338:71] - node _T_647 = bits(_T_646, 0, 0) @[el2_ifu_aln_ctl.scala 338:83] - node _T_648 = mux(_T_642, f0pc_plus1, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_649 = mux(_T_647, f1pc, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_650 = or(_T_648, _T_649) @[Mux.scala 27:72] + alignhist0 <= _T_636 @[Mux.scala 27:72] + node _T_637 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 336:27] + node _T_638 = eq(_T_637, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 336:21] + node _T_639 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 336:38] + node alignfromf1 = and(_T_638, _T_639) @[el2_ifu_aln_ctl.scala 336:31] + node _T_640 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 338:33] + node _T_641 = bits(_T_640, 0, 0) @[el2_ifu_aln_ctl.scala 338:43] + node _T_642 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 338:67] + node _T_643 = eq(_T_642, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 338:61] + node _T_644 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 338:78] + node _T_645 = and(_T_643, _T_644) @[el2_ifu_aln_ctl.scala 338:71] + node _T_646 = bits(_T_645, 0, 0) @[el2_ifu_aln_ctl.scala 338:83] + node _T_647 = mux(_T_641, f0pc_plus1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_648 = mux(_T_646, f1pc, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_649 = or(_T_647, _T_648) @[Mux.scala 27:72] wire secondpc : UInt @[Mux.scala 27:72] - secondpc <= _T_650 @[Mux.scala 27:72] + secondpc <= _T_649 @[Mux.scala 27:72] io.ifu_i0_pc <= f0pc @[el2_ifu_aln_ctl.scala 340:16] io.ifu_i0_pc4 <= first4B @[el2_ifu_aln_ctl.scala 344:17] - node _T_651 = bits(aligndata, 15, 0) @[el2_ifu_aln_ctl.scala 346:31] - io.ifu_i0_cinst <= _T_651 @[el2_ifu_aln_ctl.scala 346:19] - node _T_652 = bits(aligndata, 1, 0) @[el2_ifu_aln_ctl.scala 348:23] - node _T_653 = eq(_T_652, UInt<2>("h03")) @[el2_ifu_aln_ctl.scala 348:29] - first4B <= _T_653 @[el2_ifu_aln_ctl.scala 348:11] + node _T_650 = bits(aligndata, 15, 0) @[el2_ifu_aln_ctl.scala 346:31] + io.ifu_i0_cinst <= _T_650 @[el2_ifu_aln_ctl.scala 346:19] + node _T_651 = bits(aligndata, 1, 0) @[el2_ifu_aln_ctl.scala 348:23] + node _T_652 = eq(_T_651, UInt<2>("h03")) @[el2_ifu_aln_ctl.scala 348:29] + first4B <= _T_652 @[el2_ifu_aln_ctl.scala 348:11] node first2B = not(first4B) @[el2_ifu_aln_ctl.scala 350:17] - node _T_654 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 352:40] - node _T_655 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 352:58] - node _T_656 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 352:71] - node _T_657 = bits(alignval, 0, 0) @[el2_ifu_aln_ctl.scala 352:89] - node _T_658 = mux(_T_654, _T_655, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_659 = mux(_T_656, _T_657, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_660 = or(_T_658, _T_659) @[Mux.scala 27:72] - wire _T_661 : UInt<1> @[Mux.scala 27:72] - _T_661 <= _T_660 @[Mux.scala 27:72] - io.ifu_i0_valid <= _T_661 @[el2_ifu_aln_ctl.scala 352:19] - node _T_662 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 354:39] - node _T_663 = orr(alignicaf) @[el2_ifu_aln_ctl.scala 354:59] - node _T_664 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 354:72] - node _T_665 = bits(alignicaf, 0, 0) @[el2_ifu_aln_ctl.scala 354:91] - node _T_666 = mux(_T_662, _T_663, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_667 = mux(_T_664, _T_665, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_668 = or(_T_666, _T_667) @[Mux.scala 27:72] - wire _T_669 : UInt<1> @[Mux.scala 27:72] - _T_669 <= _T_668 @[Mux.scala 27:72] - io.ifu_i0_icaf <= _T_669 @[el2_ifu_aln_ctl.scala 354:18] - node _T_670 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 356:47] - node _T_671 = eq(_T_670, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 356:41] - node _T_672 = and(first4B, _T_671) @[el2_ifu_aln_ctl.scala 356:39] - node _T_673 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 356:58] - node _T_674 = and(_T_672, _T_673) @[el2_ifu_aln_ctl.scala 356:51] - node _T_675 = bits(alignicaf, 0, 0) @[el2_ifu_aln_ctl.scala 356:74] - node _T_676 = eq(_T_675, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 356:64] - node _T_677 = and(_T_674, _T_676) @[el2_ifu_aln_ctl.scala 356:62] - node _T_678 = bits(aligndbecc, 0, 0) @[el2_ifu_aln_ctl.scala 356:91] - node _T_679 = eq(_T_678, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 356:80] - node _T_680 = and(_T_677, _T_679) @[el2_ifu_aln_ctl.scala 356:78] - node _T_681 = bits(_T_680, 0, 0) @[el2_ifu_aln_ctl.scala 356:96] - node _T_682 = mux(_T_681, f1ictype, f0ictype) @[el2_ifu_aln_ctl.scala 356:29] - io.ifu_i0_icaf_type <= _T_682 @[el2_ifu_aln_ctl.scala 356:23] - node _T_683 = bits(alignicaf, 1, 1) @[el2_ifu_aln_ctl.scala 358:27] - node _T_684 = bits(aligndbecc, 1, 1) @[el2_ifu_aln_ctl.scala 358:43] - node icaf_eff = or(_T_683, _T_684) @[el2_ifu_aln_ctl.scala 358:31] - node _T_685 = and(first4B, icaf_eff) @[el2_ifu_aln_ctl.scala 360:32] - node _T_686 = and(_T_685, alignfromf1) @[el2_ifu_aln_ctl.scala 360:43] - io.ifu_i0_icaf_f1 <= _T_686 @[el2_ifu_aln_ctl.scala 360:21] - node _T_687 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 362:40] - node _T_688 = orr(aligndbecc) @[el2_ifu_aln_ctl.scala 362:59] - node _T_689 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 362:72] - node _T_690 = bits(aligndbecc, 0, 0) @[el2_ifu_aln_ctl.scala 362:90] - node _T_691 = mux(_T_687, _T_688, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_692 = mux(_T_689, _T_690, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_693 = or(_T_691, _T_692) @[Mux.scala 27:72] - wire _T_694 : UInt<1> @[Mux.scala 27:72] - _T_694 <= _T_693 @[Mux.scala 27:72] - io.ifu_i0_dbecc <= _T_694 @[el2_ifu_aln_ctl.scala 362:19] + node _T_653 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 352:40] + node _T_654 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 352:58] + node _T_655 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 352:71] + node _T_656 = bits(alignval, 0, 0) @[el2_ifu_aln_ctl.scala 352:89] + node _T_657 = mux(_T_653, _T_654, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_658 = mux(_T_655, _T_656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_659 = or(_T_657, _T_658) @[Mux.scala 27:72] + wire _T_660 : UInt<1> @[Mux.scala 27:72] + _T_660 <= _T_659 @[Mux.scala 27:72] + io.ifu_i0_valid <= _T_660 @[el2_ifu_aln_ctl.scala 352:19] + node _T_661 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 354:39] + node _T_662 = orr(alignicaf) @[el2_ifu_aln_ctl.scala 354:59] + node _T_663 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 354:72] + node _T_664 = bits(alignicaf, 0, 0) @[el2_ifu_aln_ctl.scala 354:91] + node _T_665 = mux(_T_661, _T_662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_666 = mux(_T_663, _T_664, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_667 = or(_T_665, _T_666) @[Mux.scala 27:72] + wire _T_668 : UInt<1> @[Mux.scala 27:72] + _T_668 <= _T_667 @[Mux.scala 27:72] + io.ifu_i0_icaf <= _T_668 @[el2_ifu_aln_ctl.scala 354:18] + node _T_669 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 356:47] + node _T_670 = eq(_T_669, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 356:41] + node _T_671 = and(first4B, _T_670) @[el2_ifu_aln_ctl.scala 356:39] + node _T_672 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 356:58] + node _T_673 = and(_T_671, _T_672) @[el2_ifu_aln_ctl.scala 356:51] + node _T_674 = bits(alignicaf, 0, 0) @[el2_ifu_aln_ctl.scala 356:74] + node _T_675 = eq(_T_674, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 356:64] + node _T_676 = and(_T_673, _T_675) @[el2_ifu_aln_ctl.scala 356:62] + node _T_677 = bits(aligndbecc, 0, 0) @[el2_ifu_aln_ctl.scala 356:91] + node _T_678 = eq(_T_677, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 356:80] + node _T_679 = and(_T_676, _T_678) @[el2_ifu_aln_ctl.scala 356:78] + node _T_680 = bits(_T_679, 0, 0) @[el2_ifu_aln_ctl.scala 356:96] + node _T_681 = mux(_T_680, f1ictype, f0ictype) @[el2_ifu_aln_ctl.scala 356:29] + io.ifu_i0_icaf_type <= _T_681 @[el2_ifu_aln_ctl.scala 356:23] + node _T_682 = bits(alignicaf, 1, 1) @[el2_ifu_aln_ctl.scala 358:27] + node _T_683 = bits(aligndbecc, 1, 1) @[el2_ifu_aln_ctl.scala 358:43] + node icaf_eff = or(_T_682, _T_683) @[el2_ifu_aln_ctl.scala 358:31] + node _T_684 = and(first4B, icaf_eff) @[el2_ifu_aln_ctl.scala 360:32] + node _T_685 = and(_T_684, alignfromf1) @[el2_ifu_aln_ctl.scala 360:43] + io.ifu_i0_icaf_f1 <= _T_685 @[el2_ifu_aln_ctl.scala 360:21] + node _T_686 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 362:40] + node _T_687 = orr(aligndbecc) @[el2_ifu_aln_ctl.scala 362:59] + node _T_688 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 362:72] + node _T_689 = bits(aligndbecc, 0, 0) @[el2_ifu_aln_ctl.scala 362:90] + node _T_690 = mux(_T_686, _T_687, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_691 = mux(_T_688, _T_689, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_692 = or(_T_690, _T_691) @[Mux.scala 27:72] + wire _T_693 : UInt<1> @[Mux.scala 27:72] + _T_693 <= _T_692 @[Mux.scala 27:72] + io.ifu_i0_dbecc <= _T_693 @[el2_ifu_aln_ctl.scala 362:19] inst decompressed of el2_ifu_compress_ctl @[el2_ifu_aln_ctl.scala 366:28] decompressed.clock <= clock decompressed.reset <= reset - node _T_695 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 368:40] - node _T_696 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 368:66] - node _T_697 = mux(_T_695, aligndata, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_698 = mux(_T_696, decompressed.io.dout, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_699 = or(_T_697, _T_698) @[Mux.scala 27:72] - wire _T_700 : UInt<32> @[Mux.scala 27:72] - _T_700 <= _T_699 @[Mux.scala 27:72] - io.ifu_i0_instr <= _T_700 @[el2_ifu_aln_ctl.scala 368:19] - node _T_701 = bits(f0pc, 8, 1) @[el2_lib.scala 191:12] - node _T_702 = bits(f0pc, 16, 9) @[el2_lib.scala 191:50] - node _T_703 = xor(_T_701, _T_702) @[el2_lib.scala 191:46] - node _T_704 = bits(f0pc, 24, 17) @[el2_lib.scala 191:88] - node firstpc_hash = xor(_T_703, _T_704) @[el2_lib.scala 191:84] - node _T_705 = bits(secondpc, 8, 1) @[el2_lib.scala 191:12] - node _T_706 = bits(secondpc, 16, 9) @[el2_lib.scala 191:50] - node _T_707 = xor(_T_705, _T_706) @[el2_lib.scala 191:46] - node _T_708 = bits(secondpc, 24, 17) @[el2_lib.scala 191:88] - node secondpc_hash = xor(_T_707, _T_708) @[el2_lib.scala 191:84] - node _T_709 = bits(f0pc, 13, 9) @[el2_lib.scala 182:32] - node _T_710 = bits(f0pc, 18, 14) @[el2_lib.scala 182:32] - node _T_711 = bits(f0pc, 23, 19) @[el2_lib.scala 182:32] - wire _T_712 : UInt<5>[3] @[el2_lib.scala 182:24] - _T_712[0] <= _T_709 @[el2_lib.scala 182:24] - _T_712[1] <= _T_710 @[el2_lib.scala 182:24] - _T_712[2] <= _T_711 @[el2_lib.scala 182:24] - node _T_713 = xor(_T_712[0], _T_712[1]) @[el2_lib.scala 182:111] - node firstbrtag_hash = xor(_T_713, _T_712[2]) @[el2_lib.scala 182:111] - node _T_714 = bits(secondpc, 13, 9) @[el2_lib.scala 182:32] - node _T_715 = bits(secondpc, 18, 14) @[el2_lib.scala 182:32] - node _T_716 = bits(secondpc, 23, 19) @[el2_lib.scala 182:32] - wire _T_717 : UInt<5>[3] @[el2_lib.scala 182:24] - _T_717[0] <= _T_714 @[el2_lib.scala 182:24] - _T_717[1] <= _T_715 @[el2_lib.scala 182:24] - _T_717[2] <= _T_716 @[el2_lib.scala 182:24] - node _T_718 = xor(_T_717[0], _T_717[1]) @[el2_lib.scala 182:111] - node secondbrtag_hash = xor(_T_718, _T_717[2]) @[el2_lib.scala 182:111] - node _T_719 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 378:42] - node _T_720 = and(first2B, _T_719) @[el2_ifu_aln_ctl.scala 378:30] - node _T_721 = bits(alignbrend, 1, 1) @[el2_ifu_aln_ctl.scala 378:70] - node _T_722 = and(first4B, _T_721) @[el2_ifu_aln_ctl.scala 378:58] - node _T_723 = or(_T_720, _T_722) @[el2_ifu_aln_ctl.scala 378:47] - node _T_724 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 378:96] - node _T_725 = and(first4B, _T_724) @[el2_ifu_aln_ctl.scala 378:86] - node _T_726 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 378:112] - node _T_727 = and(_T_725, _T_726) @[el2_ifu_aln_ctl.scala 378:100] - node _T_728 = or(_T_723, _T_727) @[el2_ifu_aln_ctl.scala 378:75] - io.i0_brp.valid <= _T_728 @[el2_ifu_aln_ctl.scala 378:19] - node _T_729 = bits(alignret, 0, 0) @[el2_ifu_aln_ctl.scala 380:39] - node _T_730 = and(first2B, _T_729) @[el2_ifu_aln_ctl.scala 380:29] - node _T_731 = bits(alignret, 1, 1) @[el2_ifu_aln_ctl.scala 380:65] - node _T_732 = and(first4B, _T_731) @[el2_ifu_aln_ctl.scala 380:55] - node _T_733 = or(_T_730, _T_732) @[el2_ifu_aln_ctl.scala 380:44] - io.i0_brp.ret <= _T_733 @[el2_ifu_aln_ctl.scala 380:17] - node _T_734 = bits(alignpc4, 0, 0) @[el2_ifu_aln_ctl.scala 382:39] - node _T_735 = and(first2B, _T_734) @[el2_ifu_aln_ctl.scala 382:29] - node _T_736 = bits(alignpc4, 1, 1) @[el2_ifu_aln_ctl.scala 382:65] - node _T_737 = and(first4B, _T_736) @[el2_ifu_aln_ctl.scala 382:55] - node i0_brp_pc4 = or(_T_735, _T_737) @[el2_ifu_aln_ctl.scala 382:44] - node _T_738 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 384:45] - node _T_739 = or(first2B, _T_738) @[el2_ifu_aln_ctl.scala 384:33] - node _T_740 = bits(_T_739, 0, 0) @[el2_ifu_aln_ctl.scala 384:50] - node _T_741 = bits(alignway, 0, 0) @[el2_ifu_aln_ctl.scala 384:66] - node _T_742 = bits(alignway, 1, 1) @[el2_ifu_aln_ctl.scala 384:80] - node _T_743 = mux(_T_740, _T_741, _T_742) @[el2_ifu_aln_ctl.scala 384:23] - io.i0_brp.way <= _T_743 @[el2_ifu_aln_ctl.scala 384:17] - node _T_744 = bits(alignhist1, 0, 0) @[el2_ifu_aln_ctl.scala 386:46] - node _T_745 = and(first2B, _T_744) @[el2_ifu_aln_ctl.scala 386:34] - node _T_746 = bits(alignhist1, 1, 1) @[el2_ifu_aln_ctl.scala 386:74] - node _T_747 = and(first4B, _T_746) @[el2_ifu_aln_ctl.scala 386:62] - node _T_748 = or(_T_745, _T_747) @[el2_ifu_aln_ctl.scala 386:51] - node _T_749 = bits(alignhist0, 0, 0) @[el2_ifu_aln_ctl.scala 387:26] - node _T_750 = and(first2B, _T_749) @[el2_ifu_aln_ctl.scala 387:14] - node _T_751 = bits(alignhist0, 1, 1) @[el2_ifu_aln_ctl.scala 387:54] - node _T_752 = and(first4B, _T_751) @[el2_ifu_aln_ctl.scala 387:42] - node _T_753 = or(_T_750, _T_752) @[el2_ifu_aln_ctl.scala 387:31] - node _T_754 = cat(_T_748, _T_753) @[Cat.scala 29:58] - io.i0_brp.hist <= _T_754 @[el2_ifu_aln_ctl.scala 386:18] + node _T_694 = bits(first4B, 0, 0) @[el2_ifu_aln_ctl.scala 368:40] + node _T_695 = bits(first2B, 0, 0) @[el2_ifu_aln_ctl.scala 368:66] + node _T_696 = mux(_T_694, aligndata, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_697 = mux(_T_695, decompressed.io.dout, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_698 = or(_T_696, _T_697) @[Mux.scala 27:72] + wire _T_699 : UInt<32> @[Mux.scala 27:72] + _T_699 <= _T_698 @[Mux.scala 27:72] + io.ifu_i0_instr <= _T_699 @[el2_ifu_aln_ctl.scala 368:19] + node _T_700 = bits(f0pc, 8, 1) @[el2_lib.scala 191:12] + node _T_701 = bits(f0pc, 16, 9) @[el2_lib.scala 191:50] + node _T_702 = xor(_T_700, _T_701) @[el2_lib.scala 191:46] + node _T_703 = bits(f0pc, 24, 17) @[el2_lib.scala 191:88] + node firstpc_hash = xor(_T_702, _T_703) @[el2_lib.scala 191:84] + node _T_704 = bits(secondpc, 8, 1) @[el2_lib.scala 191:12] + node _T_705 = bits(secondpc, 16, 9) @[el2_lib.scala 191:50] + node _T_706 = xor(_T_704, _T_705) @[el2_lib.scala 191:46] + node _T_707 = bits(secondpc, 24, 17) @[el2_lib.scala 191:88] + node secondpc_hash = xor(_T_706, _T_707) @[el2_lib.scala 191:84] + node _T_708 = bits(f0pc, 13, 9) @[el2_lib.scala 182:32] + node _T_709 = bits(f0pc, 18, 14) @[el2_lib.scala 182:32] + node _T_710 = bits(f0pc, 23, 19) @[el2_lib.scala 182:32] + wire _T_711 : UInt<5>[3] @[el2_lib.scala 182:24] + _T_711[0] <= _T_708 @[el2_lib.scala 182:24] + _T_711[1] <= _T_709 @[el2_lib.scala 182:24] + _T_711[2] <= _T_710 @[el2_lib.scala 182:24] + node _T_712 = xor(_T_711[0], _T_711[1]) @[el2_lib.scala 182:111] + node firstbrtag_hash = xor(_T_712, _T_711[2]) @[el2_lib.scala 182:111] + node _T_713 = bits(secondpc, 13, 9) @[el2_lib.scala 182:32] + node _T_714 = bits(secondpc, 18, 14) @[el2_lib.scala 182:32] + node _T_715 = bits(secondpc, 23, 19) @[el2_lib.scala 182:32] + wire _T_716 : UInt<5>[3] @[el2_lib.scala 182:24] + _T_716[0] <= _T_713 @[el2_lib.scala 182:24] + _T_716[1] <= _T_714 @[el2_lib.scala 182:24] + _T_716[2] <= _T_715 @[el2_lib.scala 182:24] + node _T_717 = xor(_T_716[0], _T_716[1]) @[el2_lib.scala 182:111] + node secondbrtag_hash = xor(_T_717, _T_716[2]) @[el2_lib.scala 182:111] + node _T_718 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 378:42] + node _T_719 = and(first2B, _T_718) @[el2_ifu_aln_ctl.scala 378:30] + node _T_720 = bits(alignbrend, 1, 1) @[el2_ifu_aln_ctl.scala 378:70] + node _T_721 = and(first4B, _T_720) @[el2_ifu_aln_ctl.scala 378:58] + node _T_722 = or(_T_719, _T_721) @[el2_ifu_aln_ctl.scala 378:47] + node _T_723 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 378:96] + node _T_724 = and(first4B, _T_723) @[el2_ifu_aln_ctl.scala 378:86] + node _T_725 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 378:112] + node _T_726 = and(_T_724, _T_725) @[el2_ifu_aln_ctl.scala 378:100] + node _T_727 = or(_T_722, _T_726) @[el2_ifu_aln_ctl.scala 378:75] + io.i0_brp.valid <= _T_727 @[el2_ifu_aln_ctl.scala 378:19] + node _T_728 = bits(alignret, 0, 0) @[el2_ifu_aln_ctl.scala 380:39] + node _T_729 = and(first2B, _T_728) @[el2_ifu_aln_ctl.scala 380:29] + node _T_730 = bits(alignret, 1, 1) @[el2_ifu_aln_ctl.scala 380:65] + node _T_731 = and(first4B, _T_730) @[el2_ifu_aln_ctl.scala 380:55] + node _T_732 = or(_T_729, _T_731) @[el2_ifu_aln_ctl.scala 380:44] + io.i0_brp.ret <= _T_732 @[el2_ifu_aln_ctl.scala 380:17] + node _T_733 = bits(alignpc4, 0, 0) @[el2_ifu_aln_ctl.scala 382:39] + node _T_734 = and(first2B, _T_733) @[el2_ifu_aln_ctl.scala 382:29] + node _T_735 = bits(alignpc4, 1, 1) @[el2_ifu_aln_ctl.scala 382:65] + node _T_736 = and(first4B, _T_735) @[el2_ifu_aln_ctl.scala 382:55] + node i0_brp_pc4 = or(_T_734, _T_736) @[el2_ifu_aln_ctl.scala 382:44] + node _T_737 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 384:45] + node _T_738 = or(first2B, _T_737) @[el2_ifu_aln_ctl.scala 384:33] + node _T_739 = bits(_T_738, 0, 0) @[el2_ifu_aln_ctl.scala 384:50] + node _T_740 = bits(alignway, 0, 0) @[el2_ifu_aln_ctl.scala 384:66] + node _T_741 = bits(alignway, 1, 1) @[el2_ifu_aln_ctl.scala 384:80] + node _T_742 = mux(_T_739, _T_740, _T_741) @[el2_ifu_aln_ctl.scala 384:23] + io.i0_brp.way <= _T_742 @[el2_ifu_aln_ctl.scala 384:17] + node _T_743 = bits(alignhist1, 0, 0) @[el2_ifu_aln_ctl.scala 386:46] + node _T_744 = and(first2B, _T_743) @[el2_ifu_aln_ctl.scala 386:34] + node _T_745 = bits(alignhist1, 1, 1) @[el2_ifu_aln_ctl.scala 386:74] + node _T_746 = and(first4B, _T_745) @[el2_ifu_aln_ctl.scala 386:62] + node _T_747 = or(_T_744, _T_746) @[el2_ifu_aln_ctl.scala 386:51] + node _T_748 = bits(alignhist0, 0, 0) @[el2_ifu_aln_ctl.scala 387:26] + node _T_749 = and(first2B, _T_748) @[el2_ifu_aln_ctl.scala 387:14] + node _T_750 = bits(alignhist0, 1, 1) @[el2_ifu_aln_ctl.scala 387:54] + node _T_751 = and(first4B, _T_750) @[el2_ifu_aln_ctl.scala 387:42] + node _T_752 = or(_T_749, _T_751) @[el2_ifu_aln_ctl.scala 387:31] + node _T_753 = cat(_T_747, _T_752) @[Cat.scala 29:58] + io.i0_brp.hist <= _T_753 @[el2_ifu_aln_ctl.scala 386:18] node i0_ends_f1 = and(first4B, alignfromf1) @[el2_ifu_aln_ctl.scala 389:28] - node _T_755 = bits(i0_ends_f1, 0, 0) @[el2_ifu_aln_ctl.scala 390:39] - node _T_756 = mux(_T_755, f1poffset, f0poffset) @[el2_ifu_aln_ctl.scala 390:27] - io.i0_brp.toffset <= _T_756 @[el2_ifu_aln_ctl.scala 390:21] - node _T_757 = bits(i0_ends_f1, 0, 0) @[el2_ifu_aln_ctl.scala 392:37] - node _T_758 = mux(_T_757, f1prett, f0prett) @[el2_ifu_aln_ctl.scala 392:25] - io.i0_brp.prett <= _T_758 @[el2_ifu_aln_ctl.scala 392:19] - node _T_759 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 394:51] - node _T_760 = and(first4B, _T_759) @[el2_ifu_aln_ctl.scala 394:41] - node _T_761 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 394:67] - node _T_762 = and(_T_760, _T_761) @[el2_ifu_aln_ctl.scala 394:55] - io.i0_brp.br_start_error <= _T_762 @[el2_ifu_aln_ctl.scala 394:29] - node _T_763 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 396:57] - node _T_764 = or(first2B, _T_763) @[el2_ifu_aln_ctl.scala 396:45] - node _T_765 = bits(_T_764, 0, 0) @[el2_ifu_aln_ctl.scala 396:62] - node _T_766 = bits(f0pc, 1, 1) @[el2_ifu_aln_ctl.scala 396:77] - node _T_767 = bits(secondpc, 1, 1) @[el2_ifu_aln_ctl.scala 396:90] - node _T_768 = mux(_T_765, _T_766, _T_767) @[el2_ifu_aln_ctl.scala 396:35] - io.i0_brp.bank <= _T_768 @[el2_ifu_aln_ctl.scala 396:29] - node _T_769 = and(io.i0_brp.valid, i0_brp_pc4) @[el2_ifu_aln_ctl.scala 398:42] - node _T_770 = and(_T_769, first2B) @[el2_ifu_aln_ctl.scala 398:56] - node _T_771 = eq(i0_brp_pc4, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 398:89] - node _T_772 = and(io.i0_brp.valid, _T_771) @[el2_ifu_aln_ctl.scala 398:87] - node _T_773 = and(_T_772, first4B) @[el2_ifu_aln_ctl.scala 398:101] - node _T_774 = or(_T_770, _T_773) @[el2_ifu_aln_ctl.scala 398:68] - io.i0_brp.br_error <= _T_774 @[el2_ifu_aln_ctl.scala 398:22] - node _T_775 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 400:50] - node _T_776 = or(first2B, _T_775) @[el2_ifu_aln_ctl.scala 400:38] - node _T_777 = bits(_T_776, 0, 0) @[el2_ifu_aln_ctl.scala 400:55] - node _T_778 = mux(_T_777, firstpc_hash, secondpc_hash) @[el2_ifu_aln_ctl.scala 400:28] - io.ifu_i0_bp_index <= _T_778 @[el2_ifu_aln_ctl.scala 400:22] - node _T_779 = and(first4B, alignfromf1) @[el2_ifu_aln_ctl.scala 402:37] - node _T_780 = bits(_T_779, 0, 0) @[el2_ifu_aln_ctl.scala 402:52] - node _T_781 = mux(_T_780, f1fghr, f0fghr) @[el2_ifu_aln_ctl.scala 402:27] - io.ifu_i0_bp_fghr <= _T_781 @[el2_ifu_aln_ctl.scala 402:21] - node _T_782 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 404:49] - node _T_783 = or(first2B, _T_782) @[el2_ifu_aln_ctl.scala 404:37] - node _T_784 = bits(_T_783, 0, 0) @[el2_ifu_aln_ctl.scala 404:54] - node _T_785 = mux(_T_784, firstbrtag_hash, secondbrtag_hash) @[el2_ifu_aln_ctl.scala 404:27] - io.ifu_i0_bp_btag <= _T_785 @[el2_ifu_aln_ctl.scala 404:21] + node _T_754 = bits(i0_ends_f1, 0, 0) @[el2_ifu_aln_ctl.scala 390:39] + node _T_755 = mux(_T_754, f1poffset, f0poffset) @[el2_ifu_aln_ctl.scala 390:27] + io.i0_brp.toffset <= _T_755 @[el2_ifu_aln_ctl.scala 390:21] + node _T_756 = bits(i0_ends_f1, 0, 0) @[el2_ifu_aln_ctl.scala 392:37] + node _T_757 = mux(_T_756, f1prett, f0prett) @[el2_ifu_aln_ctl.scala 392:25] + io.i0_brp.prett <= _T_757 @[el2_ifu_aln_ctl.scala 392:19] + node _T_758 = bits(alignval, 1, 1) @[el2_ifu_aln_ctl.scala 394:51] + node _T_759 = and(first4B, _T_758) @[el2_ifu_aln_ctl.scala 394:41] + node _T_760 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 394:67] + node _T_761 = and(_T_759, _T_760) @[el2_ifu_aln_ctl.scala 394:55] + io.i0_brp.br_start_error <= _T_761 @[el2_ifu_aln_ctl.scala 394:29] + node _T_762 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 396:57] + node _T_763 = or(first2B, _T_762) @[el2_ifu_aln_ctl.scala 396:45] + node _T_764 = bits(_T_763, 0, 0) @[el2_ifu_aln_ctl.scala 396:62] + node _T_765 = bits(f0pc, 1, 1) @[el2_ifu_aln_ctl.scala 396:77] + node _T_766 = bits(secondpc, 1, 1) @[el2_ifu_aln_ctl.scala 396:90] + node _T_767 = mux(_T_764, _T_765, _T_766) @[el2_ifu_aln_ctl.scala 396:35] + io.i0_brp.bank <= _T_767 @[el2_ifu_aln_ctl.scala 396:29] + node _T_768 = and(io.i0_brp.valid, i0_brp_pc4) @[el2_ifu_aln_ctl.scala 398:42] + node _T_769 = and(_T_768, first2B) @[el2_ifu_aln_ctl.scala 398:56] + node _T_770 = eq(i0_brp_pc4, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 398:89] + node _T_771 = and(io.i0_brp.valid, _T_770) @[el2_ifu_aln_ctl.scala 398:87] + node _T_772 = and(_T_771, first4B) @[el2_ifu_aln_ctl.scala 398:101] + node _T_773 = or(_T_769, _T_772) @[el2_ifu_aln_ctl.scala 398:68] + io.i0_brp.br_error <= _T_773 @[el2_ifu_aln_ctl.scala 398:22] + node _T_774 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 400:50] + node _T_775 = or(first2B, _T_774) @[el2_ifu_aln_ctl.scala 400:38] + node _T_776 = bits(_T_775, 0, 0) @[el2_ifu_aln_ctl.scala 400:55] + node _T_777 = mux(_T_776, firstpc_hash, secondpc_hash) @[el2_ifu_aln_ctl.scala 400:28] + io.ifu_i0_bp_index <= _T_777 @[el2_ifu_aln_ctl.scala 400:22] + node _T_778 = and(first4B, alignfromf1) @[el2_ifu_aln_ctl.scala 402:37] + node _T_779 = bits(_T_778, 0, 0) @[el2_ifu_aln_ctl.scala 402:52] + node _T_780 = mux(_T_779, f1fghr, f0fghr) @[el2_ifu_aln_ctl.scala 402:27] + io.ifu_i0_bp_fghr <= _T_780 @[el2_ifu_aln_ctl.scala 402:21] + node _T_781 = bits(alignbrend, 0, 0) @[el2_ifu_aln_ctl.scala 404:49] + node _T_782 = or(first2B, _T_781) @[el2_ifu_aln_ctl.scala 404:37] + node _T_783 = bits(_T_782, 0, 0) @[el2_ifu_aln_ctl.scala 404:54] + node _T_784 = mux(_T_783, firstbrtag_hash, secondbrtag_hash) @[el2_ifu_aln_ctl.scala 404:27] + io.ifu_i0_bp_btag <= _T_784 @[el2_ifu_aln_ctl.scala 404:21] decompressed.io.din <= aligndata @[el2_ifu_aln_ctl.scala 406:23] - node _T_786 = not(error_stall) @[el2_ifu_aln_ctl.scala 408:39] - node i0_shift = and(io.dec_i0_decode_d, _T_786) @[el2_ifu_aln_ctl.scala 408:37] + node _T_785 = not(error_stall) @[el2_ifu_aln_ctl.scala 408:39] + node i0_shift = and(io.dec_i0_decode_d, _T_785) @[el2_ifu_aln_ctl.scala 408:37] io.ifu_pmu_instr_aligned <= i0_shift @[el2_ifu_aln_ctl.scala 410:28] - node _T_787 = and(i0_shift, first2B) @[el2_ifu_aln_ctl.scala 412:24] - shift_2B <= _T_787 @[el2_ifu_aln_ctl.scala 412:12] - node _T_788 = and(i0_shift, first4B) @[el2_ifu_aln_ctl.scala 413:24] - shift_4B <= _T_788 @[el2_ifu_aln_ctl.scala 413:12] - node _T_789 = bits(shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 415:37] - node _T_790 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 415:52] - node _T_791 = bits(shift_4B, 0, 0) @[el2_ifu_aln_ctl.scala 415:66] - node _T_792 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 415:83] - node _T_793 = eq(_T_792, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 415:77] - node _T_794 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 415:94] - node _T_795 = and(_T_793, _T_794) @[el2_ifu_aln_ctl.scala 415:87] - node _T_796 = mux(_T_789, _T_790, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_797 = mux(_T_791, _T_795, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_798 = or(_T_796, _T_797) @[Mux.scala 27:72] - wire _T_799 : UInt<1> @[Mux.scala 27:72] - _T_799 <= _T_798 @[Mux.scala 27:72] - f0_shift_2B <= _T_799 @[el2_ifu_aln_ctl.scala 415:15] - node _T_800 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 416:24] - node _T_801 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 416:36] - node _T_802 = eq(_T_801, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 416:30] - node _T_803 = and(_T_800, _T_802) @[el2_ifu_aln_ctl.scala 416:28] - node _T_804 = and(_T_803, shift_4B) @[el2_ifu_aln_ctl.scala 416:40] - f1_shift_2B <= _T_804 @[el2_ifu_aln_ctl.scala 416:15] + node _T_786 = and(i0_shift, first2B) @[el2_ifu_aln_ctl.scala 412:24] + shift_2B <= _T_786 @[el2_ifu_aln_ctl.scala 412:12] + node _T_787 = and(i0_shift, first4B) @[el2_ifu_aln_ctl.scala 413:24] + shift_4B <= _T_787 @[el2_ifu_aln_ctl.scala 413:12] + node _T_788 = bits(shift_2B, 0, 0) @[el2_ifu_aln_ctl.scala 415:37] + node _T_789 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 415:52] + node _T_790 = bits(shift_4B, 0, 0) @[el2_ifu_aln_ctl.scala 415:66] + node _T_791 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 415:83] + node _T_792 = eq(_T_791, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 415:77] + node _T_793 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 415:94] + node _T_794 = and(_T_792, _T_793) @[el2_ifu_aln_ctl.scala 415:87] + node _T_795 = mux(_T_788, _T_789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_796 = mux(_T_790, _T_794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_797 = or(_T_795, _T_796) @[Mux.scala 27:72] + wire _T_798 : UInt<1> @[Mux.scala 27:72] + _T_798 <= _T_797 @[Mux.scala 27:72] + f0_shift_2B <= _T_798 @[el2_ifu_aln_ctl.scala 415:15] + node _T_799 = bits(f0val, 0, 0) @[el2_ifu_aln_ctl.scala 416:24] + node _T_800 = bits(f0val, 1, 1) @[el2_ifu_aln_ctl.scala 416:36] + node _T_801 = eq(_T_800, UInt<1>("h00")) @[el2_ifu_aln_ctl.scala 416:30] + node _T_802 = and(_T_799, _T_801) @[el2_ifu_aln_ctl.scala 416:28] + node _T_803 = and(_T_802, shift_4B) @[el2_ifu_aln_ctl.scala 416:40] + f1_shift_2B <= _T_803 @[el2_ifu_aln_ctl.scala 416:15] diff --git a/el2_ifu_aln_ctl.v b/el2_ifu_aln_ctl.v index e9d736b3..6a8ca383 100644 --- a/el2_ifu_aln_ctl.v +++ b/el2_ifu_aln_ctl.v @@ -517,7 +517,7 @@ module el2_ifu_aln_ctl( input io_ic_access_fault_f, input [1:0] io_ic_access_fault_type_f, input [7:0] io_ifu_bp_fghr_f, - input [31:0] io_ifu_bp_btb_target_f, + input [30:0] io_ifu_bp_btb_target_f, input [11:0] io_ifu_bp_poffset_f, input [1:0] io_ifu_bp_hist0_f, input [1:0] io_ifu_bp_hist1_f, @@ -592,8 +592,8 @@ module el2_ifu_aln_ctl( reg q2off; // @[el2_ifu_aln_ctl.scala 136:48] reg q1off; // @[el2_ifu_aln_ctl.scala 137:48] reg q0off; // @[el2_ifu_aln_ctl.scala 138:48] - wire _T_786 = ~error_stall; // @[el2_ifu_aln_ctl.scala 408:39] - wire i0_shift = io_dec_i0_decode_d & _T_786; // @[el2_ifu_aln_ctl.scala 408:37] + wire _T_785 = ~error_stall; // @[el2_ifu_aln_ctl.scala 408:39] + wire i0_shift = io_dec_i0_decode_d & _T_785; // @[el2_ifu_aln_ctl.scala 408:37] wire _T_186 = rdptr == 2'h0; // @[el2_ifu_aln_ctl.scala 188:31] wire _T_189 = _T_186 & q0off; // @[Mux.scala 27:72] wire _T_187 = rdptr == 2'h1; // @[el2_ifu_aln_ctl.scala 189:11] @@ -607,23 +607,23 @@ module el2_ifu_aln_ctl( wire [2:0] qren = {_T_188,_T_187,_T_186}; // @[Cat.scala 29:58] reg [31:0] q1; // @[Reg.scala 27:20] reg [31:0] q0; // @[Reg.scala 27:20] - wire [63:0] _T_480 = {q1,q0}; // @[Cat.scala 29:58] - wire [63:0] _T_487 = qren[0] ? _T_480 : 64'h0; // @[Mux.scala 27:72] + wire [63:0] _T_479 = {q1,q0}; // @[Cat.scala 29:58] + wire [63:0] _T_486 = qren[0] ? _T_479 : 64'h0; // @[Mux.scala 27:72] reg [31:0] q2; // @[Reg.scala 27:20] - wire [63:0] _T_483 = {q2,q1}; // @[Cat.scala 29:58] - wire [63:0] _T_488 = qren[1] ? _T_483 : 64'h0; // @[Mux.scala 27:72] - wire [63:0] _T_490 = _T_487 | _T_488; // @[Mux.scala 27:72] - wire [63:0] _T_486 = {q0,q2}; // @[Cat.scala 29:58] - wire [63:0] _T_489 = qren[2] ? _T_486 : 64'h0; // @[Mux.scala 27:72] - wire [63:0] qeff = _T_490 | _T_489; // @[Mux.scala 27:72] + wire [63:0] _T_482 = {q2,q1}; // @[Cat.scala 29:58] + wire [63:0] _T_487 = qren[1] ? _T_482 : 64'h0; // @[Mux.scala 27:72] + wire [63:0] _T_489 = _T_486 | _T_487; // @[Mux.scala 27:72] + wire [63:0] _T_485 = {q0,q2}; // @[Cat.scala 29:58] + wire [63:0] _T_488 = qren[2] ? _T_485 : 64'h0; // @[Mux.scala 27:72] + wire [63:0] qeff = _T_489 | _T_488; // @[Mux.scala 27:72] wire [31:0] q0eff = qeff[31:0]; // @[el2_ifu_aln_ctl.scala 310:42] - wire [31:0] _T_497 = q0sel[0] ? q0eff : 32'h0; // @[Mux.scala 27:72] - wire [15:0] _T_498 = q0sel[1] ? q0eff[31:16] : 16'h0; // @[Mux.scala 27:72] - wire [31:0] _GEN_12 = {{16'd0}, _T_498}; // @[Mux.scala 27:72] - wire [31:0] q0final = _T_497 | _GEN_12; // @[Mux.scala 27:72] - wire [31:0] _T_521 = f0val[0] ? q0final : 32'h0; // @[Mux.scala 27:72] - wire _T_514 = ~f0val[1]; // @[el2_ifu_aln_ctl.scala 316:58] - wire _T_516 = _T_514 & f0val[0]; // @[el2_ifu_aln_ctl.scala 316:68] + wire [31:0] _T_496 = q0sel[0] ? q0eff : 32'h0; // @[Mux.scala 27:72] + wire [15:0] _T_497 = q0sel[1] ? q0eff[31:16] : 16'h0; // @[Mux.scala 27:72] + wire [31:0] _GEN_12 = {{16'd0}, _T_497}; // @[Mux.scala 27:72] + wire [31:0] q0final = _T_496 | _GEN_12; // @[Mux.scala 27:72] + wire [31:0] _T_520 = f0val[0] ? q0final : 32'h0; // @[Mux.scala 27:72] + wire _T_513 = ~f0val[1]; // @[el2_ifu_aln_ctl.scala 316:58] + wire _T_515 = _T_513 & f0val[0]; // @[el2_ifu_aln_ctl.scala 316:68] wire _T_197 = _T_186 & q1off; // @[Mux.scala 27:72] wire _T_198 = _T_187 & q2off; // @[Mux.scala 27:72] wire _T_200 = _T_197 | _T_198; // @[Mux.scala 27:72] @@ -632,92 +632,92 @@ module el2_ifu_aln_ctl( wire _T_203 = ~q1ptr; // @[el2_ifu_aln_ctl.scala 196:26] wire [1:0] q1sel = {q1ptr,_T_203}; // @[Cat.scala 29:58] wire [31:0] q1eff = qeff[63:32]; // @[el2_ifu_aln_ctl.scala 310:29] - wire [15:0] _T_507 = q1sel[0] ? q1eff[15:0] : 16'h0; // @[Mux.scala 27:72] - wire [15:0] _T_508 = q1sel[1] ? q1eff[31:16] : 16'h0; // @[Mux.scala 27:72] - wire [15:0] q1final = _T_507 | _T_508; // @[Mux.scala 27:72] - wire [31:0] _T_520 = {q1final,q0final[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_522 = _T_516 ? _T_520 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] aligndata = _T_521 | _T_522; // @[Mux.scala 27:72] + wire [15:0] _T_506 = q1sel[0] ? q1eff[15:0] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] _T_507 = q1sel[1] ? q1eff[31:16] : 16'h0; // @[Mux.scala 27:72] + wire [15:0] q1final = _T_506 | _T_507; // @[Mux.scala 27:72] + wire [31:0] _T_519 = {q1final,q0final[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_521 = _T_515 ? _T_519 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] aligndata = _T_520 | _T_521; // @[Mux.scala 27:72] wire first4B = aligndata[1:0] == 2'h3; // @[el2_ifu_aln_ctl.scala 348:29] wire first2B = ~first4B; // @[el2_ifu_aln_ctl.scala 350:17] wire shift_2B = i0_shift & first2B; // @[el2_ifu_aln_ctl.scala 412:24] - wire [1:0] _T_444 = {1'h0,f0val[1]}; // @[Cat.scala 29:58] - wire [1:0] _T_449 = shift_2B ? _T_444 : 2'h0; // @[Mux.scala 27:72] - wire _T_445 = ~shift_2B; // @[el2_ifu_aln_ctl.scala 300:18] + wire [1:0] _T_443 = {1'h0,f0val[1]}; // @[Cat.scala 29:58] + wire [1:0] _T_448 = shift_2B ? _T_443 : 2'h0; // @[Mux.scala 27:72] + wire _T_444 = ~shift_2B; // @[el2_ifu_aln_ctl.scala 300:18] wire shift_4B = i0_shift & first4B; // @[el2_ifu_aln_ctl.scala 413:24] - wire _T_446 = ~shift_4B; // @[el2_ifu_aln_ctl.scala 300:30] - wire _T_447 = _T_445 & _T_446; // @[el2_ifu_aln_ctl.scala 300:28] - wire [1:0] _T_450 = _T_447 ? f0val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] sf0val = _T_449 | _T_450; // @[Mux.scala 27:72] + wire _T_445 = ~shift_4B; // @[el2_ifu_aln_ctl.scala 300:30] + wire _T_446 = _T_444 & _T_445; // @[el2_ifu_aln_ctl.scala 300:28] + wire [1:0] _T_449 = _T_446 ? f0val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] sf0val = _T_448 | _T_449; // @[Mux.scala 27:72] wire sf0_valid = sf0val[0]; // @[el2_ifu_aln_ctl.scala 253:22] - wire _T_352 = ~sf0_valid; // @[el2_ifu_aln_ctl.scala 272:26] - wire _T_803 = f0val[0] & _T_514; // @[el2_ifu_aln_ctl.scala 416:28] - wire f1_shift_2B = _T_803 & shift_4B; // @[el2_ifu_aln_ctl.scala 416:40] - wire _T_418 = f1_shift_2B & f1val[1]; // @[Mux.scala 27:72] - wire _T_417 = ~f1_shift_2B; // @[el2_ifu_aln_ctl.scala 293:53] - wire [1:0] _T_419 = _T_417 ? f1val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_13 = {{1'd0}, _T_418}; // @[Mux.scala 27:72] - wire [1:0] sf1val = _GEN_13 | _T_419; // @[Mux.scala 27:72] + wire _T_351 = ~sf0_valid; // @[el2_ifu_aln_ctl.scala 272:26] + wire _T_802 = f0val[0] & _T_513; // @[el2_ifu_aln_ctl.scala 416:28] + wire f1_shift_2B = _T_802 & shift_4B; // @[el2_ifu_aln_ctl.scala 416:40] + wire _T_417 = f1_shift_2B & f1val[1]; // @[Mux.scala 27:72] + wire _T_416 = ~f1_shift_2B; // @[el2_ifu_aln_ctl.scala 293:53] + wire [1:0] _T_418 = _T_416 ? f1val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_13 = {{1'd0}, _T_417}; // @[Mux.scala 27:72] + wire [1:0] sf1val = _GEN_13 | _T_418; // @[Mux.scala 27:72] wire sf1_valid = sf1val[0]; // @[el2_ifu_aln_ctl.scala 252:22] - wire _T_353 = _T_352 & sf1_valid; // @[el2_ifu_aln_ctl.scala 272:37] + wire _T_352 = _T_351 & sf1_valid; // @[el2_ifu_aln_ctl.scala 272:37] wire f2_valid = f2val[0]; // @[el2_ifu_aln_ctl.scala 251:20] - wire _T_354 = _T_353 & f2_valid; // @[el2_ifu_aln_ctl.scala 272:50] + wire _T_353 = _T_352 & f2_valid; // @[el2_ifu_aln_ctl.scala 272:50] wire ifvalid = io_ifu_fetch_val[0]; // @[el2_ifu_aln_ctl.scala 261:30] - wire _T_355 = _T_354 & ifvalid; // @[el2_ifu_aln_ctl.scala 272:62] - wire _T_356 = sf0_valid & sf1_valid; // @[el2_ifu_aln_ctl.scala 273:37] - wire _T_357 = ~f2_valid; // @[el2_ifu_aln_ctl.scala 273:52] - wire _T_358 = _T_356 & _T_357; // @[el2_ifu_aln_ctl.scala 273:50] - wire _T_359 = _T_358 & ifvalid; // @[el2_ifu_aln_ctl.scala 273:62] - wire fetch_to_f2 = _T_355 | _T_359; // @[el2_ifu_aln_ctl.scala 272:74] + wire _T_354 = _T_353 & ifvalid; // @[el2_ifu_aln_ctl.scala 272:62] + wire _T_355 = sf0_valid & sf1_valid; // @[el2_ifu_aln_ctl.scala 273:37] + wire _T_356 = ~f2_valid; // @[el2_ifu_aln_ctl.scala 273:52] + wire _T_357 = _T_355 & _T_356; // @[el2_ifu_aln_ctl.scala 273:50] + wire _T_358 = _T_357 & ifvalid; // @[el2_ifu_aln_ctl.scala 273:62] + wire fetch_to_f2 = _T_354 | _T_358; // @[el2_ifu_aln_ctl.scala 272:74] reg [30:0] f2pc; // @[Reg.scala 27:20] - wire _T_336 = ~sf1_valid; // @[el2_ifu_aln_ctl.scala 268:39] - wire _T_337 = _T_352 & _T_336; // @[el2_ifu_aln_ctl.scala 268:37] - wire _T_338 = _T_337 & f2_valid; // @[el2_ifu_aln_ctl.scala 268:50] - wire _T_339 = _T_338 & ifvalid; // @[el2_ifu_aln_ctl.scala 268:62] - wire _T_343 = _T_353 & _T_357; // @[el2_ifu_aln_ctl.scala 269:50] - wire _T_344 = _T_343 & ifvalid; // @[el2_ifu_aln_ctl.scala 269:62] - wire _T_345 = _T_339 | _T_344; // @[el2_ifu_aln_ctl.scala 268:74] - wire _T_347 = sf0_valid & _T_336; // @[el2_ifu_aln_ctl.scala 270:37] - wire _T_349 = _T_347 & _T_357; // @[el2_ifu_aln_ctl.scala 270:50] - wire _T_350 = _T_349 & ifvalid; // @[el2_ifu_aln_ctl.scala 270:62] - wire fetch_to_f1 = _T_345 | _T_350; // @[el2_ifu_aln_ctl.scala 269:74] - wire _T_25 = fetch_to_f1 | _T_354; // @[el2_ifu_aln_ctl.scala 157:33] + wire _T_335 = ~sf1_valid; // @[el2_ifu_aln_ctl.scala 268:39] + wire _T_336 = _T_351 & _T_335; // @[el2_ifu_aln_ctl.scala 268:37] + wire _T_337 = _T_336 & f2_valid; // @[el2_ifu_aln_ctl.scala 268:50] + wire _T_338 = _T_337 & ifvalid; // @[el2_ifu_aln_ctl.scala 268:62] + wire _T_342 = _T_352 & _T_356; // @[el2_ifu_aln_ctl.scala 269:50] + wire _T_343 = _T_342 & ifvalid; // @[el2_ifu_aln_ctl.scala 269:62] + wire _T_344 = _T_338 | _T_343; // @[el2_ifu_aln_ctl.scala 268:74] + wire _T_346 = sf0_valid & _T_335; // @[el2_ifu_aln_ctl.scala 270:37] + wire _T_348 = _T_346 & _T_356; // @[el2_ifu_aln_ctl.scala 270:50] + wire _T_349 = _T_348 & ifvalid; // @[el2_ifu_aln_ctl.scala 270:62] + wire fetch_to_f1 = _T_344 | _T_349; // @[el2_ifu_aln_ctl.scala 269:74] + wire _T_25 = fetch_to_f1 | _T_353; // @[el2_ifu_aln_ctl.scala 157:33] wire f1_shift_wr_en = _T_25 | f1_shift_2B; // @[el2_ifu_aln_ctl.scala 157:47] reg [30:0] f1pc; // @[Reg.scala 27:20] - wire [30:0] _T_376 = fetch_to_f1 ? io_ifu_fetch_pc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_377 = _T_354 ? f2pc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_379 = _T_376 | _T_377; // @[Mux.scala 27:72] - wire _T_372 = ~fetch_to_f1; // @[el2_ifu_aln_ctl.scala 283:6] - wire _T_373 = ~_T_354; // @[el2_ifu_aln_ctl.scala 283:21] - wire _T_374 = _T_372 & _T_373; // @[el2_ifu_aln_ctl.scala 283:19] - wire [30:0] _T_364 = f1_shift_2B ? 31'h7fffffff : 31'h0; // @[Bitwise.scala 72:12] + wire [30:0] _T_375 = fetch_to_f1 ? io_ifu_fetch_pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_376 = _T_353 ? f2pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_378 = _T_375 | _T_376; // @[Mux.scala 27:72] + wire _T_371 = ~fetch_to_f1; // @[el2_ifu_aln_ctl.scala 283:6] + wire _T_372 = ~_T_353; // @[el2_ifu_aln_ctl.scala 283:21] + wire _T_373 = _T_371 & _T_372; // @[el2_ifu_aln_ctl.scala 283:19] + wire [30:0] _T_363 = f1_shift_2B ? 31'h7fffffff : 31'h0; // @[Bitwise.scala 72:12] wire [30:0] f1pc_plus1 = f1pc + 31'h1; // @[el2_ifu_aln_ctl.scala 277:25] - wire [30:0] _T_365 = _T_364 & f1pc_plus1; // @[el2_ifu_aln_ctl.scala 279:38] - wire [30:0] _T_368 = _T_417 ? 31'h7fffffff : 31'h0; // @[Bitwise.scala 72:12] - wire [30:0] _T_369 = _T_368 & f1pc; // @[el2_ifu_aln_ctl.scala 279:78] - wire [30:0] sf1pc = _T_365 | _T_369; // @[el2_ifu_aln_ctl.scala 279:52] - wire [30:0] _T_378 = _T_374 ? sf1pc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] f1pc_in = _T_379 | _T_378; // @[Mux.scala 27:72] - wire _T_333 = _T_337 & _T_357; // @[el2_ifu_aln_ctl.scala 267:50] - wire fetch_to_f0 = _T_333 & ifvalid; // @[el2_ifu_aln_ctl.scala 267:62] - wire _T_27 = fetch_to_f0 | _T_338; // @[el2_ifu_aln_ctl.scala 158:33] - wire _T_28 = _T_27 | _T_353; // @[el2_ifu_aln_ctl.scala 158:47] + wire [30:0] _T_364 = _T_363 & f1pc_plus1; // @[el2_ifu_aln_ctl.scala 279:38] + wire [30:0] _T_367 = _T_416 ? 31'h7fffffff : 31'h0; // @[Bitwise.scala 72:12] + wire [30:0] _T_368 = _T_367 & f1pc; // @[el2_ifu_aln_ctl.scala 279:78] + wire [30:0] sf1pc = _T_364 | _T_368; // @[el2_ifu_aln_ctl.scala 279:52] + wire [30:0] _T_377 = _T_373 ? sf1pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] f1pc_in = _T_378 | _T_377; // @[Mux.scala 27:72] + wire _T_332 = _T_336 & _T_356; // @[el2_ifu_aln_ctl.scala 267:50] + wire fetch_to_f0 = _T_332 & ifvalid; // @[el2_ifu_aln_ctl.scala 267:62] + wire _T_27 = fetch_to_f0 | _T_337; // @[el2_ifu_aln_ctl.scala 158:33] + wire _T_28 = _T_27 | _T_352; // @[el2_ifu_aln_ctl.scala 158:47] wire _T_29 = _T_28 | shift_2B; // @[el2_ifu_aln_ctl.scala 158:61] wire f0_shift_wr_en = _T_29 | shift_4B; // @[el2_ifu_aln_ctl.scala 158:72] reg [30:0] f0pc; // @[Reg.scala 27:20] - wire [30:0] _T_391 = fetch_to_f0 ? io_ifu_fetch_pc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_392 = _T_338 ? f2pc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_395 = _T_391 | _T_392; // @[Mux.scala 27:72] - wire [30:0] _T_393 = _T_353 ? sf1pc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_396 = _T_395 | _T_393; // @[Mux.scala 27:72] - wire _T_385 = ~fetch_to_f0; // @[el2_ifu_aln_ctl.scala 288:24] - wire _T_386 = ~_T_338; // @[el2_ifu_aln_ctl.scala 288:39] - wire _T_387 = _T_385 & _T_386; // @[el2_ifu_aln_ctl.scala 288:37] - wire _T_388 = ~_T_353; // @[el2_ifu_aln_ctl.scala 288:54] - wire _T_389 = _T_387 & _T_388; // @[el2_ifu_aln_ctl.scala 288:52] + wire [30:0] _T_390 = fetch_to_f0 ? io_ifu_fetch_pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_391 = _T_337 ? f2pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_394 = _T_390 | _T_391; // @[Mux.scala 27:72] + wire [30:0] _T_392 = _T_352 ? sf1pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_395 = _T_394 | _T_392; // @[Mux.scala 27:72] + wire _T_384 = ~fetch_to_f0; // @[el2_ifu_aln_ctl.scala 288:24] + wire _T_385 = ~_T_337; // @[el2_ifu_aln_ctl.scala 288:39] + wire _T_386 = _T_384 & _T_385; // @[el2_ifu_aln_ctl.scala 288:37] + wire _T_387 = ~_T_352; // @[el2_ifu_aln_ctl.scala 288:54] + wire _T_388 = _T_386 & _T_387; // @[el2_ifu_aln_ctl.scala 288:52] wire [30:0] f0pc_plus1 = f0pc + 31'h1; // @[el2_ifu_aln_ctl.scala 275:25] - wire [30:0] _T_394 = _T_389 ? f0pc_plus1 : 31'h0; // @[Mux.scala 27:72] - wire [30:0] f0pc_in = _T_396 | _T_394; // @[Mux.scala 27:72] + wire [30:0] _T_393 = _T_388 ? f0pc_plus1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] f0pc_in = _T_395 | _T_393; // @[Mux.scala 27:72] wire _T_35 = wrptr == 2'h2; // @[el2_ifu_aln_ctl.scala 161:21] wire _T_36 = _T_35 & ifvalid; // @[el2_ifu_aln_ctl.scala 161:29] wire _T_37 = wrptr == 2'h1; // @[el2_ifu_aln_ctl.scala 161:46] @@ -726,12 +726,12 @@ module el2_ifu_aln_ctl( wire _T_40 = _T_39 & ifvalid; // @[el2_ifu_aln_ctl.scala 161:79] wire [2:0] qwen = {_T_36,_T_38,_T_40}; // @[Cat.scala 29:58] reg [11:0] brdata2; // @[Reg.scala 27:20] - wire [5:0] _T_242 = {io_ifu_bp_hist1_f[0],io_ifu_bp_hist0_f[0],io_ifu_bp_pc4_f[0],io_ifu_bp_way_f[0],io_ifu_bp_valid_f[0],io_ifu_bp_ret_f[0]}; // @[Cat.scala 29:58] - wire [11:0] brdata_in = {io_ifu_bp_hist1_f[1],io_ifu_bp_hist0_f[1],io_ifu_bp_pc4_f[1],io_ifu_bp_way_f[1],io_ifu_bp_valid_f[1],io_ifu_bp_ret_f[1],_T_242}; // @[Cat.scala 29:58] + wire [5:0] _T_241 = {io_ifu_bp_hist1_f[0],io_ifu_bp_hist0_f[0],io_ifu_bp_pc4_f[0],io_ifu_bp_way_f[0],io_ifu_bp_valid_f[0],io_ifu_bp_ret_f[0]}; // @[Cat.scala 29:58] + wire [11:0] brdata_in = {io_ifu_bp_hist1_f[1],io_ifu_bp_hist0_f[1],io_ifu_bp_pc4_f[1],io_ifu_bp_way_f[1],io_ifu_bp_valid_f[1],io_ifu_bp_ret_f[1],_T_241}; // @[Cat.scala 29:58] reg [11:0] brdata1; // @[Reg.scala 27:20] reg [11:0] brdata0; // @[Reg.scala 27:20] reg [54:0] _T_14; // @[Reg.scala 27:20] - wire [54:0] misc_data_in = {io_iccm_rd_ecc_double_err,io_ic_access_fault_f,io_ic_access_fault_type_f,io_ifu_bp_btb_target_f[31:1],io_ifu_bp_poffset_f,io_ifu_bp_fghr_f}; // @[Cat.scala 29:58] + wire [54:0] misc_data_in = {io_iccm_rd_ecc_double_err,io_ic_access_fault_f,io_ic_access_fault_type_f,io_ifu_bp_btb_target_f,io_ifu_bp_poffset_f,io_ifu_bp_fghr_f}; // @[Cat.scala 29:58] reg [54:0] _T_16; // @[Reg.scala 27:20] reg [54:0] _T_18; // @[Reg.scala 27:20] wire _T_44 = qren[0] & io_ifu_fb_consume1; // @[el2_ifu_aln_ctl.scala 163:34] @@ -766,11 +766,11 @@ module el2_ifu_aln_ctl( wire [1:0] wrptr_in = _T_113 | _T_112; // @[Mux.scala 27:72] wire _T_118 = ~qwen[2]; // @[el2_ifu_aln_ctl.scala 176:26] wire _T_120 = _T_118 & _T_188; // @[el2_ifu_aln_ctl.scala 176:35] - wire _T_796 = shift_2B & f0val[0]; // @[Mux.scala 27:72] - wire _T_793 = ~f0val[0]; // @[el2_ifu_aln_ctl.scala 415:77] - wire _T_795 = _T_793 & f0val[0]; // @[el2_ifu_aln_ctl.scala 415:87] - wire _T_797 = shift_4B & _T_795; // @[Mux.scala 27:72] - wire f0_shift_2B = _T_796 | _T_797; // @[Mux.scala 27:72] + wire _T_795 = shift_2B & f0val[0]; // @[Mux.scala 27:72] + wire _T_792 = ~f0val[0]; // @[el2_ifu_aln_ctl.scala 415:77] + wire _T_794 = _T_792 & f0val[0]; // @[el2_ifu_aln_ctl.scala 415:87] + wire _T_796 = shift_4B & _T_794; // @[Mux.scala 27:72] + wire f0_shift_2B = _T_795 | _T_796; // @[Mux.scala 27:72] wire _T_122 = q2off | f0_shift_2B; // @[el2_ifu_aln_ctl.scala 176:74] wire _T_126 = _T_118 & _T_187; // @[el2_ifu_aln_ctl.scala 177:15] wire _T_128 = q2off | f1_shift_2B; // @[el2_ifu_aln_ctl.scala 177:54] @@ -804,15 +804,15 @@ module el2_ifu_aln_ctl( wire q0off_in = _T_183 | _T_182; // @[Mux.scala 27:72] wire [53:0] misc1 = _T_16[53:0]; // @[el2_ifu_aln_ctl.scala 149:9] wire [53:0] misc0 = _T_18[53:0]; // @[el2_ifu_aln_ctl.scala 150:9] - wire [107:0] _T_212 = {misc1,misc0}; // @[Cat.scala 29:58] + wire [107:0] _T_211 = {misc1,misc0}; // @[Cat.scala 29:58] wire [53:0] misc2 = _T_14[53:0]; // @[el2_ifu_aln_ctl.scala 148:9] - wire [107:0] _T_215 = {misc2,misc1}; // @[Cat.scala 29:58] - wire [107:0] _T_218 = {misc0,misc2}; // @[Cat.scala 29:58] - wire [107:0] _T_219 = qren[0] ? _T_212 : 108'h0; // @[Mux.scala 27:72] - wire [107:0] _T_220 = qren[1] ? _T_215 : 108'h0; // @[Mux.scala 27:72] - wire [107:0] _T_221 = qren[2] ? _T_218 : 108'h0; // @[Mux.scala 27:72] - wire [107:0] _T_222 = _T_219 | _T_220; // @[Mux.scala 27:72] - wire [107:0] misceff = _T_222 | _T_221; // @[Mux.scala 27:72] + wire [107:0] _T_214 = {misc2,misc1}; // @[Cat.scala 29:58] + wire [107:0] _T_217 = {misc0,misc2}; // @[Cat.scala 29:58] + wire [107:0] _T_218 = qren[0] ? _T_211 : 108'h0; // @[Mux.scala 27:72] + wire [107:0] _T_219 = qren[1] ? _T_214 : 108'h0; // @[Mux.scala 27:72] + wire [107:0] _T_220 = qren[2] ? _T_217 : 108'h0; // @[Mux.scala 27:72] + wire [107:0] _T_221 = _T_218 | _T_219; // @[Mux.scala 27:72] + wire [107:0] misceff = _T_221 | _T_220; // @[Mux.scala 27:72] wire [52:0] misc1eff = misceff[107:55]; // @[el2_ifu_aln_ctl.scala 205:25] wire [54:0] misc0eff = misceff[54:0]; // @[el2_ifu_aln_ctl.scala 206:25] wire f1dbecc = misc1eff[52]; // @[el2_ifu_aln_ctl.scala 209:25] @@ -827,24 +827,24 @@ module el2_ifu_aln_ctl( wire [32:0] f0prett = misc0eff[50:18]; // @[el2_ifu_aln_ctl.scala 219:25] wire [12:0] f0poffset = misc0eff[17:5]; // @[el2_ifu_aln_ctl.scala 220:27] wire [4:0] f0fghr = misc0eff[4:0]; // @[el2_ifu_aln_ctl.scala 221:24] - wire [23:0] _T_251 = {brdata1,brdata0}; // @[Cat.scala 29:58] - wire [23:0] _T_254 = {brdata2,brdata1}; // @[Cat.scala 29:58] - wire [23:0] _T_257 = {brdata0,brdata2}; // @[Cat.scala 29:58] - wire [23:0] _T_258 = qren[0] ? _T_251 : 24'h0; // @[Mux.scala 27:72] - wire [23:0] _T_259 = qren[1] ? _T_254 : 24'h0; // @[Mux.scala 27:72] - wire [23:0] _T_260 = qren[2] ? _T_257 : 24'h0; // @[Mux.scala 27:72] - wire [23:0] _T_261 = _T_258 | _T_259; // @[Mux.scala 27:72] - wire [23:0] brdataeff = _T_261 | _T_260; // @[Mux.scala 27:72] + wire [23:0] _T_250 = {brdata1,brdata0}; // @[Cat.scala 29:58] + wire [23:0] _T_253 = {brdata2,brdata1}; // @[Cat.scala 29:58] + wire [23:0] _T_256 = {brdata0,brdata2}; // @[Cat.scala 29:58] + wire [23:0] _T_257 = qren[0] ? _T_250 : 24'h0; // @[Mux.scala 27:72] + wire [23:0] _T_258 = qren[1] ? _T_253 : 24'h0; // @[Mux.scala 27:72] + wire [23:0] _T_259 = qren[2] ? _T_256 : 24'h0; // @[Mux.scala 27:72] + wire [23:0] _T_260 = _T_257 | _T_258; // @[Mux.scala 27:72] + wire [23:0] brdataeff = _T_260 | _T_259; // @[Mux.scala 27:72] wire [11:0] brdata0eff = brdataeff[11:0]; // @[el2_ifu_aln_ctl.scala 231:43] wire [11:0] brdata1eff = brdataeff[23:12]; // @[el2_ifu_aln_ctl.scala 231:61] - wire [11:0] _T_268 = q0sel[0] ? brdata0eff : 12'h0; // @[Mux.scala 27:72] - wire [5:0] _T_269 = q0sel[1] ? brdata0eff[11:6] : 6'h0; // @[Mux.scala 27:72] - wire [11:0] _GEN_17 = {{6'd0}, _T_269}; // @[Mux.scala 27:72] - wire [11:0] brdata0final = _T_268 | _GEN_17; // @[Mux.scala 27:72] - wire [11:0] _T_276 = q1sel[0] ? brdata1eff : 12'h0; // @[Mux.scala 27:72] - wire [5:0] _T_277 = q1sel[1] ? brdata1eff[11:6] : 6'h0; // @[Mux.scala 27:72] - wire [11:0] _GEN_18 = {{6'd0}, _T_277}; // @[Mux.scala 27:72] - wire [11:0] brdata1final = _T_276 | _GEN_18; // @[Mux.scala 27:72] + wire [11:0] _T_267 = q0sel[0] ? brdata0eff : 12'h0; // @[Mux.scala 27:72] + wire [5:0] _T_268 = q0sel[1] ? brdata0eff[11:6] : 6'h0; // @[Mux.scala 27:72] + wire [11:0] _GEN_17 = {{6'd0}, _T_268}; // @[Mux.scala 27:72] + wire [11:0] brdata0final = _T_267 | _GEN_17; // @[Mux.scala 27:72] + wire [11:0] _T_275 = q1sel[0] ? brdata1eff : 12'h0; // @[Mux.scala 27:72] + wire [5:0] _T_276 = q1sel[1] ? brdata1eff[11:6] : 6'h0; // @[Mux.scala 27:72] + wire [11:0] _GEN_18 = {{6'd0}, _T_276}; // @[Mux.scala 27:72] + wire [11:0] brdata1final = _T_275 | _GEN_18; // @[Mux.scala 27:72] wire [1:0] f0ret = {brdata0final[6],brdata0final[0]}; // @[Cat.scala 29:58] wire [1:0] f0brend = {brdata0final[7],brdata0final[1]}; // @[Cat.scala 29:58] wire [1:0] f0way = {brdata0final[8],brdata0final[2]}; // @[Cat.scala 29:58] @@ -857,158 +857,158 @@ module el2_ifu_aln_ctl( wire [1:0] f1pc4 = {brdata1final[9],brdata1final[3]}; // @[Cat.scala 29:58] wire [1:0] f1hist0 = {brdata1final[10],brdata1final[4]}; // @[Cat.scala 29:58] wire [1:0] f1hist1 = {brdata1final[11],brdata1final[5]}; // @[Cat.scala 29:58] - wire consume_fb0 = _T_352 & f0val[0]; // @[el2_ifu_aln_ctl.scala 255:32] - wire consume_fb1 = _T_336 & f1val[0]; // @[el2_ifu_aln_ctl.scala 256:32] - wire _T_312 = ~consume_fb1; // @[el2_ifu_aln_ctl.scala 258:39] - wire _T_313 = consume_fb0 & _T_312; // @[el2_ifu_aln_ctl.scala 258:37] - wire _T_316 = consume_fb0 & consume_fb1; // @[el2_ifu_aln_ctl.scala 259:37] - wire _T_400 = fetch_to_f2 & _T_1; // @[el2_ifu_aln_ctl.scala 290:38] - wire _T_402 = ~fetch_to_f2; // @[el2_ifu_aln_ctl.scala 291:25] - wire _T_404 = _T_402 & _T_373; // @[el2_ifu_aln_ctl.scala 291:38] - wire _T_406 = _T_404 & _T_386; // @[el2_ifu_aln_ctl.scala 291:53] - wire _T_408 = _T_406 & _T_1; // @[el2_ifu_aln_ctl.scala 291:68] - wire [1:0] _T_410 = _T_400 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_411 = _T_408 ? f2val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] f2val_in = _T_410 | _T_411; // @[Mux.scala 27:72] - wire _T_423 = fetch_to_f1 & _T_1; // @[el2_ifu_aln_ctl.scala 295:39] - wire _T_426 = _T_354 & _T_1; // @[el2_ifu_aln_ctl.scala 296:54] - wire _T_432 = _T_374 & _T_388; // @[el2_ifu_aln_ctl.scala 297:54] - wire _T_434 = _T_432 & _T_1; // @[el2_ifu_aln_ctl.scala 297:69] - wire [1:0] _T_436 = _T_423 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_437 = _T_426 ? f2val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_438 = _T_434 ? sf1val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_439 = _T_436 | _T_437; // @[Mux.scala 27:72] - wire [1:0] f1val_in = _T_439 | _T_438; // @[Mux.scala 27:72] - wire _T_454 = fetch_to_f0 & _T_1; // @[el2_ifu_aln_ctl.scala 302:38] - wire _T_457 = _T_338 & _T_1; // @[el2_ifu_aln_ctl.scala 303:54] - wire _T_460 = _T_353 & _T_1; // @[el2_ifu_aln_ctl.scala 304:69] - wire _T_468 = _T_389 & _T_1; // @[el2_ifu_aln_ctl.scala 305:69] - wire [1:0] _T_470 = _T_454 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_471 = _T_457 ? f2val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_472 = _T_460 ? sf1val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_473 = _T_468 ? sf0val : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_474 = _T_470 | _T_471; // @[Mux.scala 27:72] - wire [1:0] _T_475 = _T_474 | _T_472; // @[Mux.scala 27:72] - wire [1:0] f0val_in = _T_475 | _T_473; // @[Mux.scala 27:72] - wire [1:0] _T_531 = {f1val[0],1'h1}; // @[Cat.scala 29:58] - wire [1:0] _T_532 = f0val[1] ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_533 = _T_516 ? _T_531 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] alignval = _T_532 | _T_533; // @[Mux.scala 27:72] - wire [1:0] _T_543 = {f1icaf,f0icaf}; // @[Cat.scala 29:58] - wire _T_544 = f0val[1] & f0icaf; // @[Mux.scala 27:72] - wire [1:0] _T_545 = _T_516 ? _T_543 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_19 = {{1'd0}, _T_544}; // @[Mux.scala 27:72] - wire [1:0] alignicaf = _GEN_19 | _T_545; // @[Mux.scala 27:72] - wire [1:0] _T_550 = f0dbecc ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_556 = {f1dbecc,f0dbecc}; // @[Cat.scala 29:58] - wire [1:0] _T_557 = f0val[1] ? _T_550 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_558 = _T_516 ? _T_556 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] aligndbecc = _T_557 | _T_558; // @[Mux.scala 27:72] - wire [1:0] _T_569 = {f1brend[0],f0brend[0]}; // @[Cat.scala 29:58] - wire [1:0] _T_570 = f0val[1] ? f0brend : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_571 = _T_516 ? _T_569 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] alignbrend = _T_570 | _T_571; // @[Mux.scala 27:72] - wire [1:0] _T_582 = {f1pc4[0],f0pc4[0]}; // @[Cat.scala 29:58] - wire [1:0] _T_583 = f0val[1] ? f0pc4 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_584 = _T_516 ? _T_582 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] alignpc4 = _T_583 | _T_584; // @[Mux.scala 27:72] - wire [1:0] _T_595 = {f1ret[0],f0ret[0]}; // @[Cat.scala 29:58] - wire [1:0] _T_596 = f0val[1] ? f0ret : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_597 = _T_516 ? _T_595 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] alignret = _T_596 | _T_597; // @[Mux.scala 27:72] - wire [1:0] _T_608 = {f1way[0],f0way[0]}; // @[Cat.scala 29:58] - wire [1:0] _T_609 = f0val[1] ? f0way : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_610 = _T_516 ? _T_608 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] alignway = _T_609 | _T_610; // @[Mux.scala 27:72] - wire [1:0] _T_621 = {f1hist1[0],f0hist1[0]}; // @[Cat.scala 29:58] - wire [1:0] _T_622 = f0val[1] ? f0hist1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_623 = _T_516 ? _T_621 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] alignhist1 = _T_622 | _T_623; // @[Mux.scala 27:72] - wire [1:0] _T_634 = {f1hist0[0],f0hist0[0]}; // @[Cat.scala 29:58] - wire [1:0] _T_635 = f0val[1] ? f0hist0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_636 = _T_516 ? _T_634 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] alignhist0 = _T_635 | _T_636; // @[Mux.scala 27:72] - wire [30:0] _T_648 = f0val[1] ? f0pc_plus1 : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_649 = _T_516 ? f1pc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] secondpc = _T_648 | _T_649; // @[Mux.scala 27:72] - wire _T_658 = first4B & alignval[1]; // @[Mux.scala 27:72] - wire _T_659 = first2B & alignval[0]; // @[Mux.scala 27:72] - wire _T_663 = |alignicaf; // @[el2_ifu_aln_ctl.scala 354:59] - wire _T_666 = first4B & _T_663; // @[Mux.scala 27:72] - wire _T_667 = first2B & alignicaf[0]; // @[Mux.scala 27:72] - wire _T_672 = first4B & _T_514; // @[el2_ifu_aln_ctl.scala 356:39] - wire _T_674 = _T_672 & f0val[0]; // @[el2_ifu_aln_ctl.scala 356:51] - wire _T_676 = ~alignicaf[0]; // @[el2_ifu_aln_ctl.scala 356:64] - wire _T_677 = _T_674 & _T_676; // @[el2_ifu_aln_ctl.scala 356:62] - wire _T_679 = ~aligndbecc[0]; // @[el2_ifu_aln_ctl.scala 356:80] - wire _T_680 = _T_677 & _T_679; // @[el2_ifu_aln_ctl.scala 356:78] + wire consume_fb0 = _T_351 & f0val[0]; // @[el2_ifu_aln_ctl.scala 255:32] + wire consume_fb1 = _T_335 & f1val[0]; // @[el2_ifu_aln_ctl.scala 256:32] + wire _T_311 = ~consume_fb1; // @[el2_ifu_aln_ctl.scala 258:39] + wire _T_312 = consume_fb0 & _T_311; // @[el2_ifu_aln_ctl.scala 258:37] + wire _T_315 = consume_fb0 & consume_fb1; // @[el2_ifu_aln_ctl.scala 259:37] + wire _T_399 = fetch_to_f2 & _T_1; // @[el2_ifu_aln_ctl.scala 290:38] + wire _T_401 = ~fetch_to_f2; // @[el2_ifu_aln_ctl.scala 291:25] + wire _T_403 = _T_401 & _T_372; // @[el2_ifu_aln_ctl.scala 291:38] + wire _T_405 = _T_403 & _T_385; // @[el2_ifu_aln_ctl.scala 291:53] + wire _T_407 = _T_405 & _T_1; // @[el2_ifu_aln_ctl.scala 291:68] + wire [1:0] _T_409 = _T_399 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_410 = _T_407 ? f2val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] f2val_in = _T_409 | _T_410; // @[Mux.scala 27:72] + wire _T_422 = fetch_to_f1 & _T_1; // @[el2_ifu_aln_ctl.scala 295:39] + wire _T_425 = _T_353 & _T_1; // @[el2_ifu_aln_ctl.scala 296:54] + wire _T_431 = _T_373 & _T_387; // @[el2_ifu_aln_ctl.scala 297:54] + wire _T_433 = _T_431 & _T_1; // @[el2_ifu_aln_ctl.scala 297:69] + wire [1:0] _T_435 = _T_422 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_436 = _T_425 ? f2val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_437 = _T_433 ? sf1val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_438 = _T_435 | _T_436; // @[Mux.scala 27:72] + wire [1:0] f1val_in = _T_438 | _T_437; // @[Mux.scala 27:72] + wire _T_453 = fetch_to_f0 & _T_1; // @[el2_ifu_aln_ctl.scala 302:38] + wire _T_456 = _T_337 & _T_1; // @[el2_ifu_aln_ctl.scala 303:54] + wire _T_459 = _T_352 & _T_1; // @[el2_ifu_aln_ctl.scala 304:69] + wire _T_467 = _T_388 & _T_1; // @[el2_ifu_aln_ctl.scala 305:69] + wire [1:0] _T_469 = _T_453 ? io_ifu_fetch_val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_470 = _T_456 ? f2val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_471 = _T_459 ? sf1val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_472 = _T_467 ? sf0val : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_473 = _T_469 | _T_470; // @[Mux.scala 27:72] + wire [1:0] _T_474 = _T_473 | _T_471; // @[Mux.scala 27:72] + wire [1:0] f0val_in = _T_474 | _T_472; // @[Mux.scala 27:72] + wire [1:0] _T_530 = {f1val[0],1'h1}; // @[Cat.scala 29:58] + wire [1:0] _T_531 = f0val[1] ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_532 = _T_515 ? _T_530 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignval = _T_531 | _T_532; // @[Mux.scala 27:72] + wire [1:0] _T_542 = {f1icaf,f0icaf}; // @[Cat.scala 29:58] + wire _T_543 = f0val[1] & f0icaf; // @[Mux.scala 27:72] + wire [1:0] _T_544 = _T_515 ? _T_542 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_19 = {{1'd0}, _T_543}; // @[Mux.scala 27:72] + wire [1:0] alignicaf = _GEN_19 | _T_544; // @[Mux.scala 27:72] + wire [1:0] _T_549 = f0dbecc ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_555 = {f1dbecc,f0dbecc}; // @[Cat.scala 29:58] + wire [1:0] _T_556 = f0val[1] ? _T_549 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_557 = _T_515 ? _T_555 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] aligndbecc = _T_556 | _T_557; // @[Mux.scala 27:72] + wire [1:0] _T_568 = {f1brend[0],f0brend[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_569 = f0val[1] ? f0brend : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_570 = _T_515 ? _T_568 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignbrend = _T_569 | _T_570; // @[Mux.scala 27:72] + wire [1:0] _T_581 = {f1pc4[0],f0pc4[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_582 = f0val[1] ? f0pc4 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_583 = _T_515 ? _T_581 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignpc4 = _T_582 | _T_583; // @[Mux.scala 27:72] + wire [1:0] _T_594 = {f1ret[0],f0ret[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_595 = f0val[1] ? f0ret : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_596 = _T_515 ? _T_594 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignret = _T_595 | _T_596; // @[Mux.scala 27:72] + wire [1:0] _T_607 = {f1way[0],f0way[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_608 = f0val[1] ? f0way : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_609 = _T_515 ? _T_607 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignway = _T_608 | _T_609; // @[Mux.scala 27:72] + wire [1:0] _T_620 = {f1hist1[0],f0hist1[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_621 = f0val[1] ? f0hist1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_622 = _T_515 ? _T_620 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignhist1 = _T_621 | _T_622; // @[Mux.scala 27:72] + wire [1:0] _T_633 = {f1hist0[0],f0hist0[0]}; // @[Cat.scala 29:58] + wire [1:0] _T_634 = f0val[1] ? f0hist0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_635 = _T_515 ? _T_633 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] alignhist0 = _T_634 | _T_635; // @[Mux.scala 27:72] + wire [30:0] _T_647 = f0val[1] ? f0pc_plus1 : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_648 = _T_515 ? f1pc : 31'h0; // @[Mux.scala 27:72] + wire [30:0] secondpc = _T_647 | _T_648; // @[Mux.scala 27:72] + wire _T_657 = first4B & alignval[1]; // @[Mux.scala 27:72] + wire _T_658 = first2B & alignval[0]; // @[Mux.scala 27:72] + wire _T_662 = |alignicaf; // @[el2_ifu_aln_ctl.scala 354:59] + wire _T_665 = first4B & _T_662; // @[Mux.scala 27:72] + wire _T_666 = first2B & alignicaf[0]; // @[Mux.scala 27:72] + wire _T_671 = first4B & _T_513; // @[el2_ifu_aln_ctl.scala 356:39] + wire _T_673 = _T_671 & f0val[0]; // @[el2_ifu_aln_ctl.scala 356:51] + wire _T_675 = ~alignicaf[0]; // @[el2_ifu_aln_ctl.scala 356:64] + wire _T_676 = _T_673 & _T_675; // @[el2_ifu_aln_ctl.scala 356:62] + wire _T_678 = ~aligndbecc[0]; // @[el2_ifu_aln_ctl.scala 356:80] + wire _T_679 = _T_676 & _T_678; // @[el2_ifu_aln_ctl.scala 356:78] wire icaf_eff = alignicaf[1] | aligndbecc[1]; // @[el2_ifu_aln_ctl.scala 358:31] - wire _T_685 = first4B & icaf_eff; // @[el2_ifu_aln_ctl.scala 360:32] - wire _T_688 = |aligndbecc; // @[el2_ifu_aln_ctl.scala 362:59] - wire _T_691 = first4B & _T_688; // @[Mux.scala 27:72] - wire _T_692 = first2B & aligndbecc[0]; // @[Mux.scala 27:72] - wire [31:0] _T_697 = first4B ? aligndata : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_698 = first2B ? decompressed_io_dout : 32'h0; // @[Mux.scala 27:72] - wire [7:0] _T_703 = f0pc[8:1] ^ f0pc[16:9]; // @[el2_lib.scala 191:46] - wire [7:0] firstpc_hash = _T_703 ^ f0pc[24:17]; // @[el2_lib.scala 191:84] - wire [7:0] _T_707 = secondpc[8:1] ^ secondpc[16:9]; // @[el2_lib.scala 191:46] - wire [7:0] secondpc_hash = _T_707 ^ secondpc[24:17]; // @[el2_lib.scala 191:84] - wire [4:0] _T_713 = f0pc[13:9] ^ f0pc[18:14]; // @[el2_lib.scala 182:111] - wire [4:0] firstbrtag_hash = _T_713 ^ f0pc[23:19]; // @[el2_lib.scala 182:111] - wire [4:0] _T_718 = secondpc[13:9] ^ secondpc[18:14]; // @[el2_lib.scala 182:111] - wire [4:0] secondbrtag_hash = _T_718 ^ secondpc[23:19]; // @[el2_lib.scala 182:111] - wire _T_720 = first2B & alignbrend[0]; // @[el2_ifu_aln_ctl.scala 378:30] - wire _T_722 = first4B & alignbrend[1]; // @[el2_ifu_aln_ctl.scala 378:58] - wire _T_723 = _T_720 | _T_722; // @[el2_ifu_aln_ctl.scala 378:47] - wire _T_727 = _T_658 & alignbrend[0]; // @[el2_ifu_aln_ctl.scala 378:100] - wire _T_730 = first2B & alignret[0]; // @[el2_ifu_aln_ctl.scala 380:29] - wire _T_732 = first4B & alignret[1]; // @[el2_ifu_aln_ctl.scala 380:55] - wire _T_735 = first2B & alignpc4[0]; // @[el2_ifu_aln_ctl.scala 382:29] - wire _T_737 = first4B & alignpc4[1]; // @[el2_ifu_aln_ctl.scala 382:55] - wire i0_brp_pc4 = _T_735 | _T_737; // @[el2_ifu_aln_ctl.scala 382:44] - wire _T_739 = first2B | alignbrend[0]; // @[el2_ifu_aln_ctl.scala 384:33] - wire _T_745 = first2B & alignhist1[0]; // @[el2_ifu_aln_ctl.scala 386:34] - wire _T_747 = first4B & alignhist1[1]; // @[el2_ifu_aln_ctl.scala 386:62] - wire _T_748 = _T_745 | _T_747; // @[el2_ifu_aln_ctl.scala 386:51] - wire _T_750 = first2B & alignhist0[0]; // @[el2_ifu_aln_ctl.scala 387:14] - wire _T_752 = first4B & alignhist0[1]; // @[el2_ifu_aln_ctl.scala 387:42] - wire _T_753 = _T_750 | _T_752; // @[el2_ifu_aln_ctl.scala 387:31] - wire i0_ends_f1 = first4B & _T_516; // @[el2_ifu_aln_ctl.scala 389:28] - wire [12:0] _T_756 = i0_ends_f1 ? {{1'd0}, f1poffset} : f0poffset; // @[el2_ifu_aln_ctl.scala 390:27] - wire [32:0] _T_758 = i0_ends_f1 ? {{2'd0}, f1prett} : f0prett; // @[el2_ifu_aln_ctl.scala 392:25] - wire _T_769 = io_i0_brp_valid & i0_brp_pc4; // @[el2_ifu_aln_ctl.scala 398:42] - wire _T_770 = _T_769 & first2B; // @[el2_ifu_aln_ctl.scala 398:56] - wire _T_771 = ~i0_brp_pc4; // @[el2_ifu_aln_ctl.scala 398:89] - wire _T_772 = io_i0_brp_valid & _T_771; // @[el2_ifu_aln_ctl.scala 398:87] - wire _T_773 = _T_772 & first4B; // @[el2_ifu_aln_ctl.scala 398:101] + wire _T_684 = first4B & icaf_eff; // @[el2_ifu_aln_ctl.scala 360:32] + wire _T_687 = |aligndbecc; // @[el2_ifu_aln_ctl.scala 362:59] + wire _T_690 = first4B & _T_687; // @[Mux.scala 27:72] + wire _T_691 = first2B & aligndbecc[0]; // @[Mux.scala 27:72] + wire [31:0] _T_696 = first4B ? aligndata : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_697 = first2B ? decompressed_io_dout : 32'h0; // @[Mux.scala 27:72] + wire [7:0] _T_702 = f0pc[8:1] ^ f0pc[16:9]; // @[el2_lib.scala 191:46] + wire [7:0] firstpc_hash = _T_702 ^ f0pc[24:17]; // @[el2_lib.scala 191:84] + wire [7:0] _T_706 = secondpc[8:1] ^ secondpc[16:9]; // @[el2_lib.scala 191:46] + wire [7:0] secondpc_hash = _T_706 ^ secondpc[24:17]; // @[el2_lib.scala 191:84] + wire [4:0] _T_712 = f0pc[13:9] ^ f0pc[18:14]; // @[el2_lib.scala 182:111] + wire [4:0] firstbrtag_hash = _T_712 ^ f0pc[23:19]; // @[el2_lib.scala 182:111] + wire [4:0] _T_717 = secondpc[13:9] ^ secondpc[18:14]; // @[el2_lib.scala 182:111] + wire [4:0] secondbrtag_hash = _T_717 ^ secondpc[23:19]; // @[el2_lib.scala 182:111] + wire _T_719 = first2B & alignbrend[0]; // @[el2_ifu_aln_ctl.scala 378:30] + wire _T_721 = first4B & alignbrend[1]; // @[el2_ifu_aln_ctl.scala 378:58] + wire _T_722 = _T_719 | _T_721; // @[el2_ifu_aln_ctl.scala 378:47] + wire _T_726 = _T_657 & alignbrend[0]; // @[el2_ifu_aln_ctl.scala 378:100] + wire _T_729 = first2B & alignret[0]; // @[el2_ifu_aln_ctl.scala 380:29] + wire _T_731 = first4B & alignret[1]; // @[el2_ifu_aln_ctl.scala 380:55] + wire _T_734 = first2B & alignpc4[0]; // @[el2_ifu_aln_ctl.scala 382:29] + wire _T_736 = first4B & alignpc4[1]; // @[el2_ifu_aln_ctl.scala 382:55] + wire i0_brp_pc4 = _T_734 | _T_736; // @[el2_ifu_aln_ctl.scala 382:44] + wire _T_738 = first2B | alignbrend[0]; // @[el2_ifu_aln_ctl.scala 384:33] + wire _T_744 = first2B & alignhist1[0]; // @[el2_ifu_aln_ctl.scala 386:34] + wire _T_746 = first4B & alignhist1[1]; // @[el2_ifu_aln_ctl.scala 386:62] + wire _T_747 = _T_744 | _T_746; // @[el2_ifu_aln_ctl.scala 386:51] + wire _T_749 = first2B & alignhist0[0]; // @[el2_ifu_aln_ctl.scala 387:14] + wire _T_751 = first4B & alignhist0[1]; // @[el2_ifu_aln_ctl.scala 387:42] + wire _T_752 = _T_749 | _T_751; // @[el2_ifu_aln_ctl.scala 387:31] + wire i0_ends_f1 = first4B & _T_515; // @[el2_ifu_aln_ctl.scala 389:28] + wire [12:0] _T_755 = i0_ends_f1 ? {{1'd0}, f1poffset} : f0poffset; // @[el2_ifu_aln_ctl.scala 390:27] + wire [32:0] _T_757 = i0_ends_f1 ? {{2'd0}, f1prett} : f0prett; // @[el2_ifu_aln_ctl.scala 392:25] + wire _T_768 = io_i0_brp_valid & i0_brp_pc4; // @[el2_ifu_aln_ctl.scala 398:42] + wire _T_769 = _T_768 & first2B; // @[el2_ifu_aln_ctl.scala 398:56] + wire _T_770 = ~i0_brp_pc4; // @[el2_ifu_aln_ctl.scala 398:89] + wire _T_771 = io_i0_brp_valid & _T_770; // @[el2_ifu_aln_ctl.scala 398:87] + wire _T_772 = _T_771 & first4B; // @[el2_ifu_aln_ctl.scala 398:101] el2_ifu_compress_ctl decompressed ( // @[el2_ifu_aln_ctl.scala 366:28] .io_din(decompressed_io_din), .io_dout(decompressed_io_dout) ); - assign io_ifu_i0_valid = _T_658 | _T_659; // @[el2_ifu_aln_ctl.scala 47:19 el2_ifu_aln_ctl.scala 352:19] - assign io_ifu_i0_icaf = _T_666 | _T_667; // @[el2_ifu_aln_ctl.scala 48:18 el2_ifu_aln_ctl.scala 354:18] - assign io_ifu_i0_icaf_type = _T_680 ? f1ictype : f0ictype; // @[el2_ifu_aln_ctl.scala 49:23 el2_ifu_aln_ctl.scala 356:23] - assign io_ifu_i0_icaf_f1 = _T_685 & _T_516; // @[el2_ifu_aln_ctl.scala 50:21 el2_ifu_aln_ctl.scala 360:21] - assign io_ifu_i0_dbecc = _T_691 | _T_692; // @[el2_ifu_aln_ctl.scala 51:19 el2_ifu_aln_ctl.scala 362:19] - assign io_ifu_i0_instr = _T_697 | _T_698; // @[el2_ifu_aln_ctl.scala 52:19 el2_ifu_aln_ctl.scala 368:19] + assign io_ifu_i0_valid = _T_657 | _T_658; // @[el2_ifu_aln_ctl.scala 47:19 el2_ifu_aln_ctl.scala 352:19] + assign io_ifu_i0_icaf = _T_665 | _T_666; // @[el2_ifu_aln_ctl.scala 48:18 el2_ifu_aln_ctl.scala 354:18] + assign io_ifu_i0_icaf_type = _T_679 ? f1ictype : f0ictype; // @[el2_ifu_aln_ctl.scala 49:23 el2_ifu_aln_ctl.scala 356:23] + assign io_ifu_i0_icaf_f1 = _T_684 & _T_515; // @[el2_ifu_aln_ctl.scala 50:21 el2_ifu_aln_ctl.scala 360:21] + assign io_ifu_i0_dbecc = _T_690 | _T_691; // @[el2_ifu_aln_ctl.scala 51:19 el2_ifu_aln_ctl.scala 362:19] + assign io_ifu_i0_instr = _T_696 | _T_697; // @[el2_ifu_aln_ctl.scala 52:19 el2_ifu_aln_ctl.scala 368:19] assign io_ifu_i0_pc = f0pc; // @[el2_ifu_aln_ctl.scala 53:16 el2_ifu_aln_ctl.scala 340:16] assign io_ifu_i0_pc4 = aligndata[1:0] == 2'h3; // @[el2_ifu_aln_ctl.scala 54:17 el2_ifu_aln_ctl.scala 344:17] - assign io_ifu_fb_consume1 = _T_313 & _T_1; // @[el2_ifu_aln_ctl.scala 55:22 el2_ifu_aln_ctl.scala 258:22] - assign io_ifu_fb_consume2 = _T_316 & _T_1; // @[el2_ifu_aln_ctl.scala 56:22 el2_ifu_aln_ctl.scala 259:22] - assign io_ifu_i0_bp_index = _T_739 ? firstpc_hash : secondpc_hash; // @[el2_ifu_aln_ctl.scala 57:22 el2_ifu_aln_ctl.scala 400:22] + assign io_ifu_fb_consume1 = _T_312 & _T_1; // @[el2_ifu_aln_ctl.scala 55:22 el2_ifu_aln_ctl.scala 258:22] + assign io_ifu_fb_consume2 = _T_315 & _T_1; // @[el2_ifu_aln_ctl.scala 56:22 el2_ifu_aln_ctl.scala 259:22] + assign io_ifu_i0_bp_index = _T_738 ? firstpc_hash : secondpc_hash; // @[el2_ifu_aln_ctl.scala 57:22 el2_ifu_aln_ctl.scala 400:22] assign io_ifu_i0_bp_fghr = i0_ends_f1 ? f1fghr : {{3'd0}, f0fghr}; // @[el2_ifu_aln_ctl.scala 58:21 el2_ifu_aln_ctl.scala 402:21] - assign io_ifu_i0_bp_btag = _T_739 ? firstbrtag_hash : secondbrtag_hash; // @[el2_ifu_aln_ctl.scala 59:21 el2_ifu_aln_ctl.scala 404:21] - assign io_ifu_pmu_instr_aligned = io_dec_i0_decode_d & _T_786; // @[el2_ifu_aln_ctl.scala 60:28 el2_ifu_aln_ctl.scala 410:28] + assign io_ifu_i0_bp_btag = _T_738 ? firstbrtag_hash : secondbrtag_hash; // @[el2_ifu_aln_ctl.scala 59:21 el2_ifu_aln_ctl.scala 404:21] + assign io_ifu_pmu_instr_aligned = io_dec_i0_decode_d & _T_785; // @[el2_ifu_aln_ctl.scala 60:28 el2_ifu_aln_ctl.scala 410:28] assign io_ifu_i0_cinst = aligndata[15:0]; // @[el2_ifu_aln_ctl.scala 61:19 el2_ifu_aln_ctl.scala 346:19] - assign io_i0_brp_valid = _T_723 | _T_727; // @[el2_ifu_aln_ctl.scala 378:19] - assign io_i0_brp_toffset = _T_756[11:0]; // @[el2_ifu_aln_ctl.scala 390:21] - assign io_i0_brp_hist = {_T_748,_T_753}; // @[el2_ifu_aln_ctl.scala 386:18] - assign io_i0_brp_br_error = _T_770 | _T_773; // @[el2_ifu_aln_ctl.scala 398:22] - assign io_i0_brp_br_start_error = _T_658 & alignbrend[0]; // @[el2_ifu_aln_ctl.scala 394:29] - assign io_i0_brp_bank = _T_739 ? f0pc[1] : secondpc[1]; // @[el2_ifu_aln_ctl.scala 396:29] - assign io_i0_brp_prett = _T_758[30:0]; // @[el2_ifu_aln_ctl.scala 392:19] - assign io_i0_brp_way = _T_739 ? alignway[0] : alignway[1]; // @[el2_ifu_aln_ctl.scala 384:17] - assign io_i0_brp_ret = _T_730 | _T_732; // @[el2_ifu_aln_ctl.scala 380:17] + assign io_i0_brp_valid = _T_722 | _T_726; // @[el2_ifu_aln_ctl.scala 378:19] + assign io_i0_brp_toffset = _T_755[11:0]; // @[el2_ifu_aln_ctl.scala 390:21] + assign io_i0_brp_hist = {_T_747,_T_752}; // @[el2_ifu_aln_ctl.scala 386:18] + assign io_i0_brp_br_error = _T_769 | _T_772; // @[el2_ifu_aln_ctl.scala 398:22] + assign io_i0_brp_br_start_error = _T_657 & alignbrend[0]; // @[el2_ifu_aln_ctl.scala 394:29] + assign io_i0_brp_bank = _T_738 ? f0pc[1] : secondpc[1]; // @[el2_ifu_aln_ctl.scala 396:29] + assign io_i0_brp_prett = _T_757[30:0]; // @[el2_ifu_aln_ctl.scala 392:19] + assign io_i0_brp_way = _T_738 ? alignway[0] : alignway[1]; // @[el2_ifu_aln_ctl.scala 384:17] + assign io_i0_brp_ret = _T_729 | _T_731; // @[el2_ifu_aln_ctl.scala 380:17] assign decompressed_io_din = aligndata[15:0]; // @[el2_ifu_aln_ctl.scala 406:23] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE diff --git a/src/main/scala/ifu/el2_ifu_aln_ctl.scala b/src/main/scala/ifu/el2_ifu_aln_ctl.scala index cedf5903..9db2c6ee 100644 --- a/src/main/scala/ifu/el2_ifu_aln_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_aln_ctl.scala @@ -13,7 +13,7 @@ class el2_ifu_aln_ctl extends Module with el2_lib { val ic_access_fault_f = Input(Bool()) val ic_access_fault_type_f = Input(UInt(2.W)) val ifu_bp_fghr_f = Input(UInt(BHT_GHR_SIZE.W)) - val ifu_bp_btb_target_f = Input(UInt(32.W)) + val ifu_bp_btb_target_f = Input(UInt(31.W)) val ifu_bp_poffset_f = Input(UInt(12.W)) val ifu_bp_hist0_f = Input(UInt(2.W)) val ifu_bp_hist1_f = Input(UInt(2.W)) @@ -196,7 +196,7 @@ class el2_ifu_aln_ctl extends Module with el2_lib { val q1sel = Cat(q1ptr, !q1ptr) misc_data_in := Cat(io.iccm_rd_ecc_double_err, io.ic_access_fault_f, io.ic_access_fault_type_f, - io.ifu_bp_btb_target_f(31,1), io.ifu_bp_poffset_f, io.ifu_bp_fghr_f) + io.ifu_bp_btb_target_f, io.ifu_bp_poffset_f, io.ifu_bp_fghr_f) val misceff = Mux1H(Seq(qren(0).asBool() -> Cat(misc1, misc0), qren(1).asBool()->Cat(misc2, misc1), diff --git a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl$$anon$1.class b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl$$anon$1.class index 37cf7c9f5ca486358c37a860e207810204c16a2c..18f942c6f6add2fe08e4f4f45d30dbdfa74bce9f 100644 GIT binary patch delta 16 XcmZ2xy3BNgx#;9mViKGEMZ;MEHxUJ~ delta 20 ccmZ2xy3BNgx#;9laiPf*MAh($ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_aln_ctl.class index 85ee99879d17620445e8a40561060a1cb01c0d5d..39849059b5b49f5a14409d4f2a86e9c8533173cf 100644 GIT binary patch literal 192117 zcmce934B~t_5XWsGH;gLv`M;7y1P17bRO}eEE=_Hw?X|pv;7bq1G z5D^g(5kVAjLD^(2n+Sq}8z`HIh=7P2pdzja@;~REH*cHM>HB5u|MO{j&pq#c&;7o8 z&bx2B@5~SXd;hl;MJWrvp(^9LJ4Y5LdP-WMY>oHywYCrU1Qbq6B2E4tt#Z7tw!^*{6T*}i{+_Rr{vAd zYw@dXYS@?8?f3b8n^iSCPpg`fH#0I(^TP(8?EEq478OMl3&*EAVF(!U{_ecjSm+Vx>e-(uH~vGj-R`g}_t z3ES*XwDgsBeSxKKvFoQ>`a^d83`-x$w%MO$=_~E}d6vG#u3u#7583r4mOdhE_Lo@t zO1plUrEjt8PqXxg?D{H8AIY)VUv23t?fSKrzQwL@wDgDU`X);s8Dq1*+0s|q_1i3c zi(P-Fr9WiXw^{ngSeyM$OJ8Z%@3HhPc730vKV;VrTKY(?&HjE%UuoB$W$9b&`XiS9 zkWKH`%;O>&Q7!v^-O^Xu^VNA2^!-=KrXD{`Z^ne{mG%|2kC*_~HCrU4i>= zo0t98{2sN>?|y53kJ{&Vzcs%{?en`|GvhuQvCYeV-O}6VcfU2iNA2^w-K8yWg7MqxSjTUuE&M&+q=#mfk+U`_1_s;v>fy zAKkWj-G7FK5838p|3*tc*RJ1c>FezJ9hSb^uHR+pL$>+Y-(l(J+V#6FeVtw3W9hr? z`T=|I*}j~*u7z;cpFKg1 z7H3sWjppTbS4DHihC=5=i}j%2U!7NZN|xp;oEwd9@K*_6BwpCRW9i5_GxMT(tJPrb zjQWbj1r=rE09PFs+Sr(==-pntd)++T?)Uq<^5(8mvl?6T7pz%Sp!@yX^A5rNjBi=! zoF?dh`h-wkcVSHHZ4TGRV$nR`icsZ-oT&x7T4s%(6w^-HvvXGA?x3#u*2E&wys$dP zA8N>p#mWcfhw={9fSsn$&i0sAH7}q-T@9R?m{>NcaYt$2x@CpC=ft!Ixl>1wu+YL(xT4rpBZWvQF*Pk^r6pK&QCith;E}pWYbLE(hibcS4FcyvGiK=BV z9&^{kg)cj&{Xk#KlF)=uqA)L8-q1y6$Fij#dUu}MUwdT!?DadB4y|k~oZK*NIkX$G z+Noks?U(c%U*zj@5ziM=f) zon4KGI~TSr@9jQP8mRJBRr^oL&&ivi`ht3KeqT#bTkV(y75zJlx~eyK)b>waepY-U zvNCjF}GObILele5Or^t}5@^dt_Xoe{$LG4Qmf~=J<5q#Bj|i zk!ZE*ADdl#%9OJGE5lg_>m$qCS2l^+{o6`MRu-&^Rz+unp8|DoW4p>1!+0xdk00?J zY%C}nUe`o+rdxL6GYmUod--s8)mgHi8OPebnzqlfw#Q8T&XRKKf4}=CbaU1@&EvA{FTOS(e{}iFM$oF%3mn)-K#ul)(ON_Vc8D7`NIPhgUC*EN>(J z#TNf^$-iq|)6_uU-@Cvs2O4&iLR>^bF{>XLci2y@Z0FAoWarU- zzW z9a~`Phw3bSydekrqxM~i7E#+r?c)n=`gWT>$EJ^4`n>fGOS>A#&S2uyvaVjTlegHW zZ@1~k+VpW#Ust@oAY#lvYIava)^I(HPji0OI5xnX)BWpM-T^aU{5CN*T=aVz|B_67@xj1G91d&@1CP4dorx z1zF=_T3Jc+7}2#bmetih6XuVCJT0f8EKm!4eA6Pk<#=l0czjw}3C_FmD%ejLSMa;O zeb&^aISpz+mN|J^c6oh8e@jHmp%UY8Qs2&!QiwxY#{8AhhH0g3-MSdB`bviC^uoyt z0XH@@ehtp2afk!jo|QE-merof7qyEc1zMi5J)wr9(PXU&+gy4Y^1uR zW0Jb6bSQD!_=7#umbbM)`~tpo<(cCTE+|;4jf;#Q?wP)9*Sck(H~b^U%+SU)teVtV zuzXi{$Tu#QRaUaHk?Q?x4{vVRq2@NMK5Gg2XF0@qDa83c826YSH>`?szbS~+oe zQar1y*ntDSp_&z20k5~8xd4y5aLwAnNiaX*aT%?OEK5{3i31C!ERFh#<+$#`am0A` zl{@PY5AlTs%R4q4IptuVjECYaIcJu1uWUMeK*qyDTYXzZi$oUG_D9Nhb)N>;9Wbtw zZ1si)HK$=>;nJM#MI)^cZ-F{hSo=4waIPAF_@6@Ywl?1n{_AR9J9W)i7^l2Q{p|i} z%gg;5JT|0wo>jX+4R_6`@5(u|s1)?YRd8IHbv9DiC_DaI$nGnU|ApN!K*D#W!BuMJftaJ;DjS;9DH*Nh*A>l`hIN{H9c|iwl;I_@aQDwyd+e3C0_buhU>2 z-B~)~n~VDo^K0XR+Oc&ozN9>)U>1yi@? zOo#a@k|q1`O)C!6ZY%3fXyH8LIKlDJCK&Svj041lcBrmK)7s(z|3GNw%)BPQKh$2( zU8QDi+FRAJ1>!v0INs#A#f^C?r=hf~K?^63ze%$TAWjzM%^th0c%*vuye^zKBguIz zBCjvCDb6|^ugUht`Lf(u2Yin%aXQJPr zgz*Hw6*lZF?XQFJUzk%e1ovxnJna;ditu=XGFRfXr8$j=gK~_-Wi8siYy{?Uz?JXm zYLfGKS3CB9W(52#J7QnnzBF|&CdI_Az4m9pqwtqX^ z4>h#Fe$17}*{*oWf~AWaU>>T{miA7r?A_Za;Jl!Bwa4IoY+A+suGKKFY>VuxJ>pvf z*Zn0hPQ~l7t`}1Y$8&Ti_*IAF4E!1MN7USK0UnoloZxXu#|s>n(%*1gVj1%r*FSiE zYEiT4x(Lr}a9mWw^}zJuNqC*@n;WWKw7sk!&#!PCCELm4AWrRS<@Gki)eN{kSifxi z?8OC36TXFntHF5#;wsPIF{!U$>Au>ga2~bGlH+aY;rI}Se!TRbp+8vNnbfbCyzrzv zxF42wq~B-9^tP5+E4s$Wc+kehh);n0*Fx8K@ccl=jlrj3?cptrc%C3TU5V3ry6`-a z*8s=!)TN2|7?Ibuv$UfX;sxiwu{7_)ctbo)SqAruQz4G%ys$pUJTKt?Z0dX3yDyQ>FotjfOaq59ZQ!9toHNx?~E!&qpUxnug1#a=JS*#y*n!QB_>W?+P}4Aq($$JE!%jDi|McvhKy%4P-`wbDe4w-&fU(~X!JLcbz2ls*PiK@eT ze`Ja_X(n7}MwabciT6+uKxd9wk>I}FKq4Ow=W0i6J z0Z*WnlH^PDnu7y9-R<4O)&0E#-93r*1H;|@eM5!LYIRS1Xegj$!wd8DxPX!aZ|)f| zyn;_62jcB}<6Vius{a0-!hn*iDq~%n0!lu-d6(N6+@`v|VZcp*CQ?+DlU?}2&cXg( zXtlAwz8jVZ#9`gQWL3#^Yi)az>*z@g71sCn?;RNkC?^Af^i2cG3{}yo zJ&c5HRYT$6{`Q`|yE_J9Bxb5g$gINfJDYWMb|#?F990Pn?w1u+nM|u5&KOK|BsvRY zgMITVjzZ?knl7yDTanRi&~*|cL$8*P-k&c z4tAC##Xxtb(I9(hcX#J-D{Sg4hCQ@uMOLl2wG(Q3XHj>1yc30DLx7IJ3}~PiDfoN4 zhuWd7EWw^EOJJwl20P-zanKkNv@s;us_lcSwhy*y`>=6m@j$!Wzd&b^A!XYJ8wM8W z9vEy*z@P_-aak3Hc5y4!jBR6J92|GTZE}1o{qib`K5>BMXBNDznZ0vF4ZVhEn<(O6h1Ujj=NZ(=YuCdg)v&(X*>I>Af;JF85@VD_u%<}XWf{P|OrVt@O2w8~Bt;nVjI zCoBwN^!D(ffdn~bFflv~BM2mb)KCIs2#3zD-OxFB%PWabhASiq z-@!r{@nlq!hnK&z2s)Ou+F+32U;xd5_#s&i zw3o>(u=Z*gW3jUcCFmZ!VW5glRI!1AftRRa6D1`KjdnQ8jPxd2JKMmTtp?k|GKdFy zMuubsW80|s;jkemCifrW3fwMh;8IzJ?Au4JBa23+nA> zm*f^)`v#5`5(C4rJ3h2qRdSNO8TGMpbKBr>yvxw!QVY8(++Eb#HaO5K_ZBPQ=pi|k z1)(Ajey}WO?S`6$*7~|en8zI<^7Sb&ro#r$=d^Q68E&YQq z7-P+|TCZH&rz(@`ohLWr90;%+W!37}Lw|Bx zQ&X&^bydw78`r>&qo#HZG>$c|X$23f+rSM;C7srSSWTYqHdfhi8due9l_ZR2Qc*1TLBfnWc4XB{T-!@po!d)Y+e_v4 zAa-keQk&}uXB$l>fGarI`?>@&OM%}bB`zL+~bKl_jsZX z_>EarUER=H6>D7AT2;5P8RlS8NO>&DEgNfEx74k|BaAfC2Zn|W)Kpg|n`BE6xduW^ z)>hjQWP^?o>XU3VZXzN;f^0O^)Yh$UOj2r&t!gr5dA&-8BoBjp&Z>r3Yt^RJ$q6A$ z_2$+(IABGxf)S5>$hOU`a7e>cwK~?k5f0pqHBC*M&e+)6RI{qCsiqo^h@86WSoNBk z))E{f;FfFDZDTgZuEL4Rp}?a!&)&iqIlH0e)-5sMG%ne!CT5%V%!a6?5lh;W<7&)) z;|;LX(eT>4w!0u~GzGU=JD7A?zOm8iG($;taUX@Dsc&p;hG{lQQhE`H!bpuZTA&Jc z5B+Lr);HF}-Xqq!*O#KxW{hYeNMjwc<5S{#U^ zQ-I1DvFdeF;f#IQrKAG)5V}uREvq3?%Hsoe2O1>DjcT-qa_3P-;iMocFwMocHGGN$wD8X=}#bGciGbB|kxbB|kB%II+J zaks;{$F0M;$F0M;+^)0c@>DI(<*8bn%Tu+$lhFcGMvHTKss)_OQ?)pk+qF1<;#}_5 zxyKXRxyKWA?(syOdpuF+9#7P{#}jqPuYP@ORqMv}t(%%_TFyRF&~gg*nrsx*0Bt=wu;l8*Q_1UgP>!ig_$?5(O4# z)K#~ZRJT;uLnQ|*RWV?Tmw0e7DeKaj+yv8c>z4IRt6=7kxa8p>+tCyu+ihIGF;1L`wd3d5HyfAWxoRss8lz`9gCl*z-Mxv$ z&BKEu?eJ+!yr&u-!ZgFvv4D!VNp1c8!$ZS^@c~u2%-(mJ=U4Vid@$SH*Jal@fE(dv zIQ>RDeFK^#xLm01Y6pH{kQl40a6|5o4-E9cO=%(Q^zrr%z`ND%d___B;1huEz7C=G zsArT3$&qa$}$_O{T=K6Nmp4yZ$L7Q^qA z;8sdLE()q6a8?+I55{{F@FWrk0-Aukw%goE4i@SG^&k?1T^noNPtl`S^=xobS7Mkv zt}3V7on(xDs!98(x?)DC=cq@*>S6UmaMnz~t@vOf*4_>`q1|mg2~}BQx9@_cwfbT8 zyfBQzN8o8-yrV-^YVC$-M{Rrlf3bXldLfR^M`46vEO+;JRL9$QC*WY2;dV_*jKdKU zAPh7wIClRy;kia0ppz|?lW#!1lskT5Zq7Dh0PpvM>ZhEPVY|Twq}0P7rtw@GycM4c z1=TB^HlUGV1Ef?NFzt`A+vqF~s@FIzKoi3PNU0X!B#{hc`G_j0UgyL^0|O6IDjrTK zNql!7%q&6mt4=&LFz_Iy;^E{IGWKAgJ*eL31jAMX3{on1Da`IBxGboC(}{-71{$PP zbR`^ri0*7_H6BvI=-uTs0c{KuAdSPYpd&Lmg~NH=Wuqjh-UsI=d+0)=pb?fJGFBj3 zr4@W+GXPIpI}!(j>bG51pi$Bah>R78vScuT6?}>qR3CL2fi_7aATmZE%xp&5;1N?$ z{l3cxv`HEPkud_1HUUR=pciIHj0*U!6Q1`cI)dsGE?dwvX$wTgmMuWr(N<7>+GPS- zBu#+Gn1H~ObmBvY`r2E~=jDT7>v@+gXqvPIB4Z2UaBSir1Pwg3+}$dluLjj$x~xE> zq!kbuD;T)(_TldRiB@>B6;xk!8G!9c10XU6AQ~MZ2K6L>4b&0{JUamE1^~s>9!em_ z8~`i)a1#T7cya)&P%B|WtpsAn0kFafH!(dxfH(kF?3A#vQv%`O09cWNo0uNJ=MI1s z4kc_jlz@BX^hYm1a0dIY%Y+pR*qG@P94yZRWJ11g=?rQGQddM^JqchVqSO@;SWg1jh$wYM1lE%P zHX=%05rOq2fQ^V!aI!swu$~04{x1c0IslfZaTDVQm#0fDPotO$D06ul>joth8Gy^v zWtOK=WB@Ktmsy@hkpZ|oU1oV2MF!yVbeZL86d8ca(`A;YQDguvPnTJqMv(!yJY8ma z8bt=+@^qQyX%rcN%hP3+r%_A=l!H4bcDJ|pwhnf*!b{86j{Xt2%*Rt+P=iO6_Bg|~ zMiijP7z0=5b+@<1KL1`~T6L5FzFkuBPHfDH0WF}3(J5@9>>@s16aXA~tOCTPTyCUzd(a0gE5o}|G zFN&!=mOwBjdBAI4G;zdb!irpM%$R@>wD0>8D>_kR01#;ofE9#G*dSa2G35YQ;f9-- z9x&W&_ZCa6*g}y3K(IIfRvay1<7f#4h67;53~pk2fG}_XtO!}cM#vIyx&vUv18z#~ zp#mIi2VmXsJ&Ftf-01*Vo~~d$U4fpK_kwbf3j|$I`;yCs<$P?-bn0?`h2?w{8Gy_A z6_)c+WB@MbS6I$RkpZ}zUtu{PMF!w;PJEoReo<@-YxIDeo@-&JJz~$+smZwo<04`52wLFa?18{kI zspV-D8Gy^vOD#{M$N*fPUTS$7MF!yV^is>yD5e4`U7p6e;b{~ZfXmaBmZwo<04`5g zTAoIc0k}L}X?Yq&2H^5^rR8Z98Gy^vm6oSbWB}mKEV!;44r-7*%O1Ctmd{aSpx{^? zpD_&%1hv1oz?T0jS^rnM{EzjdcUb>dy8MszBml(m%)>PB@)+BJSX=~(Q1Zzc$Q%Y7 z?Zkk>#DL6Uz}HR;C`=5<90uI&#DK!YfXrdQ<4z1HObo~z2AuB1fWpLp%wfRWP7Eka z49FY?ob1Ga!o+~gVZhH$3@A(t$Q%Y-?Zkk>#PD%Y?2ZFeBrzy6{SLEltY zhq%QA^8rx|J|7F~^YC>JF4OBsv~~o2QMVymlpum*;f|7GTa=)jHJBKH)u$)TsdFxg zp6jf_`m;9pgEw}51i*W#1#|4{+?J<@3*Q3Y!fZwNErK40;g$j(fY!t1jXTXAzAwSW zf7LO#smnEAv&jXfxcYCKOZC59|7Y}!FWsCwmwer4@DRRo-xAy#h{{~d??*R&$+eHu z=QzhMWhgaVoSEkaLbvms-KI4od@FpXB8k&rEZw$RJNtX!9oR%xUnqPrg2KvBlLovr z8#ESg<|kpM4j?rIS#8^8yt||Yp%4toMfq|;y|b1w06L;@9llSlMm9Ml4Z=`VrW=a1 z=7q1`*MI{f-*N9x>`(Ny!h6u};Y0A&u6-{=n7M>JM0&ZLeB5A4Q`qZfGPM!*+OfS0 zmvZO1RRGvR!?YC+@xlFVgD`@LK{&}JS73)tCA8f^#&^Pf;o$y3_-Z3zFg$tA+LYso z-WZ!ptxr2nlNII|$;IK$nq2LQ>wRchPEd++MNSsF98Sb`KHv>l7GPWcy8JlogfHRi zL=SYqgf+OoH$Jo%K0xV>!*YF9S(Y|boIFzkCkt)i<%V2itz!)x0n2smod98Y`)em` znq{KX+$IOg)ur(D`TB8J2Beel5murP=VLiWRu#NCM4lfu8`8wBDr>y$F+>$kA}fb=-G6gafd89L`ZN+d$CBM||>p1wPm`3Lh|Cx&}e`4*SkQ zkHEtd@Vn)LR(wE#N2%!}(12e)Ony1fY;K%TvAM@!l&oBlVfJO38)evW#)a=f-$!vL zFA~cA$^#)q^IZ(H<(#>7LU~Xr#rh}U;x)NST~#7*VzdRk^^w+cp?q6;2mzmh^F$I* z*mKq)T;e}6oWKt`!0=|_`?T+hFtqy&IG2{R!&1@|v$UFBC=V;&L8G6;%X<2_%WhKm zt|4QeSCv`7s}Rp9Shm72!``ZU6GQn^k4IQ};hS-=p;? zOD*{s0I|xNQwM{iJVK-XWuZJu(pQD@U6O8)1Gr5n-%}pLF}YDFkJFZ$h4OupZWYQ8 zNV-iZKP2f6q5O!XyM*#%!X6dM6QsLWC{L2^exdw?bl(!nPf7Z=P@W>`VWB)t(j!87 zhNSNb9<08ouuCj<+mjL zQ7FG7=}n>ho}@nsAymGTS{4qQ2t7iCX{zb$`Z=oNYaJ!canla`3Fg1q5M;F$r3{O7wN_b<=-Ub3gumr z@`dsrlEw?=J(4C0<$aPS3+2Bg6$s@6lBNn(AB-9W|MM4deR3g-DlFEcCNLnJ)9Fmp_bqq<%ggTa3tq^K1 zNv8=lkG7sJ)O?bvggTC-RYDz4(rTejAZd+IClYq8P$!YDUZ|5vY7}aOq%(wCKvI)X zr;xN!s8dPWEYxXaWvfu9lWv<(Pa=WvIl7@u3fTR(jE+pxIP#2K|2_P4f zbhc27NIFNT#Uy=5s3jzQSg55WeMG2bBwZlXa*{qO)FmW+OsEwkeO#zZN&19PD@ppK zP?wSPDWNVW>C-}8LDFZ0dMZhu73ygueNLz=NxDX;r;~K8P-7&05%O}7^d+HIlk{bw zt|IBHLaia`2BEGd=^H|=CFv%it|93bq1KV~O`)zO>2{&6Bk4||){}I%P#Z|PN2rY? z-6z!bV0Qw)BRlS%CL5*QQ*7kk(?X6EG&Da{ zBkpONSvxTQ7}ngMwWT*IKYGBg-8?l*?((rHN^AISoCj<8$()B~_$8gEW=U(T>tU3w zkz*sy@i`uKD6gK}Ax!PyD?Ms6oAW}ljq&kbx|Ysw`l#g`#-_ZSw0|Dry=k%crX}8+ zmU?e$43(GND)(-6iT9=z)O6l)#?|<&<^R&y7+Y_ajnVbi*ce}Ljg1lZ*4P+hZ;g#n z_SV=K=i@mVKY=Oo<~?lcF@CYB$N0sj9^)6AdW>Ie>M?$?smJ)mrpCB(^A>&enfxS_ z2K8hv&hZf#e*8mCxU;kC1JdI(v_4U!hQ}mler`(bxbv>C^WXre~P9ASLkc)TX&ManUqV0|V_wz$(ReGzMXJxk5F^HuW0*}7HKhP!-9 zw!x38s1@rZ>l0jRw1^3_V|po!DN`SecMcJx#PxYOS_WvI~VLmL-{2%nM>nJIXB=3 z(#P!>TjF=q)Q~%VjE?aW;^X#fer0`JbJkUDnh~^plYQK-@e6EQ?4*faZ0QW0G`_~h z$p}kxI2zx36HC4wp2wW$JKoL7cFADi$0ym!xg*^CF29bo&Y--D!JIv+kJ-ow26=?= z;S83Dlhx%h$HR3BJ0^Q8_Kr#HcxO5DV|Q{<)||C?Q+~`It?*>$3iIoDvc@{nXp{cr zWc!w$OtI6W`xATbP19V1+CM7ChX-k{LETN$T!Xrsrnv@nH%)U5>Ta6m8r0o1%{`R8 z>2dF&QX8kahe~an<{m1wu`#~v9A=9J;+*ClD%EnDd#KdLY3`v?8>hL4vNy)tf;9I~ z?xr5&7p;1XUu^0zezB>?_{F9k;}@HHj9+Y;W?WNJG0?m)ow+1|ya|}0ff{gA8YWht zWChfQo6?eP(obp0R(R%==Lv55$3{5&Q}zdH$6fBZ+Sx8-xibN_HgZLfN$wOS8(AqN zs3AA)rev0&rrhXR2`8uxcR}IELqW~BM}Eol6zL6_Su4_WvY!=?)tPmwI~Hpz)rIsY zH!U$4F^C!Gd}pEzYR8?4&HNeEg!8y9t;VrO!pgRBY);N39Mmo!Ua}`wPZ=pqg;Jyx z!y706kWlCik$*%e^j^q+Kq&NH$bSeHA=tojC4o?uC>01jER>}r9T7?;N#_b>8A;~} zWjRUb3uOgK7YgN6l3>}vX(U}Nl$9i1B9zlfx>P7Jk}eZU6-k#1rJAHGgtCgHD}_=+ z(p5rPP14musU_+2LRmx77lcwr(se>vOVag1Sx3@Wgi=q^*M!nQ($|I3NYaf$Sx?f< zLOFw^TZOWLq}znjMA98XX(s6|p=>1Ss8BYMbgxi0lXSmOwvhBKp=>4T+d^p}>0zO4 zBk2*LY$xfvLfJvmV?xC~=@p^uA?epb*-O%IgwjLOZ-vrJ((i@RN75gK z(ofQxLKz_GPeR#8(qDu!NYY=0GDOnfgfdLhKZG(u(!YeVpQLw%a)6}wgmRFi{|e=h zlypTXXOW~iLszc763W@iVF+E_FO+krSwJX9ND2w%LnLJjP;H2<2-eH4Ehik~RtD>m+Rv$~Q=A5z37uZ5PT-#BZliZYEu;P;Mb9E|gnIY8T2k zsa--Sw~-E32u_6=%)S)Zk$O}pw<~vGNbC{HodoF-%3UP&3FU5*2842yq(PzFL(;HN z?j>ozQ0^n?Af(-}O_)uvRBf;W#<$2n2uq*zvtjAeitfJdVOUd}>s-ACYk>4~^dn(q zmJW$)##xzJHtvQT)^Yf#HK2butjxu&V`NV5&XK;tzQh4sUo~@%V-Jnr6o<@8$!TBC z+_1K~kk&@$CiP8;uExYcNII^66quXMlWI)=qkk-*YE*{V? zwZoHY3BN3)e^Q6FVKZT!li5MCg_(ieNM{a1RiJ-542UaWHTWi>Ux}SY^v}XFuVkm@ zkE0Luj|{day8Al&7dK0>zPk@b>2pCPfGf*v32%%%LUp*(3P$R@Orl(Rcqa7c5IPD=lJ7(D$ASYMhP7Yv(#eiL}yj5wLOx)3Ir zW}M? zH)g(dTiYhAL)Ox9Rqmf5YYc()8Ie^^uK~j3fud8;Kvbg zap?xvxS0p*Xb(?t&ENFDhxK>#e+cz58moT^^)n>BE7Yq=dQYfdB2^&ACr_T)Tc;&D9^u-4cA&EY?4m`tRk#WCtI}unLScm<>w`6i> zT0 zl=bo6wvKp1VmRIjsk!jSDW@Eb9Qu zw3)}5!VQ3xEHjV@bcO>RfiBo-_|9j}F-~d5k+FKD57LeG_Z7jQ?hfq9SJVJ}*i&Z3 zfE>)_DXr~ihU8fU4D^PV3|)5eR0ejjbT7&d-9U(s>n z-)Q5dLjM+RybLyeDqjiU#(&brD}??KZM+gTel}kT;>LGrrgm9oH zC1Y-6xVvXD+z-_BVI)E9UI!n8n6c~HDg*fXP~b}eSjGE3+5aj$(x6H01~_BFK^Y&y zqele3K?l!`j<00oMTTkKS(68yHJ{S;2>9maFm!keJXfJ^z6sAuNV*-Ku#j{oJUJoh zZg@^Z(mn9xgrxi6X$naXz)1}$QXbX~wvSf-S5wA=fp6oK@en*hqAtG!kB~@u6do{< z^gVc#L(=2$_=%(+z#}P=egqGbNP0qO8jbQ#;87Flo)TJsbkD$pDAGMA;FrhnYAf(O zJO(1&3-D-&q!;0l8A&g}qal)BhDS&wy$X-fNO}z(qmlGFJT4;Xckq~sq(8t1HjbdF zj>COr;0?GCH2o>V1@$se-U#cnhEh5*-o^*Fyga z{2R}*?>c6ps_x;TeG!Ptv zDQO+oF18=rlEB=MvLKiTPyT2R#tAJ)hG}qu!}-!%Ci(x>k=Pi7>(aM_lZBQ`ofhB# z!gLh2Xo}!8d0iBo4wtxvz1@8yLxo*~i8y>>RtV2cAiXd+TRPJ^yBcQ=+quuY?xMjk zzOfa-lY`MP48RPbjUxtyLYqj^ETKh6nj^HSB+V1rNhB>0+6r<1f+Xw@XuqxQY@*3q_hp`AfeLTH;v>Jr*ElDdV~O443<4o-F<@i*Ro%exd2?1!5Q zpa&loH_fT5``;Zt#x?hY!9g@X1kc{7_YrubLec?v3Qy7@c;7KXy=i1vCu9c=@Ow`MAD@~`#4FL3GGsnE*ILT zNV)=^$`g$%Rb{sQdiK}{D?Se z4@kNd-X4$yubnO-=?-`WM$%pIYKA0u?X-#{chomm6`_5V`iGZO1EhnOQ`eI8TcLfOw!+J)Ye@G;q1{BfH-&a9Nq-XB?Iit0Xm^qH zSE1cQ(%*!3KS}=(+JhwhOK1<1^sdkzCFwn(Jx0=hh4up}g%qLD^OTSVZ&D zD5D^x!%I}s1>v0vNnv=MN|Jy#E+oNOb*y!33s>hI2@%T0Yw5Q`a4KC&t;WHdE9tY) z1bFL3(j<7lL|Y^9wuq!D@P3J;Y4Em)q?6!{6iHEdzeLh0@J5QHnecvzBzQMMD}p-PET@f(IO*C26tHenKNqEVQRdDuq{%w6$Dl&ylVI-XM{#681<=uA${Z`#Ehr z72fmE)|En|+x$=r-ZYV}8lD%zsPqpuiBOHau?WH0;#UNPrvV~)=>yk8g@MpoxX(3b zL{wp9h3Z40bs>CbMKfVY1nHLJ>5);*;2!o+#E;;dzS(L(3Mz=NSpVIa9# z1Xjt*m(W(By-Itz4PJkdqj$gyGm_2}+HYvr;-VHNA2yVGUyL0t!dZ5bAG`r1kHHD*cG`;(d_)iDp+8cSgTlAUw+wgh zEO@O*jSs_z^1g4N?g+eKB;C2fcRIB@PxvZHf)muA$;yQ=Q+4;X_l$HTWU`^Q!PbGj z!>z-C&_(dRO(ydcA)LTN9}9gPUV@U%PY8`}+(Vy)PkVB;7823SsYrU4$Qa90ugwgoJa? z5o!hJo{x}pzwr5}{kPz-g8_oy$sHV~wDcnMZ2~?dd^$Dy4)BL3c6&wWQQGuf;S15G z$KY%Nc$v^%guYLke;|BLp>Y-GZ7&4S9JSvuYDAlEg z45eCW$WUsSUrd*sfuLdXFsgx|Vb(9IfuLbNFRFo{6+_2V13@c>uBirsRt%j}4Fs(i zx~CclS}_oy8VFi3P@o!e!PNY+hJuE9_o;?lFsVM(kPBwary6p>bof+5E|}|{YRCl> z*;5UZ0b})E|@BvYRCnXpHmIFU}kfwAs0+rE^8=gn3J4p$ORLQQw_ObHgT#U7fcmS zHROVMz^R5@Fv&O7kPBw;rW$g=^xRZKE|`0pYRCnXXUiH28m7Re8gjuL)>K0-n1`Bb z$OY3$Qw_ObVrZ%%7tHWXHROV+nyH3dFzYhakP9YIrW$g=Ovti^f`&i` z3#KEc8gjwhJXAw2m`Ipv$OW?mQw_Ob3Sg=s7tHTVHROWHd#Q$8Ff*^Lp`c;fU8*4$ zOrT3O0>q)Pavt2MOxH$f$z^ zb>L*wL4rE4GU}2aPR3M6$ybciu~C=&a6&ffk{?dVMjfQF+l{9+#v`Uc_(`cuUiisd z_dxik4yC+^4TPVDi@U;(#E|jcC_!(BV1Yq}{XW)sE0cV?l<1&l@bD((0T`^Wp)1AY zPqb0fRaO^)@Xu7`(~SB5_3I_a%cnJvD-Ir8*%_z2Yf{_8KM#kW55F*05B~x#l6&N< zG8=Uf{$=>Nd?h>l5Q(t@mN%H7sH9B<#-5Fglx&%R)|acNTHNN*eb zQW|OU>tRhu&t}LQh;>7mOFMo>@>kV{;Krq{uP-quS5M#%tc7W%6Wk_))d>8g8~*s+ z=5G1pX0g7${$aV!1RCaoTj_`I$R?=9Hsepb)%EoN7ks}87gJIL^p&WhDOm~}Dr!{8 z$Hl?$GBF>Q2Fnr_2Fntb1=_>2@8YQf9m32rN zsHowWLDrOS!8`#e13|9BOn|b4r7OQVF;bg~^JrjsS4rIRHjrIRJ3q?08iq?0A2qmv~hqmw11qLU>gqLU@0 zp_3&fp_3(~pp)ev4Lffb<(o$NmQh0DIoS@<&dCyz&dKs^qx`E;zGIYsGs?dkB_x`Y z?IF#aEFsC9EdOnk?;7QQjPgCBgw%4f9VC{MC8U+Z5|hfw5>m>^5)#VE64J@Z5|YWu z5>m;@5)#SD64J=Y5|YTt5>m*?GHjIDMk$Ok$0#9joNNba<75d*<7Am<=<|(ooKcQ9 z$_YjZiQ;5?NE0VZND?Q@h*1_8u;4Mpzu>kz)ZuyK)cy01s%ITl&poQ1-!OmPf}`q1TNc~~ z0AKi3_&-}w-&gVjYFj}4Wcb&h(BY~}Q5J_^ga1zk%YJ2!`Z4ul&}J($)Q_u|z#I#` zDIZlop(x?sfb3V{M3@VtU{$DBQkRd0RFQ+!r%h5}W_8J4jt+lKNaa zQt+Zj>QJ>{L$ zGovAOs)N+CCaLGrk%A8iypGh*M?>m}gVYNqsb8cc1uyWul6om~Qok}uy_}BJE8a={ zdNicYb&S+&CaK?~BlY?TCiP(lso$EUewU6EtaSJ4zEO?Tc@9#4FiHI}9jP}?Fsbt$ zq~0`1y_JsCpH48T3ml~WY?As*I#O?+U{V)4Nd46$^-elcupr`u#?(a)Qhzr|{UaSI zSa)$kNqx*g>R%?Qf2Sh_3q4LKsf!(?{$rAQFC8gZPU4l+2cwxhFR_!-lq4xlO+yO5 zj_^oI%Nh--s~n{KCMi7~Dfm3&gp&H4gH+HY6-q}6z7IK}q^@?5$~H-fbfn;G0FR{9 zQQgga*+FWINos65Qt-8aS5l+8ba9f(GfCyABLyE2cqKKek@|{bq{f@1CZr<;-!gb5 zHL8(vlA2_anw*Xld{*I=)Tl=4tB#Q>FiA~GM+(01@Jeb_BjqGD%_KEF9Vz(O#4D*$ z-L`+tF;XX+q@w9a!FMlSNsVfxoTN@MNfo9e1#AAik{Z=W-QXChSthC3=}5uXKwe3W zYNVW`=9;ADr6V=pJE>8P)Yly&wZJ5`FdeBy-bsyWq@1J{o1}`;kt+61YE<*oHyk5X zVv;INN2<&_sZqJlNvhl=wIm&>3h$&w|se#N%?K4RYrXvNP7JD73k<3Z$H%T2xM+&}j_Dbrk%t@VXk~*A@ z)H&WseP}eKUU3BaxhAO(ry~X5etR9MQ5}6wQXer%ou7`>1>Q-G>gaQly3i!`(R8HX zi+HaiHL9b}Ny=QRq+Ohj)W^M(8r9L~Bz1{7QlCgi>Qe8dMs@VP>In2tnxrmEN9t4F zNsa30bCSB;B=zZZq^|HxYE%zmRn0L{pD{^YnU2(Fy^|WveV>bzxzbEax6%x=B6vLd z)Y04_t3Jm_U1N^a=hKbUwcbgMYNVW`zF?C2VmeaSc_yV@KZ?_g>UWIPmrYV%Nk{6d zo=K^rd5fh+9HhQxlDZ)sDac#lHKs;IYMO)8H%wADrXzKecT%H@sTmGZH=CqxNk{5d z&!k2dQ%+LfG)di-j@0d*NvWe6sZ$&yb%#mn&UB>iI>Dr7J4oGak~*4>)IFX_jm~{e zQumsq?n_7Ne$S*v=e{|Pk$S)+^{sTI9z4OM<~m4y+a&c+I#LgxU{Z@5q`qU4dL$hw zNXX-H@*G`EIZ1ujB=x;?q#!qtM^dAUDaYpo+T$jv@24XLX^KuLDaYponz_zXOSjGw zGbWu-QjX6Fv?t7wdNSQeK{_L^q(-wIUESyi^q-ofo=QgwGAnr{HJbJ4>Q)D-XG~Jh zrXvNZoxGA7&5Brcn}gKPOj6IMBLx|yypkHt$2{sT2Ptz!td?#?ET**bN@_G8^QdhO zQol4u>ZNoe1qrmgk{Zp&JZgu7)XOHRSJIJ!d{b+?1muT4^~r6UDtw!D%W z&Br|I9tWw{O;W#2M+%Z*c_lTPk9pJq2dUqir2deO6r|tsNJ@KiH1~Z@Qg4~0{*;as zWb^V$>g~~xI?FLq=89M?-HKRD5q3gJ9d?j1SHx=RR>Wc^vJ*<`TnDLtneO{{I`_SM zf=Qj{AoU-U)O+bjy?=s9IaXO~|20W{kcJdyZabmwyU0FLJ~c_or==qW>ETW&DaV3l zUzSPApNB>TqA!B$b_x6r|t#|41swBsC@-Dail# z|B+O#Nh&WLDM&@=k(Bz-XihViIovnSBsD%ADae56mDFfHI#e%rkeXCLqlxppLefsDQ{m;1F}!q zbbMuC1aiz8Mxd10AM|H%|wnLTWP z9-3qioB3gB;X6omp6np$JTME++jb1nXYw{vheZa294bsqW|D@`@NJhpwEA{IdXiV5 z$p*+j;)gOc@1$vwtb2XC`99`B5)^1;23^S41}SWCU_!ojI7rYjAs?nM%Q6BxF83+N z=WByr+u^T6_T25;W4QbbFo{Nk^X4yDc&~3?x{iZp$3Y+FI>U~GKFoH8xl)3@UdYno zOLn*yI_rVIUfJOw@1GIAyHx*7#qN^+nJP{0_Z=`sZ-+5@VcZ8Cz7O$c%j6h`&AkZw zFoPQIMc9WO;9i7%m`x1_GVD7Wq~t&zl%3~g0VEe1=R&hwXn=uoF28Rd;YoPfxAT1$ z@c#K2Z-Z}VMe#Ij5&r24+(yWa4( zWqQipzDvEEhBKtYr1A*sjIVfdhVm#2{+c)NcUkb)y@9{y`vwz3H+ciYZ)7syTfBk4 z&w{_{4g3QZe7iUB4_WY?-oQU%!FPKDL)Lv}!0zz|euC}!K5yVB*`6Qp2L1`#^Ml^N zKV`uWc>_Pig1_Sp{4@)G)EoF27W_SL;AdIzhw_yu;KpYjI&1q*)08~8;Q{G2!NFIn*O-oP)h;1|4sf5n1d^ag&J1;6AC{0a+x z*&Fy(7W}F=@UPhjd(9j8H5UB3H}G#*@bA2VUuVI8@CN=Z3x2~J_;)P$EpOo8v*15_ z1OI^qzwHhDM>a^_@dkc_1^?X}_)Ql4PjBG2Sn$8Sf&avU|Kkn(XBPavH}GFr@CV+& zZ*$-*)f@P)EZFA_{0FPf3ZD}^#=Yo z3(oTfewPK0^9KG83!dN&{2mLQz>;h%R`*1#?7+Y8s*8Fe1p^Psn$=d<8p zZ{P(ic)vIBLKb|`8+Z{5KFb?;F$+HI4P3;6k9Y$Yv*2^RflFBMdEUUKEckqH;4&6` zp*L_j3%*c-Tl1z+L~yp#oddts_pvf#_S^}LJ)U+xXOoCROu4ZMN{U+E2e zDhs~K8~8L9e6=_5N*4TiZ{X8e@E5#+V=VYOZ{R8xe7!etH4FCk3Rzvng1x;$R%=+W zw^zvOY8HH>w|%ZsjzoZ{P+Ne6Kff zBMZLY8+bi?9ri76;4|2szwHgYfdxP84cx?nAMplmX2IX}2HwbmAM*y@#Dc%?4ZN8J z|IizF3k&|SH}F;#{G>N<3k&|KH}EzV{Ioalb{71sH}DP?{4-DBtmpZ4OkWxOI;O0j z^CrKRfyozmlV8ukH~GB`O#Xp4`FI8<|HzyCK?Ww@;N$W~-c6>& z<(s_8Co(Yk7H{$=8JPSNKbB8!8VDcp1WN8K_ zPv%XQXJ9hQo2-U~&#`vL*wQb9s}s8JL{Mo2<*g%5yx38Do&%LeZzQ#`(qH@Q9olZ$wh z8!|Atm^ay+fypA?zWMHy{526@a}2%ZZgF^OL>!t3`|z?JKp8pWQxa^@g}=7Fu9!H@x9(nri|qZo@K9h zlPNoXs{b_eEk0!`)90OZch-PslbDUk@fP2|l4m*S*(ByZbDBJzH#wYv$rx{Pe+DM2 zc#{V+Fj>u;JSzi}t9X-#GcZ}hn>><%$<@5cb2Bhm%bPqe1Cwibljmn(vW_=-VFo7G z@+L3Jz~nmKb($;&cext=$9c?KrW;7wkUfyoWL$tyE3 z*~FW?Dg%?vyveIGFu9R8`S}b?ZsJXTAp?_}d6U;=U~&s@^7;%+Zskp8uvpUH!kf%s zv7~<+Z!&|$lK$%ElQ(&51}4wsP2QG)$yVOv9T}M1#hbh<1Cw#y zOOm^`1J>T+fGUdJ}!L$6fcatf16rH@uhchtQ#hZL21CzUX zli$t2WH)c}u?$S^;Z1%&1Cx7slRwPBWDjrh#~GOH+^4g{Vdp@@)7oFESUYW*Prqc z_DUAae%b3!`3U=T7QDoNHnY#?xc526f|s)3l+@ByEVz;df7sn~H49$Gf>ZKIuVTT= zS?~q!o@-d}3KslPH+VG*K9vQhWUOApf=^??DG8|SSnx^~{0TShwJi8_7W_#!xSj>a zSn#LZ;06|4#ezTW1~;X)(zgkf@@gt=iK0C7QC7TU*iVTPcgcu zp;{JvtsA_F?RgCg{-PVanFZIe;4itsTUhW~7W`#5xP=9;W5Hi_gXtF;-2+z7f^Tqx zcd$J-u;6dF!8=)SBMZLC4Q^$@>sjzEZZQ4eqkEsvV8P#XgX3(^8(8q|Zg4vbZeqcA zy2148i*DM@Eck9WIKlS3kp2?q+-5!h#=kgZHxF ztt|KF#+C3x3TFKA-J*FAIL%4ZeT{_pspKxxw_4lWy9*Ecg#@F#R;78{Ef&-*AIJ z#?tO*!Ed?2^!tzQo(EX)pWR^kokll!9}9ll4gLg6dyoac;|9}@F}iymV!?lRgRf(I z9%jM+bc4Uef=5{Jzun-6S@3=q{2w>?5f*%a1;6hGf0qRxWWgV}!9QTZhd8jVy1`Gd z;Imk;&kcT(1)r^Fr9LyzbvO7a7JLrdbI=Wbh6NvC!C^P}Sr+^u7A)N0=UDK$EO?9? z{4*B(VRpcB-Qb_I;Pcp?^WETIu;7od;PGzoi!Atj7Cg}n{v`{(fCW!>gI{977qZ|2 zH~2Lc{4o|h)eZg~3%-~IPxl7?I14`64gLe$^Cc{Jh8z4x7W@eoT<8YB$$~Fs!L!`p zw^;BeS@0Y;_)jeOG8R0~4gNC={uB#d;0FJN1z*mB7rDW2v*1s&;37Bp9Tt2A3odbk z|Hgtp!-C7);J>rrD_QUoH~1ec__HiHZ?NEPZZQ8s;6@g_!wqg^U3C+CJiC8zR^^}R-^}*B%iVJm+w(0f zxXlgT%z|%a!5wb!nJoC5>^^t8!JRDlHnwN?uji`#Gr-&RZstmVue;|yw&y$9o_pP3 z{(0D4EV$nd<{xR@&4Ty2!TVX-M_KTY8_YkIx`zdixWPx*p6_MB2i#!(Imvx2_>dcX zRcg<d(U8&*s6zbP`TXFC5ihdRTw?ZvQaMABP(k z9@SsFSATt>??L@{NA)*uP_mb0MY4|Se}3p;{q2YKcT#pRcX94G+wuNSw6e?}@k=}Z zj`(ec^oX9$P*HAvilIOxAPxN|60jKxMuKGMW|mQL?xYk$p-4y?dOs4f845?j=@^yd zPDnA79m$r4K8R%742g(HXQ-4Jqnt<%8Vab99Gjsrkum9Zt&A}=HZoQk@F z4TT~TY=$OACZ;pAlrc0ZGD#ZBj!d!{njD#&Zj34!Ly<^C8p?@8Y=#OV1?dbeW8!E^ zWQsI2HZsL#Xli6?Iz!7DL(?MDq@ldXG@GI6k?HBiXhrVSl)*kJa*{MOE^?C1(8-aL z(=j^L6_B}$<{b@8*mbvm|GeDAhInvZuDIKO7ED5yAN_yrT?c#=MHipVCAW7;fK6x) zH6(P9PJobv(0k~;BfVECBE3ipy?5zSq$PAk#eyd)D2fFEX~JhiMN~w-_a;|nF1vFZ z1*P0i^WWv4oj0#d+1c5RO82O_Qq(+Y`;n?zghwScAIwvdgPwPn$qxmBQq)2zYOxfx zMB38Tv>a(lj}uC35t8E7HJYaQ1hKd#DPBXT_#~mYO!t~Px=%4(x|UAqX{Jlp(J4LM zbm_V}rDvKhT~DXXcsKF0G&6jkTOK zEb&pMnT~GDe3WUflfNr`lxd;mPGiq1A7xtVlv(4WOe>u->wJ`Hqdk>IxAi{CwACrI z(Ot$@|I=Ql^k&ngJLr_&DwKwIMvtCamNeir~FWy_H_+hblUWw=X>eznN zeDQud#b0$7*Y67Z>on=te3TiW)2+SXqs%~^GH?1QGe~C|^0tpMgLTTBaF@ZZ!zu~D z5Pq8JY`YGjG&KG)5&=VXMv0T&0!jsTmWUXp(?2=X5gc3m;|1=;(G17w;g<<=E|WKAMls#G`3GZYCZ{ zBi&Q_?R(V9&J{jwtQHBeOHr#FQ>y~)ToDGl5YwiV3Vsqd0;2X?_EN3C02& z3N^-E;mZI)tx0*ksR;KRq+*^X6J-ndVT6q(KZaM|+69lF3f|5)L`Wfm!z&xNH5@a0- z;)TuCiW_Tn49s)4nz>qt&}vfD*LB4Q^5W;27C$eI_~W|bExh>orp3=sBmS*a@$X2S zvrv25q;nQ(b}#3=t1Dh`&LY#|7o`#Zp00SoIg3q;Uz|q#`%FBn#a5z~SljuKR^r-D z42>~pJ7RV%)k>Rm;8M-%<-pS}6)MxpOofkWWln`y8f&D2;N)edRallrg-={6#L+mW z!dV)}sSr=&jZ_cwY%e=JJzUsazUM;H|WPc-G9VgKguD&5&T}N`Y+pg7KcI{Dr z07*BYTY#q!_$-u{xt85(8_bq&!IeQrtvB0PoC4s76>`Q?647iJJzwKU?V$tSVcNMTBp@cS4E8suZD`;9(Cu+I?V> z^{6dV8_OPBIQ#=l$|Cdu>)9=mz6;f3Y?@MqR>4ljmW@{7I++BTklM+>^Rt_LP}WN( z?lzowj)|#Ct1^i>X;n^QHCoLrk-HNJBkQ$FhVD?gnLA!-JSnol8olf80%5nOyI<3yEEkLVt*4Cgk+|~;I+n{AMl<4$dO~Zi#~bHfRM5C5ryrphX#8Df(}N7Gr#+(|^u>iuo_d<3C}Zx6!x%YSY@x z+9I?zXKfu?$8D|Pzm2~ASC`gh5{uEgoWy#xo?D{ezm2B-x6!o!Hk$CCvmaspvwHj| zwBJp>{a2sXXVyMV>vPsNpbgyC3jW*V+kXvdLng5#ZOBPXq={~cg8w#|_TMJc{@Y~2 ze|-L_9{&mLce8K*HKL7}wWVnz&f3PbvD;d~f17>#uL*6!B$lO3IEhVZQ@2FHf16GF zZ?kFtZ8qUQKL21aag85B``zN(f6Zt!W^H-ejI*{mZSJ;K@ZT2S{%b*7Fo_jt3r=E7 z+R`mi@ZT2G{@Y^Oe_KrWj~_oYcLRp;uN7^@^shu)ar(EWt@ZWa%4XjNV{}6{qPC%J zn8eDo4JWZJZEGYEACwzOMTD*U@NoG*e*^YWd=qIpm&|h&kbgOWqEV6P@?b&ioV06*H~@@8R9O z)h^S+)Gn=@p-gATXlpEru5MhQIe53Fcy&F)tJT_U;_AL}^77|yw(zMRbJ3r>C7Ee+ z`O`Vp^2+UI&+ZLs5d68@bpGvT&qVcQ>iToHX1tJO1AmH3Ub{8p6$Yn2jXJA6rv16c zj6a<{2Jg>3rv16cv_JQl@u#dk?@H62Uo&ja!cex)bbH=srakNA-#*Q3d){ZdJ?}Ho zo?G)l+oz?cJ@3=f)1C!?CY#Q`wITo5(-GpULTJ&&la(&w@WwO#3s% zj6Ze8n-tUjOfl`x6f^#mwdZ|l+VgS4_AE@q514Mx2h6l*o&N8D>GpiUbbCHvqCL0e z+w%dfrs0T-SWnvsO#AbYX@4Fv<4;+8KH$}!jT$pP*V1WoM`W$~R_c7e zt=BvgMd`Tbs4M_ZQLULMPY4a3w!LCPRAU{luq_$4i>A{D$0RXO1;sscZ37=1ldJ={ zL@~wN`1HXst(kFC;3MO;gm7tZ+M8Y4gZAbw?L+&dUJ6@(;L;$rwAYvRWtaA%eYs2f(SH1; zR(5HB+Miw8hxX?#9Y6>0m#Xa2fpj3dv>zSFT{?&k;x0}5obfIG{VI6QZ;;7e&lpe0 z8nJNed_pT?m{h4NH|!xe>|t@IjryJ^8N`mjmPMFQy~{R}=-;}DH=OUXw}14nT-4Ega^wc#qfOEl~@-rgyI>w7UWLlVTej*bNdM_RxY1cWXJx zHjElrKP=g*I0dMsgz@ctAH^(K>{iA zv~egx-Gu|Y3BmY8vK<6#&4>j@W9<3r&PsH}IA~C4jH_UvVCHA$g78_|ATTY0SRPsh zk)Qi;f4JKQm6g`=3&p>X7=tdz;x4WgNG)GlDF3Ao-)HlbFC#ktoDb(e1%5x|8e|63 z!K@!1O9ylP@DMu0)eqac2J$gFFI(*$2Ult#&YeCm$_%ALneYj8C?|Xv9cCz8@=$-A zYwQ|Mhcl^@=x|Qzv-DX*sSS-gbm%#ENUnA9nB1SfSQ9cd`Fvs7y8h&YOlVp6Bk zQJmD#bhM$=;ZmuogXeSfIVN>FeU6hlhK@0idV#&mV$hDk12#4!KTn@$QfJcVIjLjm zSdUbpkzdf@MR%iBbC9Z~x?mg~$HdO2<2bS7>3Bo2Rpeq*eK3JeU}ERc37pu8bfTfy zrgE{VPMAa|F|qULBu?yPI@wTccez+Q^X(Kmg^682r*LAY(y0bwFS55+j3cH6GqKa? zG$wWtoyLiMfxciURx-aC=NY`+qtKymzDV=a#Ha*q3%&au(s2e66^|F_vb_kBTVcJnQG~bST+1pV!{+6R6o%ihUXpi^$ zx4v!^VXc7eVz%uT{Q0e9QC>afX`;#2{O*cXzT)qEb(o%t1?PSzSv?dhF6ge<##j8k zd5eYH$nQ;CT-aT)ov-)@^A!sr`oVO?Mcow#^A-PS-qk{ge$*t}hJ+XwcUK(3SA5fa z#X^W~ny$Env*NvCR{w0;)q91k{@JvvOSvl+T=t7;i}wmy{fp_WF5|9PaM>;M77JN@ z%e2Mi+!YI1{j2$kg{=P7bj8u`ii7#&x^3RoLWpjgPOggXiiNEH&3wf|h<-C&aSV*b z$?&sZNX|QwTN>4~>T<_x`9H;W5JVjoMExn5YjdLh^qLB%*p7mzR|Qdb&563}B`S@a zd+b9(`V&%dc5zR#@PV6CY_ACl-qR$n*$6Z9dy-Xu8%?&x;`)Q&NQIcy8m`1ofa%-E zw0?uv8ZI}fHC&0GJ2DUqTF0~7rdf0ryKQ=t&f;#HX4BcJw@vs(HZbmO_&LEfG9^Hw zhAmON()f)B=dRTxn#6Q)&?HWWIdqO&2YwGR)GgVW!@q+9S4y^AQP*4^F3SdndbokYu~9ZJgV=LF@sY=e9XTy$Z>6lur?r zys8r9R0`Oz$-Ln!$8@Ge|6CItvzNkXp#M>rm+iKJ*3r2t8Xy z(=p5_@deXaXuBCr`yykye38+#FEU7d;qJ3T)3l1uy>muOSI%e>Ke6l;{G_GJdQED* zUVOtO+N6zInCYm6rAzNHsos1BYv!V+c|{FO|44V=kCIvTAn=o9YXVNB&+3=W>Z5Em zSnxZi!Al3~g%sO)kaLkYCA;Kh1+}req*z4uk)qT(&WZ($-(!Y4JWHBNc3Eg^CtGWP z6}t?cFQ{MglBnzrC0Movf55}X#dI;7+FhcHxvAX}y2LrP6IU&T4@D?BWUr2-)?mBB z1h|*|MHO>O_R!&YFIJaRayulB%Q5g%mg??MR??MB(I4naPSI6# zm43>8&SzYdq$5w;A4Hk9F-l@1OBf*$qa>fF5PcUV`IeURmVPx|&D?R5uIAjahOW_% zTa;GIWZa@;DJb-DQCd4gnXY8rFH6?QG|PjBjgs{OAI<`j&efSPwm0}SZKVMFdj?xubyB_NWx=0k=E6UQUENH)y+S=~|Wp zKhw2b4y>c=^mCw)>?<_FYC<7dmnlpu3P~OSdfM)b^hKuVE&3v-=z6+dzwN>*16a3# zZeWFO(+ymqjdY{Fb%jk^S6J3@3)U6(ZJmu}IJaS1m@5_WaqA#XM2bir_lg}v5lMj3 zj#Nct?=1*{FCuY`v*X!BH?gq%PB(F3*-SUQybv%ba<%xS4-&?A0*|x)k@Z@+N$BOero| zITcf@xa2W{I9Vw!Nmo}GUXz8PSeg#c)Bk(VaaotJg>GTFcZY7_a&If$s-Jr$Oy^z+ z$v`gNN0pG|o~OfmiN3@Xy-Q!>6x~L*>34XrObi{~cDkJvx<|Kjg?7*#`qq^+ZCy!O zx(OX#N#E9`>F{7}9hBcmccSukh3@2(f0@3luY4)f%9oOK3u0(WnNXf}3r6=9rG53* z!gQ{*B>lxERXSb0b!pSRb!kaloxSxgx{HOyLU(at*-dxrho!XXu#}dBMeHU@OTyyn zCSH|w6D7QQYj;;IPKw0WPL#5eeoT0X3s*{>T6*>$!k0fi`wu_yY%3BwY4NoarJULQ zhj1nC_0*rDGB>e3<0^at)uY8}FD=~5A>wN%N_o>-lsBgZe1g=Y#rvWbdb=QNId?(Y zgS0AI1V5xk%N_~AHb^LuS9k^(EqN-Gx(jj--GjRz_c(V!?!_~<3vwUZ1-X~*gI$mf zxLuHYa2I5;WV;Ic9_^}Y7i1mhzfyNW)&o`S?#vS>3F1abrJ}?nG4m=)wr@C_hCRe9 zzl4pDP?zydhj(4ZpHXzzrRCK$J~|a8w~e|RA>Hd&6}=ZC>=}%_C+vHq_VFMLi9ivJ1(5Ei`*)w^&I!5xcw%pY*UamIsv#=LM ziIsdlN;D@{GC6W(j@>Iu#rbZmCRx|!_q~8t#_yom!(oZt+lcxmV-jn6StC|!Y$(&y z5!*9(Wmc>vc@<$8*I(M^49MM7$(DQoVqG z0EB%=SQ`3x$s9#Yvv|oGP1?OwO1xIp&}-g;jdRLIVPS@itTu7eQ@m#U!U_|gdTZx- za3qbByIYJmn#*{NC}R6bkOV`tHNkgVwT+PmEJ4zFdDmq;qUf~M1lglKA@>qwU#$^V z0unUIr;^=MH`_?A`Ps%uBgI~MNHpBZFUF;sY#~xGshaE-fGKJ_2XDgJv%y0?X?Ut> zbxo#yHJKOF>`hdvY0XUwmb}p?gr}O;#!x2Ll|Gdk9=5mNp3Qf78k-DH4b$PNA^D>O zt|2amG%htvcSkiO^9Y@Ou7+e@AhyRErfb7IO87sUghaRc@f9 zobPBiH5u(%lIfu6s9LgDublI;S(Ohh|U8tpo!qg^L`(XL}U+I1w| zix}-X=AxZnh*}-f(T?;g_s^o;(qy#jnT~cn6VWaxjdnfL(XN-iXxB3x?Ruu8UC&&! z3ky-JXFA#iy~_QwXty>Q?FOdD?FJ^sZMbO^{(^%zg=-*jwbl&)8lqguX6uv+-_?!+KHy4ooFK3C8W_#G#%~4^hG<-bhHyqM?2A6w1p4x zD2b+{T|$UV`@inTBCUdl@ z>G7wj@A1dRc5rd)jH26`O77c4>zYbNDjl~qmHg2gZ^5+jg~}f7merc=G!)SZ8J;WKVZXUY1o_eL&z0&iJo*`rNY zT|yW-OI9yZ>+(u!x0gG6M;Ux}lpbY1t45Dm8>K=Hz3$+@P>DljAZuO z;&3UttgCFo>U3ER!DU?~TLR@S>&mvXa18@o_9}gqxvVaIm2=rKdd$-j_t;@23)_&_ z*wan=N;mJGZj#6N;%lYdWS+-2jl^dY-K421s!-OjyTz9@?4pyqnO;ckCVB4SZJY5s zWK4y|LY%uv<1E@Hd72`;wcAZLJPEs0x@nz_{k0AJ)f9f3i&_}Jz-ey9NceEt@Fi|Z#{e-Bc8&Lj@UgG~6Gef`KYcNGVWL7Hr{G}{7g ze>TNFBIa%SHq&?%eVfzx9r}(_W1ShJyM+$&b|FpxQ|!+rTl*J<$)Nptc0LeK$1NlH ztxNla6#JyjB*b|-CD}Td|1A`7{T5E)w?mm%PtX%g$7%Egr{lZyU8bXb`Z4?T6#FcY zo&Y~dLiadYvPVUnX^)mYkj1VaTXK@nql}j9$?z6#{NPjEIyYK2T-J7~AT z(_jHufoZTvNP{u534>tC7}@OIl?IE1G#F!gDPxRenNm!HF|t2g?s3x?{;mn!&!@x6)M;tjj$yoH z1xswZ<7F!jwfSLUyzEt6oDHoO;xb;=N8|iuwczRTlHD-g8fQ0bw>ZbT>27A@z48Z} z*$4Ck=GV3K1J17>(hqsRu4g+nSWDX|7&TF{txME$qHHULtEFueTrkn}e0!ql_naqc zk|{ri*Y)NAGj_9J>?GMXWuaS{B>6LPY}SXP%VxpYNv8MfOp*<9Lhn0CGP6}{yOxI3 zzuXBjk-r&C?O;$-VU9XQGCNWmCtF9b=6Z^rVrjLNp5oH#G(F9y)%Mipx|45JQ)S&3 zwyIt5vqvnEQ=0#tdyJ|4yxBR-d_+HDiteKyaf*ISKjszPucPP-l9yIhR1`kBWk1X- z`hw(bNbzRq1)cHm3_ZgXJxb4Tihe>r;T6Sx1S2L+8D_|mUP#;-lACgtxZ;#yhUq2K z8Pegvoq#jgkmS6xaYpD<`YAK~b^0l1_*r_EH~dYbo`06?Z*K^;&ytN1LeD=-*4<#} zCkRb@mgHF>yK{Zs>vGvm4xdo7Bu{X}o`05(Uq7RtF~7b|KjZxRIsKgX>$|Kqe?h-s zm%c~8;4b}=e#u{oQ*zuT?+#{?w4;Kn6U3_}f8+-S2pFr*(Q{0V59v8hjj!leKGZPY z3?;rVlBBgV>`79)ZBb$4ji=)DE=jTnR`pEplDs~yfhM1)=b6c;>3PoN3-kgr8NSqI z{n;q<=gQ_MLgvqvJ=YO3f3B<iWQZWZvU*A2HF%UN6C*6q}9@b~Z|^n@#ApV{!N0WMcEUhvpZI!n)_>*?}Ygel9I_w%*qNP5o@LHc=BWrKo-vqe9M`exd7RsP5$)C#- z`oJ}kL0adT?iycPdE7x?BNq1KtfdX?0ivESW9Y z;8@?%-qHz<3oRQhTi|%k^1bDz)e%@QutH#r)sbmerq?nZw>mUM3)iy3ahdj#wgZmW zwLi4GR!3O=u<~IQ;n*o`P}op7E)UxlwiAwL!mfs0w>rYL@Ob>uCaw^Cm4Pu|gaXXZ_^IwE>SjEaEr z5r-li5l}wgmV5{ELHT@tM218{`N%_&j!596LZfm=MZocesAW+rt&aR#@*m6(*A-Y? zU~_?&td4?{3oa_S)aocSxX{Ex;P>dx(SxIh!Es*ni_sh5cqIB{^oMY~7X4fF9jl{4 zc!j761>qQ1A+bUet0VT!*iT|VvpV7;``=XtSoxt~PtEj&^bF65D}Z z9cp)I-Ju;Ef9$Aq4755r-s_}w%4~IXv2@AO1^nM-dzYhKj=}L_m!G=avO1m_`ONfZ zK=1Cq^a$!anxOZaALqaj6H?*K1-Gbxhqg^|h&xSJN&{`(@f~t7FFPnYNiBR>#aGGq=p# zW_8RCnVoBPUN|0|{r>DzR!35oq#;Set&X|9=8l>Rah*S6{tNSGS{(~MSa4y%C97j` z!NnC8L%oYXSbSkI{CmmBCDWIHzDox$owyX@w(P{R&zFHd%YIoNv>fzb{@n6e%jZ}f zD+a6>w_>8zv9kZlu`4H79jmIYYPzb0)v>zz>gKCk!SRLF%T_~PtO;3@YYpf_EWsBE zd1XHN_8y5Pfhq|gM75qLifVP#R;}0WkpyCOv);e43BU3FZE%|3dS4N$dxiH^84a)U zzEYE3>3wy$&#S#lWHD93yHqyQrMyc%m9CQBrE{daw6}y@e@lY5lstc1inpYQevr}(w7fbb|9#Pr*Yf^J{VNvoR{nc6ik3$IYnJlX{=2n`7FYaNE#|HM_iIkI zd>xL|ma-(~U$>mM{=ca`b*{oZKt_|;|HK2l7ydg9L{G&3XP)4_@!x8}c_gqsN%&7a z!h7Yv*CYgQooqp>Em&16s=*(?|B6bA<3Fr7*}T6lsrH}yi}%^Xp^b09T_H6d3cvBb zdw4YR?Z3LD)*t?dL0`@k8xr-q#PmroR1Mmo$Co{muLQ(a_en->;D7 zkA&ZO-#e08vOuV{2^6}zh z_IOz1J8qXrx5pxGeC!?{i+si}<5kk*v56la!^g|2)W@>lvd4^tNw3E$VtmvdKg(=* z8>W7%+PbpG#;4TaQ?UOXZonc*pT{nYd?+7J>m=dq_gIFL59#A;p(LyW9^0_;p?$oq zl!SNCV;x>T#E-wFMqv&bM}|E1Vdg{qWLV2jikp*RPeg?IC_gzCyBaoZw8XuaAHn~r zljw%z*(WCKeCVGnt0mzd`9y`EPk|@Ta!DGDe&W)APlYGbdPzErc_Pz+Pl+ej1Cq2D z`^2UNpBhiL7Yx%Qk&J($(}PbDzb7PVGVzH|6Fyb^-av0i(q*zgUBE$n%J@Bk-tdt& zQ~hbR#|j zR_avJFthL%@TBx-*H=nrl6n68@||C<983LBZ4(?*^ZCZ-oZoTj$T@Ue0Gq2_yJtU5miUt{eM!eBp5J-tOgwa+(Ob&aYq&{XSwxok zQ!@Q2$)}~?fqx?{eWzxCLRR=w^Y5i5pPqgv{>}76C(2&$gY}nd_sCkZ%AciwKTG*c z^*iz(WUB8DRk4ya{#5;EsmiCT-z$`pqe zcHsZp?62hdLueSC^m^M+5Fgs~3Jt(6(7L?^9Q>g&^l*B;YAEPFgz6s2Mc(xfT)Zb; z=MP1gF!t`nIOQ+$o}RdNb{!qqEh#kC-|FJ)Iu6Xgshc^Uii59RwfQ%p7zjlw@AQ$|t^s#8Au9lkmmZl?v3;y!E5`SCa@8N-$1c8NjAO|+{un=&F?PinZ)p87MsK9~dE{oy zX)FM%Qn&J4yGKTo8~&#J*oN8FoN-zm{52tgLzG;EK-&%{Hd26;Bz;LKvX7J|cS#wg z7AdRDA?1{_qPgbfrjX{g@}z~WH)&~GMOxWTkv8@q($-#yw6~8S9qh@Zll@oHIk*Dp5eA(RZtkU$1!7)FL<*h7Y9 z_?ZmL7)_qd*oTbBxSEX2c$$pLWF@0B#gOMR4IpDOy-3Dtv&eYuJed%dgG>x-K_-XI zB~!v2WNNsTybxZMOb;JJW`yr2vohx=vorT6Ntsi~+$>qiyez}W{45`lg;~pxMOo*O z#aVwOOS26n%d-7SmS-PDR%TyDRy~!KtbXb{vX<^4>vB@EKGz_!A@?q_G0%@=Q$#7U zIo}|%C2}{}n!g%ZpT8~HR-hxtDd`~lN?sxdO4lU^%Pb>@%B~;>%I+mc%7u}m<=T^1%I$;m{p48r!{qg7 zl^l<5M&63PNZzi{o4i$F0y$AJ2YI*RTH>fwmYk>*O-@!CLEf*llbot_ot%z|B_G9% zCm+YWNzPObAfHsOOwLvwOg^jp8u`5PJ@Q3tJoz$qEIAi@fSivXMlK{2Bo`AtC+8D> zBA2SpB$um&kt@|UkW1AzlWWy`lj}9+lN&V;lW%L4Bj459LB6kDko-`44f(OoQ{-ly zdF1E1!Q_{^lgO>Qf0AG84JWtjeNTR?-;>;_zlZ!;|1P=Ppboj$;4g(V+@}O2zNc6k z9a4fC7g5y4>l9m)!HT_Ub|s`)6(zJ;btSZU0VP8VRS9iTTFKZlvy!1@oD$lyg`%|z zQ^H!EP_)(|O6JzJm8`8NDcRdJQfQmEl^kttO3t=t6x#MXC3m~-N}hI`l)UY(DG?n) zmE0ZjE0GHRcSdn zlhS%}Go|h1<4XG}HI$B1o>e+eeM#v$?VQqWMt7yhjGIcYne&uBvyLnMX1%8jm>r}H zN>Y^}Ntu;lNqLoLlj4+-N$r%;bGs^I=1o_|&L6CdUvNU1xbU(vY0+tA;-VYMl*JLs z)Ws*17nTfBW-RTi%v$z_lC+|iGIwQ9W&Wzl%EHw#%Hq`%l%;Eel;vycDl6A4QC6+F zqO4grQd#@rFro%uBE)VZ*GMd}+5cz%mIRU_B#-?&=yfb)3UJ>8XC(tkKKl>A1(ElG z`w=)BIRM;E;8Z0$a6bVTtSG?!44hr*4BRikg(~%cy9Hc`vK6>rfy<~Y0PZ$$8I+%Z z`wciv`4YI_fy)$78Mr@y3lAs&+#TS;0wx3ZCvaH;1^{;#xXb}3f%^-%Yyta$y9ZoW zOEzK)Ccr&qAtYb00$g@WC*T5r%VDVtoCP>)*#cZ3aJek=feQjIr{yMaR^akjz5q@I zE_YxIa5mr~0`miB2QF`>tQ!#V($6}VzyJAumvT#2xy zz-0&S>9Fg-Jq28;u#bSFz?BTo4_pr5%7kYGE+=rM!_f!1fGZb{KFAGR+3@AS61bSGcYuomu2Qyzz~u)nHrrU>3IJC*JLExd zLEz%ELmmVd0xmA+7~l#6myojua7BQtk`r<$xF~SdazYLT7Xz+pt|Pz|2d+l0O~5@3 zT=m=;fhz%Atz5qWR}#3Ix&H*N6mWHNUj+^rRR^w3)JouL0M|Zh zDsVM{YnLDEs0Ccd{8&eA;5rm|3Aj4IbuO?FxVpe~D!3H5dcbupI1#w|z;!7EZ8f+7 zaNP<)TMcdq+%wU`fJ+3fM|4Nv8UfcmdLwX+f$J4L2e>A{^^E=yxTe7Mi9Q5eGvInh z-vO>UaQ&jM0M`Pzz7+}r*Aln^6*S;l0oT7m6X03{H>g5o;MxE;F!nRx+5$Hu_6^|L z0XH}<8o2hr4U3Bat^;sG<7NTZ5x8gLo&~NGaKq!i2Cg%3Bjer!t_yG@;<0^n1#Waa zwvT6k8x@bS>;~MJc#LIt;GT=W3|tT3#>T%7Tu}zj z4{#H!i~+7Ma1*LvJL(7AaKnL1O1ui(v%t+u z{1CViz|C!h^^OE?K_jep6mat!ods?*aEltf4%~CVEo`$FxG}&jX|oEr=YdfLq=%5V(oJt?KYSaFc*r*(oz{lYv{)@h)&vfLq-K z+w@f6)^)))Jq@_EU5)|w0&wfQyae2I;9l%<3%D7;ZR~O$xS7Chcn0G;3%JeCV0>o- zx2cB>xFq1VcK->uIlyh{u^YI#z-{ZX0=Rj=z0?E!G9S1dJ~jtS_vte9^AX@aUxt1@3fyPQG3KuT_vJE-`K!Qv zv3w42$AJ54`6%FC1Mb|4iNL)M+=UhWfO`YD^D8F+cO1B{SM~+&P2euBY609^z+GNd z1-Q3?yR^C$aPI(jb#+zXP5^ghHTJRZ0{6{o>|-6kU0Z{Geh;`CYtYXpfxAw~i^DCY zETsw2f`dN}K0}n?3veHnnJDld+>aGK6#Nw-yWo@%Yw#7orX-kzl8hu1{JHHgxG)PG zv%xVt94Q=gz%dsbbHgz&D;GxcktmWMep?WxQ-wfq5jYlwV{uXfZuRWJSK&JNyWPRp z;1~kD4SwuHNpSEtKzsx9sGdYoI;*{j4X}gSQSGF5R=dJaM<+K-ha0WKjo0C(>Tt7k zxcNHVQXOt}TF&0v-p8f0y-)BL@PW?`>M(V*I$oWs&Qj;AOV!o(-oX%!kbVjYsAGN8 z+FP+6v`$g1DF}NJCL_F#@G8O)gk1c*iD&QQ*#~&`A;Kwy(+D3Se2j1g;S;>>Q-re!pCR`-!WRf%BAi3`3gJA$ z1%!(TUt@_&2$vDAAY4VbhVTu-b%Yyu@&6FMMfeWkdxRelenhy5aL7`Yke~4EXM|r6 zZXx`Na2rMahG)Mc{DE)>;ZKCS2!A2m15gNDtSEREfM7ugM6e?SAy^Sq1RFvyLI^@A zLI#A42$@inh7gAT3`fX}kOd(tLN@$uc079ufg_w@7C`N+Xm(D2q@I0TV=tMyP;L5up-7 z3_@juScEu)c!Vkl2?$jYsv%TIsDV%up%y}IggOXy5$Yk-M`(c15Fru3QU(KxS`nsD zBQ&cq{Eo)LR*A(2<-vLQG|^_&p}^@umNG4HIR^(@N6r> zdW1~~n-MA^Y(Z#>FdbngLKB1;2(u8HA#_5Rim(iYE=SmH?M*B-EHT7V2kyHpmGCS9 zfV9Euq7ix`bU;W#n1IEm;MqKc`3Ut8CL#<$n2XRFVFkiugh>dq5&9uiL70Qk4WT{4 zLWJi~{CGTDg0K{!KEm?|gAo=Zv_e>kFb-iX!UBZ82=NGu5NaZ{MHqz82O$oj3qn_f zXApKGbVo==*nzMYVL!qFgo6k#qkg;atQJD4pdkR((ZsUavW8gJSzaW8pCX(^_zdCm zz%NMPmw0v#;VXpm2p148;%~pkvrBk(8PBdDTt&Es@D0Lsgc}I|!|T39_zvNFSP@hN z8-g7n7$F296r==Yz_W}9nGiIDFobY~%m`T!vf{-b6%i`oKVuLo<3D5ZEG{UX1XaPa1ca&x)ex#9)Ig|-Pz#|pLLG#<2=x%^BQ!v0 zh>(cT2%#}T6NIJ+%@CR+v_NQy&@co(R1VdL#5f=!?(~p+CX^gn01)s8!d(Ch zL9ifL5$p(|2$>MV5wZd(NAc__gq#Qw2n7I?*Ad=Ecn{$e!Y2q{AY4GWf-o218-)KM ze2;Jw;TMG42&k2US_K3ksQAxCcovM10YO8^jF1h1BIH8Iix7#xv|5g5g%OG<3tr zP!6F2LJUG2LIOf{0A&rH)k3I`&={cwLR*AR2;C5RBMd+o3ZQJjvylkTBTPh?g75;u zOoSwac?b&;mH;SQ@r-G@3eVOeY(&_Cunl1+!fu3p2>SsP#vQ_c9z{5Y@CL$L2qzFQ z6agO~oJKeUpzOu7vj`ZOfUgiPB3wqehHwMn2LudC00zZU*iwX8+Tw^f$1+#3EU+w8 zEK4j)70U`dd)6|7SSDMh5X%r8FUKP^#*16xS#L`pV(Db*Oh^hQ;dX?52-Oi9Ak;uu eh0qY82SPi9x(Ia;Y9sVQs05?v_lVp-ko2^;8K&gO$ z2#5#>il~SSB8z}fHW367Q4x{F1rZSu5l|5~ROEloJ#XHY)9L$V?Emv=de1%Ye$V~B zd(OLWyYI~R|NFqV6h$cuy`?G>6Wyary4?(%myhe9g27N z#ydxrwxGHt(bFFr86AqRP!(^-p^^BoI`b)?Iyv4E)2f5sxoRXg!yEAWwP>zdeM;`^ z+%~V;p@uxU39rZN*{rIWxmxwS+}Yvzn$(9YHIFxA4(h9`vp`?zvFI0=`ZlY6iK#zC z`rOJO*eN0VwO}WlLH$boGE-k^)mNGNHmm+LQ-8>+uQv5zeZQprHKxAOs$Xa7lj9)U zH<|iF*7nV&KJ2sb*T)U_tJ139X0~s$>d!RwhphSzQy=zQ?01{`N~?a4sc*CD`%V2J ztA5DThXWS-`%QhNRezSLZ?o!;nEFFjy{5_dk^T)@?E6f8rBxp?^=(%D1XF*=s?Rg^ z;gH4t6jNVm)#sb~HmiQ7sXt`Z&ocGlOpEW`TE zLl(VPGmeW$ST*f?eWt$Bst=j^HmiPusXt`Z=b8F2&ChaP^-eMMl~#Sesc*CDXPWv$ zR{bnfAGXZfUOE43)o@%#^!cCqmGeL8_4%Lla{ed1b^iC7^MAxT|9j2(KVqH#z2^KM zvCjWqbN-Jc=l`(Z^&i`I>{*PGaf3G?JN38R|*PQ<&*7@IS&i|3*{4e{} z=YQ{O&d9_lR|V_nPy2#5%uw z&G|iIo!`CY{2sB+?_P6$k67n-uQ|U*tn<5fmdVpPzkBDHdh7h|HRtz;b$<7n^LxZP zzkAL3J(8T?Wqj!KyNnNgzLt7@en-7^e)pR5d&D}wd#g>J*7@DL#?)Kqcds$OLwsb} z<0E02*S%+$_@HGz_O_b(`Bwc_Q(te@?=bZVtA3ZM4_fA9Z6lA(DD=SLzNz16}Kjuj59q?(Mwb5`SH>6JR z1{-su(elBC!Q4Z&V5d2_vooqyFYv2SSN*5Pr<6@?+ELoSzN&Edyr{OPUDK+gk*S^? z<++i4$Y({~p&B)#W^Ywt-I9E7rne?9xTZMj)q+`*A~jWAHC2Z<_ZO6QgZ=q_u)i%h zV-lDs_qIc~8!HCeW^IgYoKQXAn=v~WjTLB}e9a%Vc!_JD~RZWG{8fUD4cB5uH zRqUyo(0ia^M(M8g6ABK9Z58`#OBNkilwUQRJ3lvAADLXEP4q^J8`Zp)35TcjwUu=D zG#&0<+_s`Gair8=?WwNuo|2c9J4^Khe8qYFZABe*6BaEW*jdz5v$?BoVA_haVpE_! z@D5c=-fPg0^}sv0X?=XcOc6a53z%64yDcep#thuZJ7k)t)HETTy51i05Ea ze%Z+SX0kKWv=f`9+Y#H#M-tU%$$n-XYx^47KF8c1HSjx2%BlZ_X8*;>{#Q&}++GCH znVVRzkK?|LYIaNhBH&-StIyXpb;35eJ=kDw&q{8OPboaufbp|8IX+pFVyjCJ?LAUj zx8%&K#H##;o+aVs==V9M-vjaW;HL?VMOfA?-c}UH{w?-%rF|H;x><+UEDo>eApXTB z|8mK{XMJ;lzkk}w4jGT(WIWE&!n4b^fPcCZ6ILG(J4>Md*>jElRlkfo=>I@XNkbj_ zuPHfhb)jN8ZgVn57Hu!-XoNV=oo?EVpxv$I#jEBNOl{m&G&)?p2;*ySGQPUJ`*NGM zt=QF4eb$uzw&I>u`62_h_nF&c$?abv|nN`5sJ5T3bIX~)6 z^4=$LGm^NlHaW?wx}eSv`?JT~pM7$F@+TeWZL1jQ+1$HjaTfS%`y}IdkI8s0o!hsy zWN>HGqOD8v^P%5bOTU3+zYwqMdnRlvJp}%me})+kGF}!<5AUk2KHS}xUyjFR{V}%B z$vU%mH~Krd9gf>6=x?!e`TkXNWZYptb+Vl|)1R43`;j#x zH(CvT2~7$t^=TQQXr#Efx;i*L7*0ezT1JkyGPh|*N#EYQMN_rv>X3hWZgf`u@=|CI z{Vp4Y!En9i^<)%ed7G=F)!CDS;X|{co{>5@4iHroFpssbJG?CW%;LT_9N&61DEGer;@VfAo3*2S_s(si4)lS}>JpgOi~Bs0 zV4!YW`9Muc#>7~4Wl7_lk*Qfb)L`ct+8*^r_idO_IT{ChOQPO`@#?~P5Vr%Mk7VZ? z`r&$0A8X8l{-}LVyiL^gQ~TIri@wvM&$8%arapH=V?|FR*%^wTTGrD?c5;_m^qm%c zwnZN^^!3FX^27T4qh|KxXN)w!__X9@Ooa1OPv<$M(_mcUp84V3i<8GOj9cTnv$~gt zm+z_f)yEo5eV`@3jM_))dm42+v6`wxOFqtD`MVm|grXfY%J($FJPf#=PBm0)t;f8v z;_U6^J#apm+cT{U&XjG*`c$lYio<~=)5~C<7b6Y%;fN<(?4OJChp#f0)mYwDlbmEgP^tA_oAaRtBow$CZ3 z$ZAymvdqfWGRqs55444~EGjV$r}pnGDTO$cWz<_4X`E5ok?@I0s;6YQ-d8wnG2pU; zlh)#V8iP2X?HL)fqZys?JW;naoUi5T+v92|5}6U!{I#%M)rLB~;oPNw_ts9D6pL1t zf_;dK$}Tuwr>dT^k{HbAO$A^-oRKlmR<1$hmu8P+*ivx?MS42F;a$I-eIAT0|%I$TC zhuGr$6+-zdzn+$L1#7cmoN~hra|dRuDEDgc z*pT9RPTfW|)HAE0C+p0jQqUJy!*ONQeKB*KrsdZ}z4K|_@D)?3g*HZKl}8mWSlNhA+Gg!ZLBVV<4yI;62>{RcG3u3=V)0}Lc9j2^%Ru#c*0ad zzqxWA@D)?3g`&~?{C#!4`7ke4c167r8E>A+#Z&z|OCp}!Vn38xCdOMB{WyvIxK8@f z*IBKt-LO;j%W?+xFEZeK3jNCx`w#T&EbW8-Wr_VSZLI2UgZ^cyh4w{ul#kR+DeGCR zdiz_N3br)nmqk1g>GzWL`DIfhHOu$(Oc1RWeX*&}ApH#Bn_tphH$kY?+rshMDFw^3 zaGqMTZh6+3r|w%1^VDLP9}?XeTR|UaT%*lfn!jSy69L?es_sNHj5i)%r@=hBvvkxm zANL>T*QP~v+4V5KYf27eO|OFEPITdU7mv%VtkO=nPR8T1xIVOKc^`~VZa8C7e;BWG zMK1V%PhE3EU@6Q$c)V@^y9qj8hu1e324+XSJ+bKFp2ls^zi%TR$AgXe1zWRb!h99Z zko|aO6#MJ8l_la@C|5sDaD24M`uqXo0CAxms;||wj+oy&7@R#jx7q6rcIGFl)r?Jh ztGl*9oM-CCn;f^8K2K#emi9Diq2%#5b#6Yy$>Q9(+1rXoYt}62!Fe;BoX5iQ`cj*2 zufy@0Y_Fd$%k6dWH|!VgUon;7?`VFwGd^Xc4*cB{3qm{o`+LqTJ`3k_ED2ZH zmGB}CN>$<#Elq`ixrmE2z;zqU|1ds&yl!6;QenJt`!qO?eT{4Kwq~7)euEOm6Z}@# zxU+Pi9>#xhR>?5juhH?eQ%o(w;|aexfub^UN@3KWOFO|sin5A9<=dlA#JF50?hx?(%HrS8( z@;KWSD_K;rv=Qc^YOSJgT4mqfCIROKUr%Qg?#E^<-`}$a=9O*XeRW4XYvH=T1jea& z1J-@TRKoEb*$IC2!EpxujC#XrPADIbOFT~SxTNC+j!WrpI4-e_diCocJU_LmnRH!* z=QTJkYT$Zc=EzjM&i2d?)-BmyHh||>IF6F-591B-Fue-y7YiVc=)ABY%Q!FK{IAa14%a0|7Q*qZhH$+4 zw#wrz7MWhQCk}BMt1hSWNxhm?Kc(Qnl7hz(;!bIP+*^!O88Py^0 zM&o(Pvc4V5_r<3aR19n_8Ex|=qKmhc3~sNUw6$+%Rd+r3zj|BwFvKauPkBerEV0pE z2Y;@v>aII&q%Lb`$*zsQg1{0rFar07;1{^gm?f5HPtVm(qW$cQ!+BY^qt-~fljg$p z^X_E3h(1m*zf9}fR+OlL>xD=y*>4QPb;!)az9nr{iKusDF5Cxp#;Xte2Ex;|sk7la zGhDTA72Zcd9Kn6uW|#*?;JR*7tOo8cV1DR>=LT?Gsk7idgwCTdj@A102RwmRN|G

tnKC*kDYi+E*t2aJe*f21#cXZINoD2j~H}xyCRK-W_VI(Z88VZN@ zclPex-8BRwF@EQWX}gO}vB(hjl?-%uQ-giQrdX5|gTviL?a(^W1tU4!U7VBy-6cuUpXk;b zWDf66bdR*drtV_cL$g+7){5J^q2}u@N_57$Q7G00=m^Y!26~Z#w=Xf=32kKw_GDQC zJKZtV6&s0xMwg(CF2Po9A5^t{uvOcKjk}8nJLUfQyNh%w(=ymFut4{~PS+o`5+n*ifrQ)KKrfS4e$kzEL6+>YdAHM2WD(z&~RWI*n+rG|rJZUdH?MsFq1 zx4%0vG(3VVbV8`iH2TMySGpTY>1!yZqp{S-P9IFK^e^b8bFoCvs!B$8$zUfIFgjSk z&_JSFIUKYWcB3YNEANMkjRstv$n_`g^VaU5W;+M}Uh%?V zLrzTYKg1QdUDm**vJA>e1OpU?UCMOug-B0cql!+LrX9(ZM7cvG$~~dh`VN~FWG;>3 zDFSDMz;GP)s|zVoe47#mbO4F8OOn2XjDMJHy8zM$9v>c#!-(fMSkErWEx7g#94jOS zhGTbZc(ZEo&`7LD*W^$Ot16TzYVQ~tY?phB6>#*hoXP@Fkq19mma}$a zZDV^weG|;%wh$`=CR?pBSGKajJdJJW*aHjcR*X+`8Xi8aK!3}?5DZ4PaaQY-Yx`7X zYJ>gcrk?`=mZhKEk}W4YTFNsYw9Bks+u9yoy}G#_PgZ8FVFUChw>39M+uB#xp3%A% zb{sWzYoT$pWoH7QkxqeAilSHJ+@IajaR5XH|oyx;0wYzGg$iYS;y% zPSz%29XFM<*Eg-M-6~1w&7`7O?t_Hsb?nHjmpHbUwA;6rI<}X}?E&moKVw&Ks&81` z9$i}vfzS$p5YiP}>*2W0F)Hm%(boFSupLw_?PoN%;sCCRZfa;%m0TH1(VCjtmKHfS zaMX;^!N`u$!J$1ySIX#s$(VNKjBXjDTMm~?R(`cj(dvd;V902JBclbDj23t@T42g( zfh(g0wu~0|+V-_E+Opm`o~UzYU?=I^Z{9bxloUdv&yF zeS39%YYWW5q>yqp$!)E*?OWuIu25M_+l1(xth+G39CTnY~2(m%P2=z%e znl=#;AVD^oYwPMaG$kpuL{~Q(vb*}h{&q1iPo&GZ7;z=0&Y2a-7;nq ztSX$SYzjPzbFD4(k+T|VY2OkBP7{;OYNM8E&uEBR8nL83Ij;KbH%SLe9SyIwYpV-F zdQ)(lxr0fU<>?#kPScfS7xz)9ZxzAwKxz-rvQ~RqBZNK!XEpu zOGyRpA#|UtnpT6Pl*b3`4m3!P8`WqJ<<7%4!s$^iTU(->Owm{n*ob)9lpk5h+pk5h+p zxm9P*flot}teLRJXuI5uGe#t<^I7<~D6;ruWld>+Y$xSdFw{O|dyc%W>iAx?HvK>tkvR&(j)@TDx-rzYjVbqhOFrm2? zF8W&GsMjx*f=F9GhTxH$lxZm7!JDknNVL{B);6~{)YgIhS~wNu;io6`e5jBL7~{qB zEZ5KS;oFM&7ESVN6>1L`wUg#qH|v++IchsR8lz`9L!+PWZGX)>{J) zVOrqnm|w-)q>h1sk>Qb{*r2LhVeLD`^DFBmKA27P_gFPHU@P1Vr`~9#uS1grR|vIJ z?ZPh%;@LhGZpgi{!NFd*DJ_JZKHlB|c(>s4aw#j+|Xt+d?n<)S;j{s1Czf48K=`TPgXtD4>qQSz$0X z6zhw_lSmv0XaerqZgD3$Sf~fogGdZ^E!(`GqDQal+2Ev}_y~DiRZh1$Ngw^OCat6D zh#8@tqaF#Vht&_kSu+l|;zRLhXD8f*COUfKs zIv%94csTh4^*tEu45(kTgJG)<25Bs~0%msuTozEjZb!pr9Szc0bR`^ri0AWg)upd&Lmg~NH=VWT9V-Vf&|Yv@9wfF710GFBj3r4@W+GYC&xyW$4} z>bD$Lpi$Bah>R78vScuT6?}>qP#<#`fi_7aATmZE%q&Ja;1N?m{hq@Jv`HEPkud_1 zHW^2Dun%TPj0*U!6Q1|Sy8`M{4qMPPX$wTgmL)(t(N;kHiNgf6NSXkVF#&-m>BNQ) z^>?-#&&!9v)=wR_plQ+;h>R_W!|eD$2pV{5xw~CHUk#|gbXb8#Nh=^SRxog5og<0; z@pgE!6;NMs7=Z0b10XU6AR28U2K6L>4b&0{JSza}IsnD7J(NI<*#Ktv;U)$E@ni#- zp;p3%S_#CC4Pb^9Zen_X0I>ng*ePLSrv$>m1~4N9H!(ec&usuR97@=5C;|7%>5pE3 z;0*R(hY2$lurbpoI9Q$s$b@{~(jCw|4l`y%l&}#|;)n>WCmqa2M5!Ypu&x78980v+ z5fNBV0@#QsbwmW#lK?g%N*xh_^(26eh*C#HU_A+7Bcjw15m-+G*oY`~LQzs;psBd(zr0vbH3w8j~>)uRAK#u&IdH__Q1 zleY`)-LcVLcpDzj8XR`a;3#K zhxn2gT|h;?dqg8}b7pgw8IIVTAp$XHHBy8|;AYrn#0=4LHbl!I4(0g_2c@$NO~Bo; z&4d}W*qGq~k(o3B?^Mymh{J>##^r1nFN1hY?uxv-Mk9ypMzD<@z9^36u?&JS$pc>V zqKP986K3RMW5xu8pmpDunbCgYPcKJL%X>k&$OVEfpnbt%!*o8jW;%5^f4S*=6d8cS`O8h`qsRap&R=di zA4LY>aQ<@B`6w~~hx3=4&PR~}IGn%SbUumM=;Z2G^F z^?#+q|5#6YhxLD@!~a-M0zjO^JWK;GPp}+_#YLb9CZC*v%wfRMb_^&C49FY?d~L^o z!oYycVZhyX3@8i?$Q%YdZpVPaz<|tQ!0C1jC=3k990t5?$AH4XfXrdQ$#x7V3=GH| z2K;QtfWp9l%wfRQb_^&C3?B!@&Nx6t5`!|+PcgXK)0OBCcnTaH;uZtU2ShRWJR8>M z;p-e+rq>m3?+SP#PD7R`K?KLb870M*C_y=6C_V_QPfwaxZ(kBU-(H3FXC3edZ|uAX zfcH|1=2_Rdtw;?Qo<*L;nTpS|1bQ5STMBpp+5nd~&NO@Yz62Nl)kNW@F2{JyCKs6E z>c4Fc)&F+=pWZXRbhGbV@^zoiLwL$P%W!WXDswQuAKmyR*FMgiXCJ#UL#g57%v>iB zx?O1RHl-QiS?M_yNt^~_>9p0}JGcn_KwIRtO*I`=|^8B556q?gOdCk~Bi3VYo`rdnaIZQFZrDR-_@1%NFyOk3d) zAKKqB1S1$9f|Fcw1$M|#Lfai=d?(x&4(%U;uQuX3!;|N&8*@C-8)IXs^=ZdxveFnM zxj5WjldD~Ey$>zR2}n_{$jLyL!-?3+2fP8x0xZj4R~(0(@Wegc=z$)Xu!i>c#fJC7 z2Pl0pSgx-sRVhQo&T~xQWS}j)+>mRmeONwFD#SAi zmhJEz3M@xyFO*9-lk5E33*-A>I-O@*+rMJ|W>wj4*ZmLA_h>!BQcHdYK&&$6)x+Q@ zkJ6}rQ7Dg*^kt!Zhoqb20B#e?DNN}4fXLGp}b1cZ-w$(l71(Y*GT%kP<}_!AB6HcNpA_|_ayyM zC~uJTXQBLoEdNC)Z<6#^p}a-X--YrvN&gheA4&R`Q2s>He}wX9lKv}{cche|2<0y% zX+n9Iqzs|_l_Z}~{zg(jD1Rp@B$R(hE*U~7|0LZ6p}a>@j!^zZQl3!$P0}Qx{D-6| zLV2I0X+rrgN%=zgfTRMUDr9*kmlmIyUSQjt(YB$Ws?lcX}C3X+xyHH)MQp-v#FN~qbyYNb$fNIFfZxwQ3kq2`fP zE!2r5trqGelGX@yGD&NNI)$+7ggTXU4MLqpQj<`_B%LADe3F`lI-R6ep%##|S*SC} z%2uJyB;7Wlo?_v`eV72+<+bQ%Hifc!jjJTd1>1+AY*Mv~`bA=aLR? zj9_X6zVp=iaAao;2z3E%*(cP6Bn=C75lN#$T};vep)Mf_51?4Ek#vqwi%I&B zP)kTUPpG9NeORbvBwZ-fa*{qG)MX@nRH(~Ix>TqYBz;V%l_Y&!s8u9=LZ~Z9x=N@k zN&2KvPbKMEp`J$4r-izTq|XTTbdo+R)F?@xhrApleL<)-Bz;k+t4aE@P-{uLNvLZ` zx>=}oB;6v^wItmp)OwP>F4T1--6_=dBz;4u4J6$o)JBr-6>1Yn_X~9cdF4T&o87S388K#=}Dn(A?XJ~-Ad9^LTw}IX`yZ-=^3GJ zC+S(C?jY%TSn-D^47sS%Jd?>!x9GDjI%A}4)UbgX$+IG7$^1@>8qPjOm*%%&$@A1U zJf)qIqB$-zrp6~7qm|i$-3k1T?6`ZHY?N|Ov5|963prBI(D+b|xTkDp?!dxhSaW{X zmfEQN=mEcWbJZ-l%g3T9t>L$EF0A1vb1s_UmvpY0C9Scphfy|1j*U3m=Xlhiyn1qm zFtvlP^r+2T&I`#l`p0{zTH3$qqn7g+oAPqf`gw@^rp4}?mbh

b|KyRBm>w+`ZLh z?wc;BrVEZUuKH&!|Ch%4*t)Z$84l)F;Y8OWw|sJIve=P}O(oNgG8dO1)j zoKELkYBrwK$Gp}k>jG*P4?BjNgUtn8eCq?vPOMB>~@HIA0Mp%l&QUBhXSn}=g zJZ3-N@orAGO9lf!KFL=09pUD8`E{&$2IXB0=B!bD%tm@J$RmUgXRt(^%r1{P9*$Gk zG1;53cT8f(JIfg#yOWDD=B>k<@?-XBh9^5$7+=SeHP(@Oo75*K%eVAoik%*vpV+%^ zn&KMN`cXMPJVTH_g8r0b|#Wkq2X^Lx5XVVndpw6Z#?xCzrk9!X_wsDGksIiSx z+(V6RtdB1{hgo8QIH$OW8f!VlJ=EC7Dej@hHcoL5Wo?YN1u5>KoK0QEFIsgOzu44e z{9;p=@rzAe#xFK?8Nb*x#kh`1#X$4IOy-gV@+M%025P`fX_#1nk`+)JZc0nGNj;?{ zTj7~go+r5J9~Q<<11yTF(_hCb?6TY-Fa8poZMEJ0`OPHRVRn zOgKSpxC;tf9tvv4J@QMYr$}wc$Xbz_ll824tj^3+-LY7ksV<~HxoL^Xh(XLa=i3uy zP&@8SY~;_NCY;ADX*G^L5@xoIV{@`6;h=VT@RB{bdP+}eDwHCn7~VK}hlN6Kh`ggh zq4z@G145zqLf%8L2*CoDD+z?MOj(Z5!$PSb>4;D&Njg_3RV1A+locdhAe5CPT_luK zNrGhur;&7tP*#z2nNUtA>2je&NxDKP)g)ahlp2z*7RqXpt`SNtNuLtR8j`LPN*zhp z3uP@ypA$+wNjC^(9Z5F|Wj#q>5=sL}UlB?pNnaI86G>ka$_A2d70MYT-7b`kB;6sD zW|Hm_N()JM3#FB$qe9t4(tSeNOwt2F*+SAcg|d~TZwaN1q(_9Zjig6~vYn*w2xSLJ z-xbPElD;RDGfDcsP})iQp-^^_^dq6fNcyo*I!OA7P&!F^PAFX@y&#l0Nk0=xH%Y$` zN)JiD6v}RrekGIyNxv4#9+G||l)WVVRw%tB{Z1%-B>i3}{UrTCC<7$DC6qyu{wS1v zB>h<^LnQr0D8nTERVX7Q{aq-dB>ht;`$_tjP!5pvAE6v1>AylbBqg6Bl(R_E?4c{y zUJ2!Fnf=GlX&}Nhb;AGLj-f`4~y32<38;W((!xB+V7d6(r3U$|p!# zD3mKnS}c^SNLnhCt4S&r$|p%G70NXvl?&xsl9mhQQzTUi<%MXK3px zpH;_~(lrNA3D~E0*X}wUsNK&IvzC_Xnp?sO7jY9bfNi9OT ziKIpAZ{X)5iq(Pw^C22?~_mVUsl>129FO>U9ItXbu zEE8rkEL9uog7Gc#4#CnV-`TMAX=S26F#>C9bL^|vU=5J(9N&?UGRFsrYbKhRSz32P z4(k|v)av)07gFZq)(J8vclT(2VSoGpuCJOs&$fs9Z;C@^rR20PXKq+qT}W%AbCUYz zcu!OOAS504eFT^r&68?O|Ks~;(08%#5}5YAXX8Fx2Go=AWO8x8?{X_Vsh037g1(RY zU~SlJSm$JPkZfUOAlK8G!%*e>t_lI-YFG`vN%*e8PQ$)yVVPI5Q{%_chX+Q7I^&7{ z?t!H(Qfx@{!zg_^p!jiRnI++ko=2!2S6aa+Umx;a=ld)yN>7?RMyhzf@AI&R%OHJ> zR&p=D5cJ*PyAj-rU3J15&1IG_I0mrBmY818mE`-9@5>>u^%Yg=H5X&9hV`f`3?la0 zvB#qxhQ>tMyR!s`GzaOVd|wTLr*DS!rO9!@u<`qD0goFICo@+U!X&eT!r^x7Mw!kU zH?E|OcUm{f9M`z6)G5!*&gri~k`Y2#xMHO7A8s>@{ZVm!pA9cv2l`@Rb?1*;K#Pxv5j%tG_XP3Bap zhgA8UG5qy`R{8OE?ZM8t{4oN*@B5Id%k;9-Lo!%@7*aBPkVGa3w?j+i+i<_{X$Z7J zoJU|u=meTaBzV+k68*6-!FVG;xqsmU?wEPgf1%mzF)zTQTRArMl#G{a0Hlxkqqx&`(6q8UiSS) zs5>EB85sI4xWsHm8Q%Q9-@zVIx-_{|-`QY=H6@sy70%k5t`ffA``!qtANT!1XBHbB zhLzR0>eRGoOt342?=9ckA>R*tf3zF}c;(>t{n?_yf$;nO0xl`+9O#7~N5I9U8y(|j z9IWF#Ji#@8_5Cg6d)N1OpEzl9VIVXGqF}wWprTz;FIZFr-@&L-BeD zLkuu8P*A%%F4HFzt`Pny{;4pb`=`OU8-tRZ|8a1kjk?dDA5?Pv({UEc2!S*MzBWpR zm(`x%e^SVQGEP!sjp1xX#%2YTDgIMnu~N!&j{GGIIOELl&qjiC;Eb~c=6lPY7`}u2 z<3l68OLc^Qo_~HwDex~)m8GWJ`Nrn3(A|@|+rKCT?BLR^ga#5W-O5RVsi;j(MgCHu zzCZ&GAHZeNry2ThTKN6&RZzCRl3CZu5v&aQEBsZMAgqsm_yki4&as{bQyeJ%mHtyh zfQJhc7bjXgvH1O`!v{b~AK9lazrPwkgBfTq?1;hF9%q_^BnQC=17pa>oXTCEx@b)O ztNpbh->rUF4EiFC+FF>Mp!?DO*w7&)(GS;wXP7L~?w4*S{OkPdv0wO>Ozv!~Z&3J~ zh|31p;QKpV6(vXLKw@NfP47VG-a@~>8KT=51apIQV{6dg;@>3HU(=pIL}n#TNVwnM zCVUt8F2rMSd$!NNBgcETM^O|%{s)VC^C((c;zNm8Z{jR@<>-g+>SmcUo}P!YA=cN? z6>E%-#JV9h*TB#rzrPd4E!K<47?V0xxyTwqIusi0cK+;(H4mnK!DX3cZ9the^Egwu z4lt8t`s4oYkiW~{13L}h`OG`UDNR2z){OQ;y0L-&A{f-&{ylk$>W2?|%FGy$gSlc% zYwMXIc@_Z!eIf8lzffNzuM7&`ZRCO>U^AQtzSt|&-_yqZ!gmL4JO~>PLKrR`I@1_P-2|G-y)03C@^sP{xMw=n?*# z>EQXA?JF61kzts(*W^KG&Zl%e0=~I51RdT6&sC_Kufy{alJ0~jEF^sco}7?$4?L$K z>0WqpLel;4G=-!G;iQHXDG%#L%SWsKt106l|F>|;co-fbQJ3F_M@S?+1`n7>dK@0* zkn{vRej@2fcqB#A58z=ENlyt)qfveu9yO8f8KL<}_bfb!BHi->et8V9w){VZ$3Ud} zIXoI7=|y;CM$$|0Xo#ej;Smx^ufSt8l3s3wk2p3kJLaxM`kD=MFmw00;4Mi`^#SnZZB^E+vycuSMUG`U4X%C9Un+ z#qwiY5||TI76o$Q$sg^(M4@HLFbzz$IbV9qApgHQ5}N{WUHVR7n$U8n(|jC2n2tgw zO%a$OuZsdR;S#s7FVR0bT-Y-dkHIHqh49=2(hGyLr8CX5tA5t7ocoOHE*cE|8(R@L zIS>iK0L&8FL}E}Vv?(Ob5n7m}c|t27X@SsAB59G(W|6c+XtPNw652eHN`$tMq%xr` zA!(V=ib<*vS{X@ILR(JKN_msTIT#{vjJht~-#QfAA0HaV5C=!@4xAQ(2v`MYhB1xn z@n`Dr=~W;qzdt`_152zYXZAo%2;y?J(5i^$8ljy^(psUNPSQG|)sWPH?rVTY&=gE? zB+%IgkDUMQ)gK*;fsKJ?m^}jU7_*1EgvXfuB*A0M0g~V`<_JmK;b}HWJB7A}IJaAF zD{&g|2Vz27Pun_$b_Pjtp=}}wE@PYL)z|;;js^V!`k_D~6zB--5!yEDs8?w1B*8<_ zgG3uXQO4VQc@rc8LvYUmdq0f-!Nb!-)CL}ft|#drJc=jjEO_Zc(qW->k<}y69h?NU z1Xa%yT0co27TPdL7YgklNgol~IV62lXy=o3sn9MY>0?5>n52&j?NX9HA+*a$x=LuD zAnB9vXr5?Xt15G?7q!PeV)6M%;M1W%cHlaAKGI$+0@thUScRvDT6>8Ie4eUsQItcG z-H2bI*W%9s`UCJha5B@6dM7bEAKL>7tHyp(7W_WQx(k<|=gCw}R zxr8LRy1A4jxVpKFB)Gb%B?+!>_K*ZuH>*j4tD8oW9)x#jBs~Q0yhwrzkzSJELS!#V zj|uH+8Z)>M`83{;YXP_rxsIeKg?24%{ejTvAw&Q!L_SNpr-gO{NzcH$9kTZU1(pWt#81q4${3Tv|C8`w$N@T=}$ttlcaZqb~j1y z3hiE!{wA~sNcxA+9wO;Ip*=#j$ghbrb1U!$U(Dm4TsV5nLnhE`oJJ`xQa!EmJLA6cze|>)~eCm`PEE zkriwV1{;D+@ZySWo&m24Nos~yfF!l5%30=(r2Xo|e#>I7rG4c>Cr1B6!ObBcxkdO_ z%WIfmo6ugNy@Zp@*U8cFuIv_)+J*L8+6s>Wj9;W}ls`NN!vOdEx#lpCR*pnG80-pm z3*Y0u1k3=z-PyiiBFC4YC%ZJ;+3vD~y?II~2){ltlKiON+-!7^_87zu4#K02zIZ?U z*y_^i*l?V71TL;03J!#T@u1LNr`?5jb$5`*;9cFFv={sFIsMr}`vWyOBs{AW4pZ~_#N8xxbOsN z(-Uwu0lds=FM?0f=I;xSpv^yo7qrynkAx?ibUzlJJd%C_uX$|-4Ks*Q4FnBSe^Ctt4U>9N4Fs(iI;I*3S}}A@H4wC7=$vXG zXvNSy)j-gSfdJJ&(29Wq)sPG3=a)4UG)%rvHROVs^{IwjFl|27kPGI-ry6p>g!fcK zE||@pYRCl>(^Cz(V19Y3As5UePc`I%nc-y(1r0O6Qw_ObdUvWJ7tGyGHROVc*{OzH zFzY(ikPD_%ry6p>eCbp}E|>wGYRCoCn^O(BVD55RLqWsDFpW3WkPGJMrW$g=1l&|ZE|@`E)=r}13nsFr8gjv8 z)Ko(*m{Xc+$OW@QQw_ObnrEsZ7tGg8HROV+m#KzaFpDzPkPD_mmNgVK%xp|Gpm@1fR$OZENQw_Obl3%JJ7tG*GHROWnd1Va+4Rh~O z4Y^U@3udmR8gjw3v{XYbm~)nD$ORM1QVqFawpdw1 zLBmwAR6{P9=ap*61(Uc^4Y^>3R;nQvOutGs>PpTmoOyWs3=m;7)traDTVVw{fky5xrwvR;?`a7xzeAZ2gYAKB>7nf#%rr84>O zPu}wTL(kZh@*>tBdKND33cKRN`pcs@y&{5D2FtDYvHGi-S?7G1=Zgt%Zbf@a)RUc+3kYwKMedQ0Ql&Uu642FTzD~ zuY6x-p)Nu%gvv=mRM{=~`)>99y}$)uvckob)Bt@YqG(En0*8tk zQSxwwFuYOB!}YSC+8USC+8QSC+8MSC+8ISC+8ESC+8ASC+86SC+82 zSC+7}SC+7_SC+7>SC+7-SC+7(SC+7#SC+7xSC+7tSC+7pSC*Ug5|;7G`c}P!CA_i@ z3wUJ-%Xei7i+5!SOLt`n3wLD+%XVc6i*{uROLk@1p_i~+SJq*%t}J1xt}J1pt}J1h zt}J1Zt}J1Rt}J1Jt}J1Bt}J13t}J0`t}J0;t}J0$t}J0ut}J0mt}J0et}J0Wt}J0O zt}J0Gt}OTKB`m|0^@Dl|OK@c!7U0Sf*4oMvR@%xE*4fGuR@urD*4WAtR@ln&e7z2< zYh@kQ*2)rA*2)sr)hbF(9zHOWby!_1OITYgOITSeOITMcOITGaOITAYOIT4WOIS}U zOIS@SOIS-QOIS%OOISxMOISrK%WL!!R?y1&r}Pq5&&oQios}i5oRuZ4o0TQ3nw2H2 znU%cZ5s$3H%2`>$x>;G?sF$#2R@Py~tSn)@tSn)*tSn)ztSn)rtSn)jtSn)btSn)T ztSn)LtSn)DtSn)5tSn(|tSn(=tSn*St1MyJt1MyBt1My3t1OS|B`kN9_51V^mb%J1 z|J6S>= zJ6V2TFMptykikyspVI4)y-wC4cbzODbDb=o(aWFc<+FPEoL)Y!myoSawufAGvV=@^ zvi!Ne{TF)qqF(+|FCjmjYzNutWC^+HWC@w+WC?lcWC>a6WC=OxWCg8Yc@^5+x z+2&+>$TcTR$TTO*_w@2#diig?{EuEjemU6=vdhU5a?4?fndM{&dF5mYS>%dE{gn(#uS}6ndGZmykV9wu9VpvV_cWvdq=>d3rff zFDL2cWW9uJak4$+ijyT|ij!qnFZ1jxMEe&La#vU z!*HF1>#Y_)pzc+ahJ_1`s{M_NzN>f_-Eo&XvS6aRf8kN}tfT6=N7V}&7cN+IRK0l1 zqB{WK3H=8C&s5a+l{~-N;a5K%dKDBtxav}rrJ>)#|0jcGuQE^lsCo%#GnHBDrRrrc z$3kz)N7RogO6WC^y(*jtbAS{q4RuTE%JGmYvXQ#VAa!*rQm{(ZEvakAL#o(D>Qe@( zPp2XU@2cFAx_&&QN^GP)YmoX}DpIg^*Da|pjE7XIjns_>sV}A?1YJ%Z!OL5>q#hm*sY)BEM+{QmPDKh9;=3jF zo$-*WvXOe+Aobl;q~MzXx1^pN52+P4Qr|a7{U8-7c$4my)Q`qPYNd_T(*~&@ry})? zds5Giht#PyQqLKro=-&zz9w)xQa>9HsUtR0KQ~DIA{8lkf$x^oOX-vPl|ky|RHT0G zp44x~L+V`HNWE&1`fVywubp60=h;a8&LH)ADpIiA-L3n^HB#r>NWEc@`a>#GZ=PUM z7uZO>WsrJ16{$a-U{V*_Nd3tm_2*Qi-Z{afF0zsOi$Ut$RHR@*#0ib5i*2O-W{~=O zDpIiS;)Ig=sEyP=4N~u=A_WUQPAI8MY^45ekor$5Qm~xFEvXO2GkIQSC8a4zQkt5A z6n-M%l9ZM)9#WsOk@6a(e5pvmHyS6D)TeEv0tTsIDpK%~$O$ENosCqcK}w_|1)l`C zB&Ck)ZsvjJWn2Hpv`EyHZTqAXpZKUQHq~@j~1)l`D zB{i;*vXh!`kXn$6)I#^9#x+u3wT;vwgVf?wq?WiRHLj7elUiz!DoRDF*gdIn%~Lnq zMykXhRho)anR`;>a-W@4xj|}KDpJeclNy)%?4&9TQkAJlRh?i`x7ggb!XULW6{%BC zFsWN@q)szPtx84e^b<_#HXEs^L8>|xDfqDPgifBf+eoc8NY$ny1z!%jB&Dq#&)v*- zZKUcAQtMKYTJN4zWBR0;3{o3Xk%F%$-Hudq`lMP6Qmv^-!H1k~No^SqsV8ji+iH+% zOGRp%dr~{nC$-Zcb!IA3@cpUVk&2~Hs>2}FnTiyAzUr1#_jpLXY;#|aL27p@Qt%P2 zTT*+|C)I0^>Ptnc-#w|p^hxbANDZYT1)mnX9jVduN$odC9Y{qAzH)X;>a6rhoo$dh zoQl*r?n!-UJfwbY3-oghQs<>21>b(V9jS30eRfhGHb`BNiqwVfNsa61vy-~WAoY<{ zq~MEqw<9&Kqt8ytSgNF5l8V%&?n#a7=(Cf$%owSUr6P5?ds5>%`d+aG`o|4YSEM5K z3HPMNb@bUuU1^ZIDix`#U6UHugIHCwjnpR%QrDy+b*+0+k8>!D2BXxbMk@~EAQsWvaJE_kZq&}aD)D5mlX*Z7JG^2WLBlSgt)R$6` z`m$?M>UiE_sbL$buNb6mN<|9tR=AC+agmx~BXzSu>T9V;-Qu3qxMFISjnu6MsoPSK zy4^LY@x_##)YlDCccdb9r)yH`xJK#}+eqDIkora{Qg@$VQgdyj?lDLmO-1Tn*QCbh zK0B%V3{v-}BK3f4QsZ;qJljY;Xps75DpC)fU{dpKq`qa4dN>uSM@}%QB{ovuHb_01 ziWDT|aXER8FQ)9IzGIMjJQXR(4djy4_+rZTIf3?sLF#*{NI{yS6H3bVIe})Z^VCwU z^TdovCzO=!a{}!tW2AnRYNQ~Yky}#ZS&y!^+5-K@2B~LKk%G)hZb^-2J-WKpM(SCE z)N`pwL24(rq{g!%R^4VJ^@2g_r>RImMk%+X#`7_cy30n&SP`qGS`mvWt=y6t&&ND! zhmF)PjgfjO)kr}CEw`k`^D&RwWh3>nLF(73NI||Ux1`4NF^{_2M(Q^PsaI2xf;3xh zNsZ@Y9(9k6)N2N*-=!i2$*|m#8qdc(>Y$C(?+sFKq#_0Bw_K9a-Wtz+pPkg(2B|-$ zA_dvJ+>&}{JfzODjg+w>R!g-a7E^?sP*R6&q>L4@TB;SXn2GF!k~-H$>Ys-D-b>}a zf1O}b=i5mA+aUFyRHWWN!K7@fthN6dq&`SN3NyEzQ1@MI9Vw5RB<0akk%IJaCzOlnJaAWn`n@ll!_E&Ky*uLJRcpZSK3HTF-T2KMG6ux zx+OK9j}FzVZKT2msr*!=Am5`)Ql1&(ao;sIQZo%wC#52FvU^gq#zV^Xjf2Nn5$j2{ zA{Mh?x*REWJfCN(w$BMX#)?=^iWRY{XP#%iPO26XEy!QaSulOkeV(P5oVYM{;mMUJ9+N<5`{4@;pTHuWyJ&r`wM7u104 zQ#Kt>85n^av$_!|W%dW(f`#{aR`FJ*Pz!^l&x6^wR6SD!RFD@Wu;onFq+t?r=W-_N z(lEK!Q?Hve{j(7Kvw^pX*)r_@!Tew3AItz|_-7sXXT2PUGmg>2CbvCcrZDOObA=f_ zY=j<~We=PAVQJ$#80$RQLDG3(7M!>37^KhSZH^rl84z-)Ffo}y8bZUfUG~uK*#+rI zUV$bXA^(UM%HV>NW`r~D^X%sPSO7^-ppg-DK~D#yu)%=|dOG1CLB|9=n7%AS5A2xS zr!0@B1A6U*zb@Hx!m~$r`59mmjRqDhT(tN;&%RV02aJvb9?W%y9S1y^?F@6J1U!9^ zrNxu%a4&S$3x9pG!y(>3qkMN`{ZoM5CH+$%P44#`&_{2FK6)YC2OPc+@n*~97>A6# z2zfAr8tz5NgB{>rgglr{4F@vhIUA(pKpvEx=VkyT2O8%kQ`KkL&!v2C$CyEthdh^olQ5pmY4~o> z<+p-j>@;sP0;BkG&lNhj2Kb;;au(d}x$+6czu;caH7Ft(%H{*NQ<-DV8)Ps?_pa)> z*7GUG;B{p1vtaPL|D(arc|NZPoaF>@kLS`m+5H>iXUHC8q;K=wpvSUIDWrP7;JH!v zw`qF%J)Xx?hCa)$C43;v2b@ON17SKWah_uS0H&@Jx3@I#pl_%?Uo z@3G*oy8}PTg70(({yq!-hCA>NSnxgWz>syH8L)fZfuCY~zTX}AM{Lgzx&uGW_WY1L z@Q+#W!|uS(u;6dI1OJ2tKjsenEDL_z9r!sG{DeF3^DOvDci{v`|ksXOpXEcoZ{z`tU_FS-N2%z|HX2mUn+ ze%T%P6&C!8JMeGV2z%8X_*EACnmh1sS@7%bz^}33H{5}L$AaH<2Y#IezwHkEdlvjB zci=Zz@H_6ne_(^;U3cI&S@7T7f!|`m|8NI>n+3n;4*W+J{BL*QKe6EV-GTqif@1F#MbbbB~d6k~^@< z_8f5s)>!ZOg9XoZ2lley`R>3z7QE0M*w2C&y8{PU@KSf+APX*b2M)2| zQg`4?7F_NQELiYzci=1*T$y42db6D^yci>!>cGMj>j|JDb15aeZ zweG-^Sa6*?@MIQT?+!eL9qsk*z*E_t8{L7YvEU8vz+o1=(H%IS1-G~ZPiMiK+<^;N z@D_LA8LX??+<|AZJ#TjhK8XeIbO%0}1-H8cM_6#o9e5TyV4d#3r?B9-J8&UOyT=`P zHVaO;1J7Y;?{x>B%l6#o4m>ZT-+0ywi5%gjg?GW-8H2a6Z!a8gXVe93&qMBdUdVz+ z+<_Od;Qj8vi&^kNci<%~_$+ter7ZZcJ8%&TKH?5s%!1E#2QFd3=eq-!vfvBcfy-F% zMee}mEcjw~;AJfM5_jO`Ech~a;0hM(?uDsZ$%3zN*K-vMzS13d1q;5~9e5=RzQ!H+ zR2KXxci_`l@OAFMt61>$?!c$B;Lo`OM_KRfopyp{#u?hahfg70t#UdMv(atB_|g70<*ZeYPj-GLif@O|#U zO)U5Uci;`|b=WuEfzM!j{+2uNMi%^tJ8&}#e$*Yfg#~}d9k`VRf7cy&6AS*HJMd-} z{C#)eEiCwl?!a4F@Q>Vq+gR|A-GR5U;GehyZ)d^JxdZQD!7sQ1XZ)03$MmJ~uVc#i z8E^6{X_)*uZ}O{YnEXY?i`)XPTil!cF5H}1er8V1_$ANsHuol-Yr-;K;!S=%4U@m( zP2QP?$(MPP-$=vcuX&UAq+#+E-sAVWH#x@Rzu{Tl@80AXkH5;Ze9*ngF)V+}vwXSU-;Z6QD4U>Q2P5wL$ zlkf5-UrfW~UwM-+rD5`Kyvdi-F!^`h&D^7S-K z{);#HMj9sn&6|8P4U_-jO}?Fm$@h7ae@esTe|eMdq+#*{KGffJZ_+shdllZ~-_kIt z@+SY0hDnV#`Cb|(J-o?(r(rUKH~D@VCcV7L57IE{V@+nLX_)l$COv7G4DcqsX_yT1 zCjDuc4Dlv|X_(C9O=hNHQm`{oMwWY%&eNGUi)Web-sG5>Xaa9CHw}~7yvd1an9Si# zPENyQE^l&b8Yc62li@T>PUKBaPs8LS-sFrlOit!Q{UrA$$AtP6o@KvOEow5#HqTG)&IoO;)C1@)X|WiZo0X@{`-C?oE!F+-CD8SEXTc4!`43_a?{8 zmvecOHEEcf$D6E8!{mJ4WL+927w{(Q(=fS^_xO7ECdUNPBA#WVdy`{4zL+<;Aq|sD zc#|8`Fu9aB*^-9IBHrYtG)xxrCby(vvV;$!HuomS1W_r^a=UwzV}huRH@PznljXe0 z_B2c`<4wlWFu9y}Pp5m6W8720n~bMnvXbBN9``24c)W@?nMlLr3Vz4;x;HsyELZX@ z``nuxv*V|FPcz=)Q>HTCf|Ksa7<6qCvoYD;;(J%|EQeg1#N20glc)10N767ENaxsf+{ zO&TVfd6S-eek!CB1FD z$ut&AdbjZ=(^xF&-Oii*S{h@ygEx6=8YXx0CT~x}34faoEd%tG6%ck^KXUyEZ95dBka>yF#Bb% zcg#oFt5`7mWv_S4N7$#c;AP&knSDOTxzAA+T)~3Jq?WE`!IdodJZH}}EVzmVkI5&! zngy?5!52Dvu4Tb1S@1`k;597xR2DoYWA$1Vd>RWLlYqLO1+QYkA9K=P$AV91!5?>m z8(46Z1%JW`Ze+pLEchxXxQPYVu;5QR!Dq1G)hzg0CwLDRRp4YPA&pW}JS#UiI{(=*{g$1u;!C!QO+gR{=7W`!=n0}GbIbaPe z_$DWK2itQa3%=P2-pPWSSnw@Qa61d$z=Cgcg6Rhzo%?(S3;wzj9AkUl$b#>5f;(Ao zGYkHP6HLFp=%n4kg70yH<805ZEcjk0xQ7LAV!`)2!Swr#PTHGU@Pkfpg6(+=3x3E6 z-phiwvfziE;9eHo#)7}?1oyGvZ7ldPC%B&lZ)d@eJHZ1icn1r9!U?9|VsyG{CkuYk z3Es!{d?pM2ffGE$g4+O3*N{DBkvBnv*ofqkkI z{1gj5iv@d};2*Kzvwaz3pBeakPVh4<_#C$9fD`;I3qHbvLr(B>Ecin#SUADYv*2@C z@B}CL1r~fBJ776Z@XuKA`E1X5PVg^S@P}FOBq#Vq7JLB;_FU-%f53vT zW5K66z^cN6Kf}^K-3gw^g0E-6)lTqa7W`QjyxIw#%7Q<~g4Z~~r7ZXc7QEI8UdDpI zz=GGg1K-Gk8=T;kY|me0!A(x^=`8q5Ecgs3n17G)Wft7*1oN*VzQTfAonZcL!c8oA zvlGm}P53Gc-s%MNF9dF8!P}f*{)NETSnv)fxQTVuE$s2^{J~k3f2Myc+w(4G&&_Pl zx3S<3CwMapzMTbkIl*VL;IFg$-0cK+v*0_}o}Is*tMbnP@AM^@EB(FBp8MIJzrpt0 z=LGZ5!|rCm15PmiNb4RJyw3^V&(c21f`^@8{;AZxEO^ujKEn2V9}7O<1oO{H?q|V= zoZwH5?Kvl^6#32?^__c<=gNhT-K6*yKKAgPkXt=NnW_{(Tl%@$EXDI5WvXA%R{MSDAM2NJPu739 zHqY~!V|EEYUW;AM$1Xp7kLSAoO_w=g-vz$p?+tHJ0x~!J;=6p8E;#D@*j>IWJx6_? zJnH+@gTCw9Ci*^;tDKutdav(uclo|})OXWS-`5`T-Rd05b1i;Y?7PTQ48NvKxea|6 z<>Wwrw;ij$uQUCfms50{{;bag+5YZ0R)2Rf{hfc@{;ZD?+5Wz9tp4s{`n%w`{aK$p zvi%)BR)6;~{atw6{;UTf+u!}i>hD3Ozl)CBpY{1B+ut{j)!(<6{w_Xlf7VB$Y<~|Q ztG{nE{eASf{aK%)vi&`Jtp2{k^moZ|`?EfHW&3;FIQ5+@=QQ~B_6L1WwB75IZ-Z3x zx3yLH%?RH)`h=towJOt;Hx=c^8yC>wf3NRH3-0qh2Y)XtfQjiOoS1%o)c4XOzL)Ru zj==nJxM}fG->dieUR&&W$oKkD-do6~1VP7gkMLBt64Ee);Y3Sc!zr|1>93VrtvW$vzrj9Wb3#z;Ls{Xl#ZZ1Y zKb4^>CXS|ur%OZG;prAb1>u5JhE_0!W`t)*L%HD@7DF?`GgFPx%AA5RgMCu?Bxz`3 z_#}&=lfx&cVsxq_Aaj;1IO?Cg>mKj^1vyJ~@!*0SagX;bn1rr8`v2Oy4)`dFw>_In zZtp^XO=ym^kkCOo2?P>C?;%v_gb+F+Riuarfj?40ks2WMCPi985fBkkI6*~Gv4Kke zY*?_M^1bim?lN=Po!clV<$juHmnS>#ylu+P&Tdq?OD&S27R%m`)Yn3@R8mX8JS9Eg z8F!hIP#_>fEt8>E$WSX~FWo?UG+XIsh0{PMlg-xWTg)1+VZQf8=5xAvNsGEeH1dEHByVLH>0 zH@uV?u2bfOy9{<6R!IOx@Y76Z+jR(~q48If2pFj|N}Tc(P{lt%B4U(QEL#S@?G~XQ z#iw+l_^y{SV|2>A=cUZkI%Up!DKl25%!gjejMK^Fb6(1f*U7L?yp)-squXb=cn4vw z!ET>R&=PDW9zjcRGx0DQ=AP1T-KExXuJCCSwJ?ZXhFb54S|4cVN+15SVTRh22@I#< zOki^w&Iv3@OBx7_H5S-fs5!>?!uTxxxchpwEn2xt?ZosdMN2WgI@3~|UZrVirkC3F zh}yOM0d)u=8S0a8tIw@z=H=40ZF!fxGPDenH=LH?L^56#Jyt`;QT8xRrHd(Xk}WN)%rZG%+*?jRuPKM&MJDvU9>8#%8JgRRk@he3t2_4xr8bKq3qARnCegj3Sk*w$j8p#!{ zMQgF5R&f~!5^Pgek_MAmTBLE7Zpl!$vs=yYGAmPeWZheWpk2J6*;-8#f^Ikk?GXfJ z@PblI3HlN0-^V zL2&X?(<&^@rosm<6{2Z0Q{f{T&8ZMWV~kV~0+DK3h16^+Y=UO|vAYc}=NGxzV7Lo@ z|0(=@&Nqt{S_xyf!Tu~y%nuy)#+HhTB{^cJ$rS=hT1I5N30?pAFbA!=BT1JhF3#HZjZWiWwmC5 z04nCgm6UfVJ!vN#BL)5jIV!N9$oHV=GAO zah*&ojm_+2;Q84NJ}7G?6L%Xo!1mdM=+1e3K|Z9{jc+{_)X zG@caMV2$2&cY#;N8OpR3$*>iN{B$NvhAkXoo8>>D8(*gd8p?6{uOV&7tSv{#&QzF_h@^Ut`*sNi0Jfa}t}-CT@v>|JG@x3?+*GTc?E^UMc!-ofc($rPF`T zev0`oAj^NkJa4^s|23senYHC;Q_k9Ew3*vl!GG($`>#1|&Lmc#%{hrJXbZPQ!GG&b z`)|Ez|E)LSKW9I}{AbPbpU{3cc=umR+LBrO7;VW}+lsbwTPyf)gLnV6rmdO8%Ct2n zF^zdc!>p}J+i=##(|EVFg8w#p_g`Du zmPxEm+j0`y(ROZ$g8w#}_TNU+{@ZB6e|-MIVB#7-g!a41yZ_qL_RQLvv^{5S2in1H zt>C{+-u>5+c4QJGX-7_CC)&v^QSjd;)Bf9J+JBo&_>UhyG%@ z^^$u6 zCC;DJgF^qi#ryoJ2kpW9)0Fn${L_>6)c4O8t)!vV;%L1^I@99)vqdt4lFoM2gFiTo1X1tJO1AmH3 zUOP486$Yn2jXJAcrv16gj6a<{2Jg>Zrv16gv_E&5@u#dk@5t7kUo~vc!cexybbH=o zrakNA-yY3ud){NZJ?}Bmo;&kF+oR>AJ@3(S(w+r>rkl>c^uL*Z>8A59-E{t?o5(-G zpXoVj&*?d8&w@WQO#3s#j6Ze8n+((b%rNcG3^V?ewdXzA+Ve5P_AE@q_nB_b`^>aw zo&Im1>Gr(ObbH=sqCI!z+w(rHvEhh|7W}#2bpGxCoB6ljbpGu( zoqzjHWnuBO#AbIX@4Ft<4;+8-sjPt zjT$pP*V1Wo2W74L=gj$jSC4rniqdh}Z?KyLo@Uy*L)Yf-dPax; zaNpt_m2}c-Yu7psK2*Hrl+}}$h5vBNI%ZndpD-bRlc@%)bbV=GcDtKE`*OFt{b)be z?XE2mYOy)hhXVa+e^wxg_U8%=paWb5tXtVbLb!Aw9mp>2M+b734x)oHFNLi?aA^Qr z+8ay67$Hb}1aWONY^6+@;wcN*?E5#)3@&25Il{K=OpF#S8b@C$w^g8JM}u!=9MKh8K5^ zsc&Uvj@WD1@(V6J$#$OTyHLD4J;`1b(!Y|^0(SLeX>rm+3wL9)Hp)Kn1sU$9b&BmSHL!kkx>a!sP^$=o;b||$ELdy| z{;+!a8sC)^Rga`wA-Qd1xzYhieCac?{RKzdW}RUxe_6}HoIERdfvKemg5Q($F*b07 zjkn0=lA(nQLf)6yhC%|JLev6Ut^^210p7H;vQ`g;gWQGvlZ0S=AlW;DwdTQs<1zMp zbstG|#W-kC=owc*f5FUi=7Ml8dk~lw0W1%#g2<1(xIe^ggUU*4C57UjNQ^-j zN@bR>DwO}!i|-3&m9Hi`|1&Sne+2w~z%?Qbr^8u4{45>L^}{3R2vf4nIqy@I+jVDPRDXm$I)?yQb$XrW)7a?>3Ak}1|82y zoj@lTNWH*bd@<-!!2>onBtJu+VNz$&XE>=7>BKClLL2a*b~2r8D7KwkY^D>Y&?!vpd^&{_n@p1p#rBnp zwKLyNrBj*M1#~JWb{d^#AodIPs*7>Nv_K~IfAoJ$>>~O5(Zo>7IY-6M_;rdF}ov=MWY{CWGud}8)$FlU4PPi_bp6Xm=RvLIaOAFXz zo~6Z64=s!)T$fC1amkz($9OIFiCXAPxU7$se;GFG!LYeR%O$kmE0V=0+h|sxkQM~B zxwGMlX1poYHhQmZS=96N`QxNCeLlmM2KO6LGw^IRp3Ouh=nOg&u4=uJXd8*;W!u^= z^!dHE4X;#8w{0q4evfTaX0dc@5$C_KXu7pn);vEzc%Ei^Q84J5B$>n+_BC(we6W72 zM{>O=jDy#-MuzR)vo7Pkxvu9sTeV!Un}hT8HLbg$c-v@$bpUIqp`*47j=FBzQP)j4 zYKMoTwhNBBZrV}THSdnv;pwRBf6Gx}&KrDqZpC~3Yi~D-u&}_kJll2&{`^|9g0CLQ zYNF}ZlJ1ICzT$7Zb(mQd3(oyUveYP6T-sf+jj#Ay^A-!Yk>8rOxU9QkJ74j4<|`IL z^quL7%eyNMyU-1p|6$>G{VY=c<&WiVlS^cAFSML$B z`bX2QuHvp(aM@3$E#4z!^-rd=x|+LU!DT<2w^+#PpG{j_!(FkE)i=#oEM)af(-lX! zD-Pt7>lgE`7DDuk>Ex>Au2{(GU(Hu6gy>h(6-U8X46onV_6f;(OL9x2X05v1@_1H| zVLJe##Qk=ENaos{s6RZW!Wp*1AnJ&q)t}}>{plepo1EM1t3&z|QgL>1Te9$hJ6UW; z1qE+wl6P{1nfYzWBLW*ux7Nk=2f>jFF{?FPiQfy;w~uLkjMo}2H>ovTiQh&t5DZ$! zu-m3tbQZg9I!J4aZQoWE&6v!U|j|*`GySb9uO|Ab84oXJ^g)8r@tvm+5qx&gFEPN9Vb9 z()Ei)GM#iFn={nQkW7d96j9l`c>0;D!VYX3EYZ2|ycAXX zTAI6Fl|6;!>%Hh%uPsOQ+GGQokajkihVYJ-jeoIQvB}nsEN**j+3fk;(;j<{?6J$V z#JBncE$tF5Ro7=5f)#rUvry|Z*GZ&OlQHu+)@kqekw@1+YfyKKSIxz$8-$yNPNL`7TRte)4s@) zBVXh(?Tg$}U%30Yplq$;W6zw?a+EWg#7``H1wUyyvR;!~uNU87i8k4z7Hm3d!8y`9 zSgJRl!J4_KX&zAn)8E(KB&6h%JqY|T-5QG%>2vyJ3wSBp02cf{*We8W^+Ja20?7H2 zH>Hr|%?35zT~aI}`=U{19p}UX#xFfX9o!VAkSw%K)2)rbiX8^e7t~LANmTYa(-yXa z3m!f$qKnwn?jl{pP3;!b#m=dnxN0eURYG}G_MS;*4YtcnfP2|rRI#vR!yS(IVs(Wj zw?pE%Tv)a#gMS-cDJU^!`s?I{PyI-Q&=CFI*(eZeHO~|^UrlPZiE@9ERPM2`e zSxT4cN9Q6R|6<;2$QGT;ynx~+1Tf!palhH53O0sH92gld6?BDu%6`meT)3no&)y$|o3=4r zVk1i!ArZqR-?9*W7cTkmmh+ZAjixbo{6NzQ_H zXV+|TnLC@0$=p%OV|!GFb)VZE9&a+jog1{>Rdf~0fuHCqE(ccA)%rP5M)t`XVKt$Q ztjiRp6=ft30JGZe8oGuldXui<6kSW#>bG53WdQ5e(RHlQuXG((Xgyu8Z(Ui_)|HiY z+=6vwy<2Bv8P4sl7UoLjyxcm76OnR~$Gu_)QBD$|>?2h<*-H*W;LAx|Zc3ZfPE)tW(50f6l{euNWlBZK%Bh%I6(x@m#K}rUNxHhia8wqC z3fVfmm)&9Toa3@CVH4fNa_l_UeXcpp_sl6zSl-i!1_rs!Yv zMNZKzbc=q62g}6J;ccZ`v5=k6tz4mPbeq0)l}%e$S(a`>hgaFVb=f*RSX&3>x6|!R zc|W?HQ~o9TlD_g)Oe~@7&+_%D&JbG()A0bYP z#Me%g>XLp;c!&#EN}gI~?LUOigl6qO{2*&vk=RL#ubn70%^9df$Y#!eW$uD(0jdPLGf$i(h#Mi5S`w4Q%&R5YzTs>d_8^b^5;j6YUB)*Zo^=_2 zbJ1OwR$SBg=+u(jHtKGKbT`^so(mE7JVxFVc1J2vro9(s!h4#QSIC|y$@f7s4dOlw zDQu+##p)O~P|?*)QOj7tqT19Ta;$SYr1yqNT~0)HS`VQCEvMl$q5L+w*v2R$Wc4 zzqAKtD>>4*!qMJ4*H+Lq6)+6CaN`jpyA2Qm5hF>DOfTSH3t?X!mWDn?GDi{9EJm_M zlYK9h5~I~O^qQw&V2av4hd1Huh2SBdG&~Ko<|fm=fy|59_9iL~v<{{P zOWx=c!qY(OVkndAO0kSG&BUdsFm-CAB^efS^@!~C40~aZa!*Rid5?BGlhJM>nGTAMY9f2} z$~iwPE{%2**&{2V;Wv>y^wEiS6UkdRo<OUikVb_bKuZe}{#%}hi)TpI0WrlZ{~XVGqEI@--7-HRCQX6B+@N{Dtd)6ow1 zDEH5z-N|IMTbPb^3lq^UBaLw95%mYhgOtWjxCLvuJlV z8SPf4$L&@o#_bByXt$EMTI_IJ+Gac=?oJBj%bhP74M?213w5tkHi!&YV${ywZS+u+3K1%WKDDy8# z>#t~wO)Flq15am?6fe2S^%QLUjc0s~s;9{uZD)G?Y3F_Xv9TRo+&ZJ^wsw;HHqp9v zl95WsZS5p~A;(j2Q^QG|INN9^`DmQ;#>sXLo21@T0r=t(JUL9K>Fg0zb(+pSqROBd z&S#~WuYe}xQQor1i3Ki!(hWbLJUnXH<0FDGjs-RFMX1+P%qBi*t(vz?~m zYgqf~ekP+f-OtH5Ko9Wx*z0&K;&qk0s$(1NuCOcHLCRHVj|7G4_zP{ZyX3o%w$ZTU z`DZU6EMO)`)`wM(ja+v8GqY~X6J(DzU3CdzNRX^vWY*=8)LdQpp#5-B z2A>_GhnUYA(nFlj4%5Rq^jV^0CCRw~!QPlRJW(=|*_(;OrRcIm*@V^Uvc`hT5+z## z8d$aNoy)X1Y{Ul$8!@mz_Z|iZnM+_2x9M=Ztcgq-dE|XtW9*MuX1hTC_S3hjerg~sPJD*RR&1*II#F8@a`HQnZ$5! zUJhW>wk%)a(C`|4jp>(2U*q&UMvrCbXKH8|WMXJ&%j-PoZ+CNpOmuT%`VZ2&8m5!H zn;YaY{zIJVq+17+-(~NAz&?}^-lCzB9W3_YN33t8TL-h8c%8n^d_I!C&iVW}JHFp&UjA5E=vozZRZ6A|ie>&<7`UcZ@9DRe+_)YqzQ)8VO zqq~I;^K>Cj05j|p(ydRFhsmIQB0GN)PbV!U_^nI(ZbT;cjM=Jn{$q`Zj%=`E@mYoAc{C^c~)>>)1{W z*3vczMopG%>k_q`EZa)qYH1q;7fd!i-=1vxJ?F`qWXg}>b-g*jjNK#{J4LolS?E@# zNdD#=oAu%7vPm#@is}72Q)Gjj(ECo2%xu-BuB9RMFL#1W=5GcwI~de7flvFXlG%|O zpKcw)n(MptU6xia(s#MEIz!L!X|*l0xxU1UpC;?RuvP7ZpWR}K!qWWDy2qHt&zqgY z%zN}brf52Sk5lx0`aZAdJ{?7;OI})4QBnBhmi-{F=yb{3kmAkIbe-|=EIrEcohNQ-&F`q!$u*hUBK4C9XJSm|=R!bcS>|a3|mlHY7RkY@8ALkbcMv ze~o^~8U7Ldh&TMWQO`e1_J=wI+h@tf2%+bnCF^c5^pl0AJxlVeklndX^tfDhlfx&} zEXflbvFD$q2cKjkmQDf!#HdMVP5 z3a%E`2~%XRU%&tXW7TK$Gp5G7^fOM4&*|r0)G*!*CB83`qCIZdlVo<=qIt#}PsQn7 ziewM0nl-&k@%Xp~ntYy~XC}W#&vPbUpck0Q@TD&6k4Bk4S2jNpGJme@xsH(eb7jpK zGyg37e8_ugu4K3nJH5HQ`OZ(XeL=rq=AWZqaOQtWzw|Ue+b}g>);$ZO z3E1=*Z_|9qQj}=Ze161n+VmCuirI9Ye#O~zkzVw)Df={bf%Frhyow8C<_jTRAe(q# zNWXxeuSD5q2iygo=4Y-#T%wnl2jIwg;4;0Ok-1DY-@wiGOo}oOb8q~Ay?_u zOd;?!!>#b;h#I`&OC)m(d$f3^@s|v7k~5a|N7v{zrUD!}6|U3kZWZvU*Z;Xkw^Y^^ zxOF@AD*hgRgr0D@>@yo#-(Oqqv86dfz3!AIJXu;{PTC4jY4G>&^w+Lb;dR)RvP8># zR^hQezf#uTJRT*9FVn7+-9j1E)!w*A7y7`Jl0jPMneIw&TY20;Unv<>a2I^GN3>kY zyr4Wc8B+0EN8-bdRkG;9U8Tp?fK{?jzzF_WC0QU7A7QML^ziJR`0ISjS|wTQ)uC9F{7NA>wo-a132DjZ)8ITLc$>L~DM!8`?nt&W0|3eGFI z!0ISuDU`nu*i(2w;jx7$!0|v~M`5tD$fhFuiyVaG??rPJ&0}>Gy;}6QqJLN&#iEL} zEEZ>V6fax6c5(1e@o~jx7EiG{LI;G74TbWd2SOd8P`<>b68lR)`4Yc}7?k@?~m0DD4W2qOdj?&4c7nWXPb(9%iW^x(udqhIS z@Q6`xoENbsVm%xWMx2Ux2aZ=FevP6cr zuFZ{jzxV*Fqsv=eKI?M9>gYD8+xTvvSC3IWruLX_b@VLSvr^BhR!6Ujy`p=?S{=Qs z^se8#5ggC;zTW#At0OT_V)4W31_+eoCfk^}VTOCtwCacMTR!4G!gK5jrXI37rhPW;+i8$z z)3xa(rbB+rEIG60Ovs~|$7Y_L`H|HzyVmUHvs=OO`s`b?|FSw#Qc_l?tg$-gE}Xkz zF68(8b@S8b@3T5y_+vrt1)9~dsQsd(MNsdeKNja+4F6udesRWP(057Nl9!h3vO1RD zOjT1spVShm)lxzK)J>`TQz6dFmMz=5>?NyXdFt{l%ePw{D~7IkcEx0?BW+mPb7{$N zOiw$WcEajdS!-qUm7ou?1b#`#;rZn2+oUe>SBW1Xs`WfkRI8(@YQ1us#1gBU_56)Z z_>Jdp1GD|s^NJwdD?G2tV|bP4m74TQ&#ObcUhP>Tzo`m1(TDXT4feQudB&%xit;C0jsO)v0+kQvQx>&TD^nq>C0*yek&) zR@}WBL`y2&HA{GF?rtrjMOE&qMZ8sazb4VLYIof--nxIMHqpWw|B8jYmH%FiqNNf4 znx(w8|8A|K#kKxbi+QX6{hBi^UyUQRr8i}%^Rp^bOHT_TO{3BU2ayLUA5?!V@w$vxvg-iP;=R^I)1i8Q;X{K)(A-qXyx zKbw;l_nbd@pWd6=dH3rj((0b{EAQKTS3~dqZBF9uS^x4rzPGjX?&nJ+{+{+T@9TSC zQ}6z6PTJk`{^oste`xF7@0Uo2`@-+M@9!Utz5Bm8>2%-tpO3-)rM34s>?NJ=t2pqn zxc@W{l&n|41K{SQ>wOmtJ|Op}_TC%GNYeejj0+!|`_}@m@lgtqp7(8h_!!;aR(OpQ zxkM7~>p1bTy8kUP6R*VkK3;sx9t>-|$L%6XdLZJ)$L_(g$ZP!aTqgYFVGekfjCkO~%!m5nu$G?` zcOau4iU{*jet0Z)HEh^uiF+^KhyODt(XGi-4^7zl&_7&OOTz#3Llu5L1s*=jC2273 zp-Tfk6&_COCFwBXp-cxpB_3W6NYY~BLz@Z*&KKYL0p8c3?7@?eh{F@P({! zc?n5)oZ!XR?>iV4qX(PzIw@Z>4dk53lREMmjkd3}8y=hNKh=)0Ka<~sB}W~Az zIQ(aE5MrS`N)GyB@t?**j7J4>*cXrgJRV|9%9A6$nA{UF5#nOWMUML7a?iv?hz(gx zj`?D9PsK)vk207X_r>R)i;oZ^vYEW;i_tw9BUhYm!>iZ67@;?^{qVQo*?y^4zzmmD zq42QvN7WXf+5*|<%->N1-+@ocRD>6H;QwFPU&-}_&@ehV^|qlPKD0R%>W5vRb!$gB z_(Emq;hcKaP|zI+)ooIQob(M`yeD1f_e7X5_U^?v5Rzke|tmL#W zUVNNf=l4{+gqSI9$UDB6x#Gkh@41)>ar28KXMAzv4&KLq-xoVqy!hii z8#~YVec+29A2-+eJsm$WhToHqd@*#zjX&P=F%;qm^Oui(apdFYI=?UCD8v%}8uq8Y zSi0iJAMcx3y5fn;VZK@2lpC7O+2n zj33&+a+_Qy7ksVsfoP?!mYVtH@tfpJUtAxUxQelLkc+<9K2Wh0Z$<$y6nHFLt(?jZ$=R+ot86kVgtb8TO?0ip< zlzbUvZvFyfUj9*Je*X8!f&$gZ!UFTiq5|KOB?U*4r3G)2)Iwv)@rFxRBrCX70WunOT zvK7ddvJJ_Oa+}G{^1qQ?6>gCo74wljkBueil}?b1$_}!p@>gVE)#hY>wWZ`h^<`vV z_1)xPjbL)9Mt5?!#vVA|OODihnH-H!$+3v`TtPd-7e=x5)R+8j~B%4wE07N0OhK?;t<7C`WF#SVMkkS(yCVasj#3 z@;v#YReADftI_0k>k0~K{e|Ke_nTsAb43Y=@1&^lrxjb(Z5GAPnFeRu% zJ0*9=8cI;do=Tohu}bbvgOs37lNIgp7$x}en~K)CmXfdYD5XH>9ZI1t6BOFzC*{$u zHI>5M{1n>lQKe|N`AV^F?5yDQ>6Bbo>6|=C>6&~)={|M1(sSxsC1Ki!O5*fDC27Wd zrQghA%7B@Nl|i#^C_`rbrVO23O&OL_Lm810tBgu%r971~NO?MCx-xF=9A(113}xc{ zG-c8YHn z`?t{RSjbf1z5~umo+Ksg-vbvwP6KxXI2+jq+z-I1N+IBW1TIiffcptJyOIFh&%gyK zEr7cTTrOoZaK8YTM|lCbUxCZ5`~ciz=isk1TGM`;(3n&mkYSCyxV~b0o4irL;eR3kI&Nb_KW);K~Ho0xlnL<%7e3%MV<+ z;E});0Ip(i58w&{S0Q*iaD{-Y6ubnuM}T`Q_!@8&xGKT#0rx0yl|xDbR~WczA$fo+ z0$kM)^g&VJYJ{Kn|*$Sc5w0T)>?7`SlYq6*vs zt|V}^3oZbz6mWG5P6VzraCHhn9t4&FE~XIVL10(miv%vN_|w4E0Q@`M_)yd@ z3b;0*s9zo6+J&Nib%ASJ0`-dqu0sjbF9x{wVW?j{;5wB+{bGUZ7=~r*1J^kW%QgV+ z@$gXK8UoifJP^1>z;y{<4qRj4x`$5#t_g76N@5*Nf$Lck>u3gCk5VrJ*BrQnQVW1< z0bH-rOMq($Tw>|Tz_kLdcNu7_fvtf{Dg$jbFb=pr5u<=>16;p|p1{Qe*EeE4aBYDb z5HSb1cEI(Icn7%lzzvEx09*&)21eWht|M?mA}#^f3An+L?SXq7xS^5Jz;y=hiO7k- zbpdWz9%?ZVg;d;6_I82d)=zPercqAb;06KrY`s^28w}j!dYge80^D=4*l#=mTyiY-8$*Gc68i*jPXaeB z_Hp2b0XH=k+x~FirpIF29|7F|8pe^r2J0OQ+zV~6-f_Uqj}HKDJa7x!dxQW0m>W1-n7PzI|FdmbDThe1XaL)m^tj7r8CIgq+vnp^?fLqbC zFmTDhE$ZsqVi<5U zfm@##1l%m(*7d>o&IWE{AB=Aba2xv71a1y+oBI_9ZZ2?}`h5=EJm9wUdlR_%z`fWX z{qh2E+xnqj767-kKj!H|;9lyFdAbO=?F0J*w-~sc1KR?(1h^eTIzT*DK@@fm=?U>z z4QG3j1L3-*a9zffpWwPwxGp`p6L8Cb+Xq1}5x5+pGWLmS!0n%U2)LEN z9h|xmxK+TtJPpT+)xaH|hGWGV;0{g4es?W!N2X)HyAHTlW@6vI9=M}3v2Wi1+^aJ` z0&XL4$7UV{ZWC~?&29zUX5fy`jsWgO;9j5o7jRpEdvo?x;I;zyM#>uCwgGoCWfpMT zfjco5#?`==fO~5$jH`h=fOE{>2i#8JPS0Nh+%DiwEzp454ct2~{0`h6;ND(@{!0h$ z%p&w(25|2##_RS1_x>WhZXa;(Eyh^x2kwK#7|R2|on5jExR-(ZXvuQm4g&XKD*E{l za33#4KOY9}Tq?%=72rNi#h4!f?vqsP>s|%!^Hl8Xjso}DvX_8+4Y&)-mI8MSxbw@m z1NS;`UoKw)+;QN(STPy6H-NjiVhC_=0{2x~GH@q=yPP%@xRbzLN;?6Z1GuYcyMcQP zxGO8s&!>R9z7qX>8n|nOtXX5JVyQ}q78rOo@B^X*egXGk`G^Al!TnhI1A*rW*#W17 zSOYHuwj+Tgh~y!8;m>Uc!-e_bSP+hd;7H;4C>)Exu_zpivvR?t1PLc4;kTt>I#mV) zmxE(@I94Q;;8xEbcm=M5zuO&n6^^-px51BnBnb=z{m50ANA)L)lAsPGHozWgPqmkt zpeDjkPbW7@ha0EEP150}>2R}jxcNHV5*;orJ7*tcAMDcEJ~;4G_`qimb(A_zoup1v zXQ}hmC2E>|P~dg2euzT+npt1B4pglBty2|i2EuNHWQ3y#uOJ*m*nzMO;Tg*ULXKKy z5%LdWenC;c;@NKqza!j2_ygfjguf7O11JP8Runw* zL$DzDBiIoF5UdC)f(;=MAs0drLT-dS2zgPIh7gSZ3_-|;kRPD{LP7j(Av}8ofg(JL zP#B>ILQ%Y=7@ieJ2t_D?5Qe`9M<|K^EQL@S|5*ml%K8r_N_jl1fKUiG(c#G&q6H9{PKr5XklwIWQRHfUBn{p)*1kgsuqP5V`}9 zLkQ~w#zS9+unu91)t`_T@oY1~T7(S<8xd+DY(i*<@I1mygtiDX5N08?N9ctx4Phw? zO-0yg9Y`#VEK$VL4DP!uwec(#fONs@A`to`^gu{Kcn*tA#j|+`^ATDgOhy=iFc+aS z!ZL(pgeeHK5r!btLzsh*gwP#f0m66`KMBtkBP>B^iSP`P)A~Z(miZBde5JEIUZ-hjIJ_y?p`XZzwY(vKp za24Si!gYlI!|T3A_y*xy0A~Zv2j?e<3B|kgvSv&BXmLNiqH+A zJ3qCk;R%GH2u~smLl_P~;;>bW zLui9A79k!X0pV$c4hX9eY9l;}&;Vf+!czzx5mq5YAq+*Rk1!fx3_>S_ClF#0(hx=> z^h6klP!~Yyq4XrmJ^;Vp5&i_Q5CjW?6~T@Wgpd~@1fc+catO~JK`4w6icktbIg0QG z!dnRMB7A`G3Bm=0O9*ojt|I&&!nX)F5Pm}V1p&2EP%A$_1Qq|e5YGY;awBL6`49>s zP=q1~#Sy{~m{zHHRu-WGLM4Q%2sIEQ5uy;H5n>S<0w^o-tO-I(gm{FG2wf3+AtWIT zL>P)N5SxY%$>53!b9LrqA@`7c7Vp(iiqF9#U*;AG=#FA{8N-QIAyqts(j~92svw@aD z#L~->Ku88A;Z}q_2n`WhAv8i*fzTSEA3`^T<_OIYnj#E9r~?rAe}oWA03rVmD3QJ+